summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/example_medium/zpu_config_trace.vhd
diff options
context:
space:
mode:
authorØyvind Harboe <oyvind.harboe@zylin.com>2011-03-01 20:52:55 +0100
committerØyvind Harboe <oyvind.harboe@zylin.com>2011-03-01 20:52:55 +0100
commit04772b6a0bbe7017f5f7b44cfa203c3f7efbff64 (patch)
treec6bd96afc27bce5b46895b037ec71b211d509465 /zpu/hdl/example_medium/zpu_config_trace.vhd
parentb103d820a57859fc4aad48f50029e12cc1db7611 (diff)
downloadzpu-04772b6a0bbe7017f5f7b44cfa203c3f7efbff64.zip
zpu-04772b6a0bbe7017f5f7b44cfa203c3f7efbff64.tar.gz
whitespace fixes: use fromdos on all .vhd files
Signed-off-by: Øyvind Harboe <oyvind.harboe@zylin.com>
Diffstat (limited to 'zpu/hdl/example_medium/zpu_config_trace.vhd')
-rw-r--r--zpu/hdl/example_medium/zpu_config_trace.vhd34
1 files changed, 17 insertions, 17 deletions
diff --git a/zpu/hdl/example_medium/zpu_config_trace.vhd b/zpu/hdl/example_medium/zpu_config_trace.vhd
index d765d9a..a5b9192 100644
--- a/zpu/hdl/example_medium/zpu_config_trace.vhd
+++ b/zpu/hdl/example_medium/zpu_config_trace.vhd
@@ -1,17 +1,17 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-package zpu_config is
-
- constant Generate_Trace : boolean := true;
- constant wordPower : integer := 5;
- -- during simulation, set this to '0' to get matching trace.txt
- constant DontCareValue : std_logic := '0';
- -- Clock frequency in MHz.
- constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"64";
- constant maxAddrBitIncIO : integer := 27;
- constant maxAddrBitDRAM : integer := 16;
- constant maxAddrBitBRAM : integer := 16;
- constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := x"001fff8";
-
-end zpu_config;
+library ieee;
+use ieee.std_logic_1164.all;
+
+package zpu_config is
+
+ constant Generate_Trace : boolean := true;
+ constant wordPower : integer := 5;
+ -- during simulation, set this to '0' to get matching trace.txt
+ constant DontCareValue : std_logic := '0';
+ -- Clock frequency in MHz.
+ constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"64";
+ constant maxAddrBitIncIO : integer := 27;
+ constant maxAddrBitDRAM : integer := 16;
+ constant maxAddrBitBRAM : integer := 16;
+ constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := x"001fff8";
+
+end zpu_config;
OpenPOWER on IntegriCloud