summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/example/zpu_config.vhd
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2011-03-04 10:40:56 +0100
committerØyvind Harboe <oyvind.harboe@zylin.com>2011-03-13 14:45:58 +0100
commitf54a7949bc551f4a4ecc20728453cfe09ae65aed (patch)
treeaa99dd173049303319facef772f5f363128ebf15 /zpu/hdl/example/zpu_config.vhd
parent04772b6a0bbe7017f5f7b44cfa203c3f7efbff64 (diff)
downloadzpu-f54a7949bc551f4a4ecc20728453cfe09ae65aed.zip
zpu-f54a7949bc551f4a4ecc20728453cfe09ae65aed.tar.gz
change: switch to ieee.numeric_std.all library
Signed-off-by: Bert Lange <b.lange@hzdr.de>
Diffstat (limited to 'zpu/hdl/example/zpu_config.vhd')
-rw-r--r--zpu/hdl/example/zpu_config.vhd5
1 files changed, 2 insertions, 3 deletions
diff --git a/zpu/hdl/example/zpu_config.vhd b/zpu/hdl/example/zpu_config.vhd
index c3c60c1..cd4163d 100644
--- a/zpu/hdl/example/zpu_config.vhd
+++ b/zpu/hdl/example/zpu_config.vhd
@@ -34,8 +34,7 @@
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_unsigned.all;
-use ieee.std_logic_arith.all;
+use ieee.numeric_std.all;
package zpu_config is
-- generate trace output
@@ -52,5 +51,5 @@ package zpu_config is
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
- conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
+ std_logic_vector(to_unsigned((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1));
end zpu_config;
OpenPOWER on IntegriCloud