diff options
author | Bert Lange <b.lange@hzdr.de> | 2012-01-09 14:29:34 +0100 |
---|---|---|
committer | Bert Lange <b.lange@hzdr.de> | 2012-01-09 14:29:34 +0100 |
commit | d09e583c729f240a19656da025bb6843f4237142 (patch) | |
tree | d383cb5a4c044e7ac76a2c6e63fee8ba0f20fcf9 /test_rrobin_problem/rtl | |
parent | ce5571bf19ac87000fc3f7a5bf7a416083a99925 (diff) | |
download | zpu-d09e583c729f240a19656da025bb6843f4237142.zip zpu-d09e583c729f240a19656da025bb6843f4237142.tar.gz |
fix: wrong commit
Diffstat (limited to 'test_rrobin_problem/rtl')
-rw-r--r-- | test_rrobin_problem/rtl/box.vhd | 20 |
1 files changed, 1 insertions, 19 deletions
diff --git a/test_rrobin_problem/rtl/box.vhd b/test_rrobin_problem/rtl/box.vhd index 59d703b..b21d562 100644 --- a/test_rrobin_problem/rtl/box.vhd +++ b/test_rrobin_problem/rtl/box.vhd @@ -164,7 +164,7 @@ begin apbo( 2) <= (apb_none); -- gptimer_i0 apbo( 3) <= (apb_none); --apbo( 4) <= (apb_none); -- grgpio_i0 - --apbo( 5) <= (apb_none); + apbo( 5) <= (apb_none); apbo( 6) <= (apb_none); -- no apbvga_i0 apbo( 7) <= (apb_none); -- no i2cmst_i0 apbo( 8) <= (apb_none); @@ -223,7 +223,6 @@ begin generic map ( pindex => 4, paddr => 4, --- imask => 16#00000FF0#, -- interrupt mask (+ enable per software) syncrst => 1, -- only synchronous reset nbits => 32 -- number of port bits ) @@ -245,21 +244,4 @@ begin -- 31 unused simulation_active --------------------------------------------------------------------- - - freqctr_i0: entity work.freqctr - generic map ( - pindex => 5, -- : integer := 0; - paddr => 5 -- : integer := 0; --- pmask => -- : integer := 16#fff#; --- nbits => -- : integer range 1 to 32 := 16 - ) - port map ( - rst => box_reset_n, -- : in std_ulogic; - clk => clk, -- : in std_ulogic; - apbi => apbctrl_i0_apbi, -- : in apb_slv_in_type; - apbo => apbo(5), -- : out apb_slv_out_type; - tick => '0', -- : in std_logic; - sig => '0' -- : in std_logic - ); - end architecture rtl; |