summaryrefslogtreecommitdiffstats
path: root/test_rrobin_problem/rtl/led_control_ahb.vhd
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2012-02-10 11:20:31 +0100
committerBert Lange <b.lange@hzdr.de>2012-02-10 11:20:31 +0100
commit64a03eb90ddb6f4b43e519b4e29abbf730931a83 (patch)
tree8839ae124f7d672110fed6a5a95bf4dbdfea0a03 /test_rrobin_problem/rtl/led_control_ahb.vhd
parentdfe2633a83c933379c512f432de2444e1075846d (diff)
downloadzpu-64a03eb90ddb6f4b43e519b4e29abbf730931a83.zip
zpu-64a03eb90ddb6f4b43e519b4e29abbf730931a83.tar.gz
update: to grlib-gpl-1.1.0-b4112
Diffstat (limited to 'test_rrobin_problem/rtl/led_control_ahb.vhd')
-rw-r--r--test_rrobin_problem/rtl/led_control_ahb.vhd3
1 files changed, 3 insertions, 0 deletions
diff --git a/test_rrobin_problem/rtl/led_control_ahb.vhd b/test_rrobin_problem/rtl/led_control_ahb.vhd
index 896811d..b1105a1 100644
--- a/test_rrobin_problem/rtl/led_control_ahb.vhd
+++ b/test_rrobin_problem/rtl/led_control_ahb.vhd
@@ -12,6 +12,9 @@ use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
+library hzdr;
+use hzdr.devices_hzdr.all;
+
entity led_control_ahb is
generic(
OpenPOWER on IntegriCloud