summaryrefslogtreecommitdiffstats
path: root/misc/wishbone/src/wishbone_pkg.vhd
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2011-03-01 17:36:01 +0100
committerØyvind Harboe <oyvind.harboe@zylin.com>2011-03-01 20:46:32 +0100
commitb103d820a57859fc4aad48f50029e12cc1db7611 (patch)
treead207a64d4f4e58ea59e77f5faa376956f3db3c9 /misc/wishbone/src/wishbone_pkg.vhd
parentc3a6c5f8c614919982ef31c9e8e324525ce105b1 (diff)
downloadzpu-b103d820a57859fc4aad48f50029e12cc1db7611.zip
zpu-b103d820a57859fc4aad48f50029e12cc1db7611.tar.gz
beautify
Signed-off-by: Bert Lange <b.lange@hzdr.de>
Diffstat (limited to 'misc/wishbone/src/wishbone_pkg.vhd')
0 files changed, 0 insertions, 0 deletions
OpenPOWER on IntegriCloud