summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authoroharboe <oharboe>2008-06-18 19:15:46 +0000
committeroharboe <oharboe>2008-06-18 19:15:46 +0000
commiteeff43d65567e8f0fa8634081682f0d92cee3cee (patch)
treeadba78bb0fe902942e84b8cec581c88625617b6c
parentf7c21b8d9c790fb8f59db5030e2b8f1a9fc96246 (diff)
downloadzpu-eeff43d65567e8f0fa8634081682f0d92cee3cee.zip
zpu-eeff43d65567e8f0fa8634081682f0d92cee3cee.tar.gz
* zpu_config.vhd: Fixed startSp calculation (address in bytes not words)
-rw-r--r--zpu/ChangeLog23
-rw-r--r--zpu/hdl/example/zpu_config.vhd2
2 files changed, 8 insertions, 17 deletions
diff --git a/zpu/ChangeLog b/zpu/ChangeLog
index 72c76c0..e489bda 100644
--- a/zpu/ChangeLog
+++ b/zpu/ChangeLog
@@ -1,23 +1,14 @@
-2008-05-18 Miguel Freitas <mfreitas@gmail.com>
-
- *
-I'm also attaching another patch which removes unisim/roc dependency
-(it was used just to pulse the areset) and fixes paths for building
-the ghdl examples out of the box. I guess this is the easiest way to
-get zpu running on linux with minimum effort.
-
-You should check if the areset change doesn't break modelsim. It feels
-much simpler this way and seems to work the same, i might be missing
-something.
-
-
-2008-05-16 Miguel Freitas <mfreitas@gmail.com>
-
+2008-06-18 Miguel Freitas
+ * zpu_config.vhd: Fixed startSp calculation (address in bytes not words)
+2008-06-18 Miguel Freitas
+ * Removed unisim/roc dependency (it was used just to pulse the areset)
+ and fixes paths for building the ghdl examples out of the box.
+ One should check if the areset change doesn't break modelsim.
+2008-06-16 Miguel Freitas
* io.vhd: fix address comparsion and added numerous outputs
during simulation to make things a bit easier
* zpu_config.vhd: do not use hardcoded startSp, allows more easily
tinkering w/RAM size
-
2008-05-06 Øyvind Harboe
* Small ZPU now supports interrupts
* added simulation example demonstrating interrupts
diff --git a/zpu/hdl/example/zpu_config.vhd b/zpu/hdl/example/zpu_config.vhd
index dc2b666..63f6fb9 100644
--- a/zpu/hdl/example/zpu_config.vhd
+++ b/zpu/hdl/example/zpu_config.vhd
@@ -18,5 +18,5 @@ package zpu_config is
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
- conv_std_logic_vector((2**(maxAddrBitBRAM+1))/4-8, maxAddrBitIncIO+1);
+ conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
end zpu_config;
OpenPOWER on IntegriCloud