summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authoroharboe <oharboe>2008-08-07 13:23:43 +0000
committeroharboe <oharboe>2008-08-07 13:23:43 +0000
commit10995e1545e11556e84665ff013313f5160f6161 (patch)
tree5fd450b2664f288646a33eeca25fef32e0c2e1f1
parent9d42abb28b464b9ae636540e5ff69994f21cdbf3 (diff)
downloadzpu-10995e1545e11556e84665ff013313f5160f6161.zip
zpu-10995e1545e11556e84665ff013313f5160f6161.tar.gz
add missing defs.
-rw-r--r--zpu/hdl/zpu4/core/zpu_config.vhd6
1 files changed, 6 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/core/zpu_config.vhd b/zpu/hdl/zpu4/core/zpu_config.vhd
index a13c0bf..ffc144b 100644
--- a/zpu/hdl/zpu4/core/zpu_config.vhd
+++ b/zpu/hdl/zpu4/core/zpu_config.vhd
@@ -1,6 +1,7 @@
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
+use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output or not.
@@ -12,5 +13,10 @@ package zpu_config is
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"64";
-- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1)
constant maxAddrBitIncIO : integer := 15;
+ constant maxAddrBitBRAM : integer := 14;
+ -- start byte address of stack.
+ -- point to top of RAM - 2*words
+ constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
+ conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
end zpu_config;
OpenPOWER on IntegriCloud