summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2011-10-25 22:03:02 +0200
committerBert Lange <b.lange@hzdr.de>2011-10-25 22:03:02 +0200
commit105f8b40509ea2657e36e13af76b7580029fd2e5 (patch)
tree54eff201906d72718359830a401190d9ca2bba75
parent4dadc14ffc4129406e7206585cd109d4bec81bc9 (diff)
downloadzpu-105f8b40509ea2657e36e13af76b7580029fd2e5.zip
zpu-105f8b40509ea2657e36e13af76b7580029fd2e5.tar.gz
fix: zealot/zpu_small - load, see patch from Alvaro
-rw-r--r--zpu/hdl/zealot/zpu_small.vhdl1
1 files changed, 1 insertions, 0 deletions
diff --git a/zpu/hdl/zealot/zpu_small.vhdl b/zpu/hdl/zealot/zpu_small.vhdl
index 7e022d4..2e5f464 100644
--- a/zpu/hdl/zealot/zpu_small.vhdl
+++ b/zpu/hdl/zealot/zpu_small.vhdl
@@ -391,6 +391,7 @@ begin
null;
end case;
when st_read_io =>
+ a_addr_r <= sp_r;
-- Wait until memory I/O isn't busy
if mem_busy_i='0' then
state <= st_fetch;
OpenPOWER on IntegriCloud