summaryrefslogtreecommitdiffstats
path: root/drivers/net/dsa/mv88e6xxx.c
Commit message (Expand)AuthorAgeFilesLines
* dsa: mv88e6xxx: Add debugfs interface for registersAndrew Lunn2015-06-231-0/+50
* net: dsa: mv88e6xxx: Fix deadlock by double lockAndrew Lunn2015-06-071-2/+2
* Merge git://git.kernel.org/pub/scm/linux/kernel/git/davem/netDavid S. Miller2015-05-131-0/+3
|\
| * net: dsa: mv88e6xxx: unregister mv88e6352 driverVivien Didelot2015-05-041-0/+3
* | net: dsa: mv88e6xxx: Fix false positive lockdep splatAndrew Lunn2015-05-091-9/+37
* | net: dsa: mv88e6xxx: Replace stats mutex with SMI mutexAndrew Lunn2015-05-091-23/+27
* | net: dsa: mv88e6xxx: Replace PHY mutex by SMI mutexAndrew Lunn2015-05-091-58/+63
* | net: dsa: Centralize setting up portsAndrew Lunn2015-05-091-1/+15
* | net: dsa: Centralise global and port setup code into mv88e6xxx.Andrew Lunn2015-05-091-20/+385
|/
* net: dsa: mv88e6xxx: use PORT_DEFAULT_VLANVivien Didelot2015-04-201-1/+2
* net: dsa: mv88e6xxx: fix setup of port control 1Vivien Didelot2015-04-201-2/+1
* net: dsa: mv88e6xxx: Add missing initialization in mv88e6xxx_set_port_state()Geert Uytterhoeven2015-04-161-1/+1
* dsa: mv88e6xxx: Drop duplicate declaration of 'ret' variableGuenter Roeck2015-04-161-2/+0
* dsa: mv88e6xxx: Fix error handling in mv88e6xxx_set_port_stateGuenter Roeck2015-04-161-1/+3
* net: dsa: mv88e6xxx: Fix stats counters for 6352 familyAndrew Lunn2015-04-011-0/+16
* net: dsa: Use mnemonics rather than register numbersAndrew Lunn2015-04-011-84/+111
* net: dsa: Consolidate getting the statisticsAndrew Lunn2015-04-011-6/+93
* net: dsa: mv88e6xxx: Add missing mutex's in EEE operations.Andrew Lunn2015-04-011-29/+30
* net: dsa: Consolidate phy read and write functionsAndrew Lunn2015-04-011-19/+99
* net: dsa: Move phy page access functions into shared codeAndrew Lunn2015-04-011-0/+34
* net: dsa: Centralize Marvell switch resetAndrew Lunn2015-04-011-0/+40
* net: dsa: Centralise getting switch idAndrew Lunn2015-03-291-0/+2
* net: dsa: mv88e6xxx: Add support for fdb_add, fdb_del, and fdb_getnextGuenter Roeck2015-03-291-0/+136
* net: dsa: mv88e6xxx: Add Hardware bridging supportGuenter Roeck2015-03-291-7/+264
* net: dsa: mv88e6xxx: Split mv88e6xxx_reg_read and mv88e6xxx_reg_writeGuenter Roeck2015-03-291-9/+26
* net: dsa: mv88e6xxx: Disable Message Port bit for CPU portGuenter Roeck2015-03-291-4/+3
* net: dsa: mv88e6xxx: Provide function for common port initializationGuenter Roeck2015-03-291-0/+39
* net: dsa: mv88e6xxx: Factor out common initialization codeGuenter Roeck2015-03-291-0/+11
* net: dsa: mv88e6xxx: Add EEE supportGuenter Roeck2015-03-071-0/+51
* net: dsa: mv88e6352: Refactor shareable codeAndrew Lunn2015-02-191-0/+53
* net: dsa/mv88e6xxx: add reg read and write debugVivien Didelot2015-01-271-0/+9
* net: dsa: Centralise code for reading the temperature sensorAndrew Lunn2014-11-161-0/+48
* net: dsa: Provide additional RMON statisticsGuenter Roeck2014-10-301-5/+21
* net: dsa/mv88e6123_61_65: Add support for reading switch registersGuenter Roeck2014-10-301-0/+24
* net: dsa: Add support for Marvell 88E6352Guenter Roeck2014-10-301-0/+3
* dsa: Fix conversion from host device to mii busGuenter Roeck2014-10-171-4/+10
* dsa: Replace mii_bus with a generic host deviceAlexander Duyck2014-09-151-2/+2
* net: DSA: Marvell mv88e6171 switch driverAndrew Lunn2014-09-131-0/+6
* net: dsa: update DSA drivers to use ds_to_privFlorian Fainelli2014-04-301-6/+6
* DSA: Convert spaces to tabs where appropriateBarry Grussling2013-01-101-22/+22
* DSA: Convert printk calls to netdev_info callsBarry Grussling2013-01-101-5/+6
* DSA: Convert repeated msleep calls to timeoutsBarry Grussling2013-01-101-6/+10
* DSA: Convert DSA comments to network-style commentsBarry Grussling2013-01-101-52/+18
* drivers/net: dsa/mv88e6xxx.c files need linux/module.hPaul Gortmaker2012-01-241-0/+1
* dsa: Move switch drivers to new directory drivers/net/dsaBen Hutchings2011-11-291-0/+549
OpenPOWER on IntegriCloud