summaryrefslogtreecommitdiffstats
path: root/drivers/gpio
Commit message (Expand)AuthorAgeFilesLines
* gpio: sch311x: fix compilation errorLinus Walleij2013-12-231-0/+1
* ARM: s3c64xx: get rid of custom <mach/gpio.h>Linus Walleij2013-12-201-0/+3
* ARM: s3c24xx: get rid of custom <mach/gpio.h>Linus Walleij2013-12-201-0/+3
* gpio: sodaville: fix some error return code on error pathWei Yongjun2013-12-201-2/+6
* gpio: moxart: remove redundant dev_err call in moxart_gpio_probe()Wei Yongjun2013-12-201-4/+1
* gpio: moxart: add missing .owner to struct gpio_chipWei Yongjun2013-12-201-0/+1
* gpio: add GPIO support for SMSC SCH311xBruno Randolf2013-12-203-0/+441
* gpio / ACPI: return -ENOENT when no mapping existsMika Westerberg2013-12-121-1/+1
* gpio: msm: Add module device table and mark table constStephen Boyd2013-12-121-1/+2
* gpiolib: return -ENOENT if no GPIO mapping existsAlexandre Courbot2013-12-121-17/+17
* gpio: driver for Xtensa GPIO32Baruch Siach2013-12-123-0/+172
* gpio: 74x164: Driver cleanupAlexander Shiyan2013-12-121-27/+16
* gpio: 74x164: Remove non-DT supportAlexander Shiyan2013-12-122-16/+4
* gpio: tegra: add missing error handling to probeStephen Warren2013-12-111-1/+6
* gpiolib: update inline documentation of gpiod_get_index()Andy Shevchenko2013-12-091-1/+1
* gpiolib: introduce chip_* to print with chip->label prefixAndy Shevchenko2013-12-091-15/+26
* gpiolib: unify pr_* messages formatAndy Shevchenko2013-12-091-37/+37
* gpio: better lookup method for platform GPIOsAlexandre Courbot2013-12-091-50/+58
* Merge tag 'v3.13-rc3' into develLinus Walleij2013-12-0910-46/+73
|\
| * gpiolib: change a warning to debug message when failing to get gpioHeikki Krogerus2013-12-031-1/+1
| * powerpc/gpio: Fix the wrong GPIO input data on MPC8572/MPC8536Liu Gang2013-12-031-2/+6
| * gpiolib: use platform GPIO mappings as fallbackAlexandre Courbot2013-12-031-3/+13
| * gpiolib: fix lookup of platform-mapped GPIOsAlexandre Courbot2013-12-031-1/+1
| * Merge tag 'gpio-v3.13-2' of git://git.kernel.org/pub/scm/linux/kernel/git/lin...Linus Torvalds2013-11-288-35/+43
| |\
| | * gpio: bcm281xx: Fix return value of bcm_kona_gpio_get()Markus Mayer2013-11-271-1/+1
| | * gpio: pl061: move irqdomain initializationLinus Walleij2013-11-271-5/+5
| | * gpio: ucb1400: Add MODULE_ALIASAxel Lin2013-11-251-0/+1
| | * gpiolib: fix of_find_gpio() when OF not definedAlexandre Courbot2013-11-251-1/+2
| | * gpio: fix memory leak in error pathMichal Nazarewicz2013-11-251-1/+3
| | * gpio: rcar: NULL dereference on error in probe()Dan Carpenter2013-11-251-1/+1
| | * gpio: msm: make msm_gpio.summary_irq signed for error handlingDan Carpenter2013-11-251-1/+1
| | * gpio: mvebu: make mvchip->irqbase signed for error handlingDan Carpenter2013-11-251-1/+1
| | * gpiolib: use dedicated flags for GPIO propertiesAlexandre Courbot2013-11-251-7/+15
| | * gpiolib: fix find_chip_by_name()Alexandre Courbot2013-11-251-17/+12
| | * gpio: tb10x: Set output value before setting direction to outputAxel Lin2013-11-251-0/+1
| * | Merge tag 'omap-for-v3.13/more-fixes-for-merge-window-take2' of git://git.ker...Olof Johansson2013-11-251-4/+9
| |\ \ | | |/ | |/|
| | * gpio: twl4030: Fix passing of pdata in the device tree caseTony Lindgren2013-11-181-2/+6
| | * gpio: twl4030: Fix regression for twl gpio outputTony Lindgren2013-11-181-2/+3
* | | Merge branch 'mark-irqs' into develLinus Walleij2013-12-045-0/+113
|\ \ \
| * | | gpio: lynxpoint: lock IRQs when starting themLinus Walleij2013-12-041-0/+22
| * | | gpio: intel-mid: lock IRQs when starting themLinus Walleij2013-12-041-0/+23
| * | | gpio: em: lock IRQs when starting themLinus Walleij2013-12-041-0/+24
| * | | gpio: bcm-kona: lock IRQs when starting themLinus Walleij2013-12-041-0/+22
| * | | gpio: lock adnp IRQs when enabling themLinus Walleij2013-12-041-0/+23
| |/ /
* | | gpio/pinctrl: make gpio_chip members typed booleanLinus Walleij2013-12-0447-53/+53
* | | gpio: rewrite gpiochip_offset_to_desc()Alexandre Courbot2013-12-041-2/+3
* | | gpio: MOXA ART: rename moxart_gpio_base to baseJonas Jensen2013-12-041-14/+10
* | | gpio: remove DEFINE_PCI_DEVICE_TABLE macroJingoo Han2013-12-036-6/+6
* | | gpio: Add MOXA ART GPIO driverJonas Jensen2013-12-033-0/+170
* | | gpio: rcar: Support both edge trigger with DTLaurent Pinchart2013-12-031-13/+43
OpenPOWER on IntegriCloud