summaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* bcache: Fix merge_bvec_fn usage for when it modifies the bvmKent Overstreet2013-04-221-9/+8
* bcache: Correctly check against BIO_MAX_PAGESKent Overstreet2013-04-201-5/+4
* bcache: Hack around stuff that clones up to bi_max_vecsKent Overstreet2013-04-201-0/+9
* bcache: Set ra_pages based on backing device's ra_pagesKent Overstreet2013-04-201-0/+4
* bcache: Take data offset from the bdev superblock.Kent Overstreet2013-04-203-57/+100
* bcache: Disable broken btree fuzz testerKent Overstreet2013-04-081-2/+4
* bcache: Fix a format string overflowKent Overstreet2013-04-081-2/+2
* bcache: Fix a minor memory leak on device teardownKent Overstreet2013-04-081-1/+3
* bcache: Documentation updatesKent Overstreet2013-04-081-0/+88
* bcache: Use WARN_ONCE() instead of __WARN()Kent Overstreet2013-04-081-1/+1
* bcache: Add missing #include <linux/prefetch.h>Geert Uytterhoeven2013-04-082-0/+2
* bcache: Sparse fixesKent Overstreet2013-04-084-90/+92
* bcache: Don't export utility code, prefix with bch_Kent Overstreet2013-03-2813-101/+89
* drbd: fix if(); found by kbuild test robotLars Ellenberg2013-03-281-1/+1
* drbd: use sched_setscheduler()Philipp Reisner2013-03-282-3/+5
* drbd: fix for deadlock when using automatic split-brain-recoveryPhilipp Reisner2013-03-281-1/+0
* drbd: add module_put() on error path in drbd_proc_open()Alexey Khoroshilov2013-03-281-2/+8
* drbd: fix drbd epoch write count for ahead/behind modeLars Ellenberg2013-03-281-7/+7
* drbd: Fix build error when CONFIG_CRYPTO_HMAC is not setPhilipp Reisner2013-03-281-2/+2
* drbd: validate resync_after dependency on attach alreadyLars Ellenberg2013-03-282-3/+18
* drbd: fix memory leakLars Ellenberg2013-03-281-0/+1
* drbd: only fail empty flushes if no good data is reachableLars Ellenberg2013-03-282-4/+16
* drbd: Fix disconnect to keep the peer disk state if connection breaks during ...Philipp Reisner2013-03-284-10/+15
* drbd: fix spurious warning about bitmap being locked from detachPhilipp Reisner2013-03-281-1/+5
* drbd: drop now useless duplicate state request from invalidatePhilipp Reisner2013-03-281-34/+28
* drbd: fix effective error returned when refusing an invalidatePhilipp Reisner2013-03-281-0/+7
* drbd: move invalidating the whole bitmap out of after_state ch()Philipp Reisner2013-03-282-23/+20
* drbd: abort start of resync early, if it raced with connection breakagePhilipp Reisner2013-03-281-1/+3
* drbd: reset ap_in_flight counter for new connectionsPhilipp Reisner2013-03-281-0/+1
* idr: document exit conditions on idr_for_each_entry betterGeorge Spelvin2013-03-281-4/+6
* bcache: Fix for the build fixesKent Overstreet2013-03-251-1/+0
* aoe: get rid of cached bv variable in bufinit()Jens Axboe2013-03-251-4/+2
* bcache: Style/checkpatch fixesKent Overstreet2013-03-2510-56/+51
* bcache: Build fixes from test robotKent Overstreet2013-03-255-5/+6
* Merge branch 'bcache-for-upstream' of http://evilpiepirate.org/git/linux-bcac...Jens Axboe2013-03-2441-0/+16503
|\
| * bcache: A block layer cacheKent Overstreet2013-03-2336-0/+16474
| * Export __lockdep_no_validate__Kent Overstreet2013-03-231-0/+1
| * Export blk_fill_rwbs()Kent Overstreet2013-03-231-0/+1
| * Export get_random_int()Kent Overstreet2013-03-231-0/+1
| * Revert "rw_semaphore: remove up/down_read_non_owner"Kent Overstreet2013-03-232-0/+26
* | drbd: adjust upper limit for activity log extentsLars Ellenberg2013-03-222-15/+43
* | drbd: try hard to max out the updates per AL transactionLars Ellenberg2013-03-221-0/+31
* | drbd: move start io accounting before activity log transactionLars Ellenberg2013-03-221-3/+3
* | drbd: consolidate as many updates as possible into one AL transactionLars Ellenberg2013-03-223-14/+107
* | lru_cache: introduce lc_get_cumulative()Lars Ellenberg2013-03-222-10/+47
* | drbd: queue writes on submitter thread, unless they pass the activity log fas...Lars Ellenberg2013-03-221-8/+12
* | drbd: split out some helper functions to drbd_al_begin_ioLars Ellenberg2013-03-221-21/+28
* | drbd: split drbd_al_begin_io into fastpath, prepare, and commitLars Ellenberg2013-03-222-33/+72
* | drbd: prepare to queue write requests on a submit workerLars Ellenberg2013-03-224-1/+68
* | drbd: split __drbd_make_request in before and after drbd_al_begin_ioLars Ellenberg2013-03-221-10/+30
OpenPOWER on IntegriCloud