summaryrefslogtreecommitdiffstats
path: root/firmware
diff options
context:
space:
mode:
Diffstat (limited to 'firmware')
-rw-r--r--firmware/3com/3C359.bin.ihex1573
-rw-r--r--firmware/3com/typhoon.bin.ihex2819
-rw-r--r--firmware/Makefile23
-rw-r--r--firmware/WHENCE229
-rw-r--r--firmware/advansys/3550.bin.ihex317
-rw-r--r--firmware/advansys/38C0800.bin.ihex336
-rw-r--r--firmware/advansys/38C1600.bin.ihex398
-rw-r--r--firmware/advansys/mcode.bin.ihex147
-rw-r--r--firmware/bnx2/bnx2-mips-06-4.6.16.fw.ihex5805
-rw-r--r--firmware/bnx2/bnx2-mips-09-4.6.17.fw.ihex5816
-rw-r--r--firmware/bnx2/bnx2-rv2p-06-4.6.16.fw.ihex441
-rw-r--r--firmware/bnx2/bnx2-rv2p-09-4.6.15.fw.ihex490
-rw-r--r--firmware/cxgb3/t3fw-7.0.0.bin.ihex1881
-rw-r--r--firmware/cxgb3/t3fw-7.1.0.bin.ihex1885
-rw-r--r--firmware/myricom/lanai.bin.ihex4771
-rw-r--r--firmware/ositech/Xilinx7OD.bin.ihex177
-rw-r--r--firmware/qlogic/1040.bin.ihex2111
-rw-r--r--firmware/qlogic/12160.bin.ihex1771
-rw-r--r--firmware/qlogic/1280.bin.ihex2008
-rw-r--r--firmware/qlogic/isp1000.bin.ihex1158
-rw-r--r--firmware/slicoss/gbdownload.sys.ihex6148
-rw-r--r--firmware/slicoss/gbrcvucode.sys.ihex162
-rw-r--r--firmware/slicoss/oasisdbgdownload.sys.ihex5124
-rw-r--r--firmware/slicoss/oasisdownload.sys.ihex5124
-rw-r--r--firmware/slicoss/oasisrcvucode.sys.ihex162
-rw-r--r--firmware/sxg/saharadbgdownloadB.sys.ihex3937
-rw-r--r--firmware/sxg/saharadownloadB.sys.ihex3385
-rw-r--r--firmware/tehuti/bdx.bin.ihex2678
-rw-r--r--firmware/yam/1200.bin.ihex342
-rw-r--r--firmware/yam/9600.bin.ihex342
30 files changed, 59677 insertions, 1883 deletions
diff --git a/firmware/3com/3C359.bin.ihex b/firmware/3com/3C359.bin.ihex
new file mode 100644
index 0000000..781bac3
--- /dev/null
+++ b/firmware/3com/3C359.bin.ihex
@@ -0,0 +1,1573 @@
+:10000000FE3A0000000000000000000000000000B8
+:1000100000000000000000000000000000000000E0
+:1000200000000000000000000000000000000000D0
+:1000300000000000000000000000000000000000C0
+:1000400000000000000030332F30322F39392031CA
+:10005000373A3133000000000000000000000000CB
+:1000600030313233343536373839414243444546EE
+:10007000000007FF0200FE9F0600007C48000070A1
+:100080008200FFFF8600FFFF8800FFFF9A00FFFF4E
+:10009000FFFF1100C000FFFFFFFF11223344556630
+:1000A00033434F4D20424142451140C000FFFFFF06
+:1000B000FF1122334455665374617274206F6620B9
+:1000C0004C4C43206672616D652E2020546F746124
+:1000D0006C20646174612073697A6520697320788B
+:1000E000787820202042414245E8D201833EF7340F
+:1000F000007521E84100833EF734007517E882005F
+:10010000833EF73400750DE8BF00833EF734007579
+:1001100003E84102C31EB800F08ED833F6B9008060
+:1001200033DBAD03D8E2FB1FB8000083FB00740390
+:10013000B82200A3F734C3FABA5600B0FFEE33C0BA
+:100140008EC033F6B9FF7F833EFF340074088D3EC6
+:100150003061D1EF2BCF268B1C26C704FFFF2683EF
+:100160003CFF751726C704000026833C00750C264B
+:10017000891C4646E2E0B80000EB03B82400A3F770
+:1001800034C3FAB4D79E733A753879367B349FB14D
+:1001900005D2EC732DB040D0E071277925D0E07303
+:1001A000217B1F32C0751B32E49E721674147812C4
+:1001B0007A109FD2EC720BD0E470077505B800007E
+:1001C000EB03B82600A3F734C3FABA5A0033C0EFE2
+:1001D000EFEFEFB000E656B000E654BA5200B801B7
+:1001E00001EFE8CA003C01757FE88300BA5200B80D
+:1001F0000202EFE8B9003C02756EE87A00BA5200DC
+:10020000B80404EFE8A8003C04755DE87100BA5238
+:1002100000B80808EFE897003C08754CE86800BA99
+:100220005200B81010EFE886003C10753BE85F0004
+:10023000BA5200B82020EFE875003C20752AE85635
+:1002400000BA5200B84040EFE864003C407519E83D
+:100250004D00BA5200B88080EFE853003C8075082A
+:10026000E84400B80000EB03B82800A3F734C3BA91
+:100270005A00B80080EFC3BA5A00B80180EFC3BA81
+:100280005A00B80280EFC3BA5A00B80380EFC3BA6D
+:100290005A00B80480EFC3BA5A00B80580EFC3BA59
+:1002A0005A00B80680EFC3BA5A00B80780EFC3B946
+:1002B000FFFFE458E4543C0075034975F7C3FA3274
+:1002C000C0E656E4563C007403E98200B0FFE656EF
+:1002D000E4563CFF7578BA5200B8FFFFEFED3CFFE3
+:1002E000756CB800FFEFED3C007563B0FFE654E4B9
+:1002F000543CFF755932C0E654E4543C00754FB08D
+:100300000FE650E450240F3C0F7543B000E650E474
+:1003100050240F3C0075378CC88EC0BE7000268BF1
+:1003200014268B5C02B80000EFED23C33D0000757E
+:100330001DB8FFFF23C3EF8BC8ED23C33BC1750E70
+:1003400083C60426833CFF75D5B80000EB03B82AAA
+:1003500000A3F734C3FA33C0BF0020B91700F3ABD2
+:10036000BF0030B91700F3ABBF0022B94000F3ABB8
+:10037000BF0032B94000F3ABFC1E8CC88ED833C02E
+:100380008EC0BE9200BF0020B91700F3A4BEA90022
+:10039000BF0022B94000F3A41FC706FB346400BAB3
+:1003A0000800B80F00EFE88201E89B01720DC70654
+:1003B000F7342C00C706F9340400C3BA0A0033C06E
+:1003C000EFE89801E8B501B81700BA9C00EFB80053
+:1003D00010BA9A00EFB81700A90100740140BA8C56
+:1003E00000EFB80018BA8600EFB80C00BA8200EF30
+:1003F000BA0200ED25F9FF0D0200EFBA060033C086
+:10040000EFBA0400B86000EFBA0000B81800EFBA05
+:100410008000B9FFFFEDA901007504E2F8EB3EBAD8
+:100420000A00EDA900407435A90020743033C0EFF4
+:1004300051B9C800E2FE591E061F268B0E023083FA
+:10044000F91775184949BE0220BF0630F3A61F23CD
+:10045000C9750AFF0EFB347412E94DFF1FB82C005A
+:10046000BB0000A3F734891EF934C3C706FB34640C
+:1004700000E8D300720DC706F7342C00C706F93424
+:100480000400C3E8D600E8F300B80300BA8200EF26
+:10049000B84080BA9800EFB80011BA9600EFB840A3
+:1004A00000A90100740140BA9200EFB80019BA8E99
+:1004B00000EFBA0200ED25F9FF0D0600EFBA0600C5
+:1004C00033C0EFBA0000B81800EFBA8000B9FFFFE0
+:1004D000EDA920007504E2F8EB43BA0A00EDA9008B
+:1004E00040743AA90020743533C0EF51B9C800E216
+:1004F000FE591E061F268B0E023283F940751D49D8
+:1005000049BE0222BF0632F3A61F23C9750FFF0E94
+:10051000FB347403E95AFFB80000EB0B1FB82C0042
+:10052000BB0200891EF934A3F734C3BA0200B80035
+:100530009CEFBA0000B80084EF33C0EFBA0A00EFB6
+:10054000BA0E0033C0EFC3BA0A00B9FFFFED2500B1
+:10055000603D00607404E2F5F8C3F9C3B000E656EC
+:10056000B800FFBA5200EFB9FFFFBA5800ED25EF0F
+:10057000007408BA5A0033C0EFE2EFC3BA8000ED4E
+:10058000BA8400EFBA8000EDC30000000000000054
+:10059000C606EC341533C08ED88EC01E8CC8BE4043
+:1005A00054BF60FE8ED8B91000F3A41FC706803672
+:1005B0001035C7068C3630358D063835A33035A357
+:1005C0003235053301A33435C70636355001C70629
+:1005D000843680FEC7068836C0FEC606C2FEFFC649
+:1005E00006933680C606923600C60680FE80C70691
+:1005F00082FE5450C70684FE2B4DE5CEA90200753D
+:1006000008C60681FE23E90500C60681FE22A1F781
+:1006100034A386FEB8483486E0A388FE8D064E34A7
+:1006200086E0A38AFEB8583486E0A38CFEB89C34DA
+:1006300086E0A38EFE8D06200386E0A390FE33C0E5
+:10064000BA7200EF33C0BA7400EFBA7600EFB88028
+:10065000FE86E0BA7200EFE8BF07BA0C01B840406E
+:10066000EFEDBA6A00B80300C1E0080D0300EFB96E
+:100670000A00E89400BA6A00B80300C1E008EFA1DC
+:100680003234A3A233C706A63304008D06A033C1BB
+:10069000E804CD39C7069036FFFFE9E300630D6635
+:1006A0000D660D8A0DE60E75122E0F030F500F60AA
+:1006B0000D600D600DED0FE912600D600D600D60B5
+:1006C0000D600D2210600D600D600D600DFE10605C
+:1006D0000D600D600D600D600D600DAF0F321037B5
+:1006E0000D600D600D600D600D600D600D600D60A2
+:1006F0000D600D600D600D600D600D600D600D6092
+:100700000D640E000F9509600A49BBFFFFBA6A002D
+:10071000EDA900207438803E80FE127531E84A0051
+:10072000A13234A3A233C706A63304008D06A0333A
+:10073000C1E804CD39E82200C706F3344600C706F5
+:10074000F534FFFFC7069036FFFF58E932004B83B0
+:10075000FB0075B983F90075B0C352BA6A00B803DB
+:1007600000C1E0080D0300EF5AC352BA6A00B80393
+:1007700000C1E008EF5AC3000000000000000000C4
+:10078000688007A19036CD358B3624022EFFA43524
+:100790000AFA8A2694368826E834C606943600FB80
+:1007A00022E47501C3F6C420747DF6C40874058084
+:1007B0000E9236048026E834D7C41E8436268B3742
+:1007C00081E6FF0083FE207605B001E9280053068C
+:1007D000D1E62EFF949D06075B268847023CFF74F6
+:1007E000073CFE7511E93B00F6069236087534F6B3
+:1007F00006923604742D80269236F3803E9536009C
+:10080000752126803F057513C60695360026807F24
+:1008100006007407268B4704A29536BA0C01B8402F
+:1008200040EFED8A26E834F6C4107503E95B00F664
+:10083000C4047405800E9236018026E834EBC43E71
+:100840008836268B3583E67F83FE12720826C645DE
+:100850000201E9240083C620D1E62EFF949D06C440
+:100860003E8836268845023CFF750EF60692360114
+:100870007414F606923602750D80269236FCBA0C78
+:1008800001B82020EFED8A26E834F6C408742280EF
+:1008900026E834F7800E923604F606923608741174
+:1008A00080269236F3BA0C01B84040EFED8A26E874
+:1008B00034F6C40474228026E834FB800E9236019C
+:1008C000F606923602751180269236FEBA0C01B8F1
+:1008D0002020EFED8A26E834F6C40174678026E80C
+:1008E00034FE803EE8FF007439803EE8FF04743235
+:1008F000803EE8FF017521E580A90007740ABA9ED1
+:1009000000B80002EFE9EFFFC606E8FF03BA0C01EA
+:10091000B80808EFEDE92800803EE8FF037406E917
+:100920001E00E90000BA1001B80202EFEDE5000D6B
+:100930001800E700E5820D0200E782C606E8FF0422
+:100940008A26E834F6C402740D8026E834FD802639
+:100950009236BFE84F0BFAA0E83408069436C60674
+:10096000E83400FBC3E8E70FC41E84362EFF1601EF
+:100970000726884702E97EFEE82D10C41E84362E25
+:10098000FF16030726884702E96BFE8E0626022E15
+:10099000FF160707C3C3833EF53400740FFF0EF341
+:1009A000347509E8C4FDC706F5340000F606933631
+:1009B000207430A1C2343B06E934A3E934742480A6
+:1009C0003E953600751DF706E63420007412A92006
+:1009D00000740D8326C234DF8326E934DFE9030087
+:1009E000E8DD09BA0601ED8BD081E200C0C1EA0E54
+:1009F00003167434C1E002110672347304FF0674E6
+:100A000034BA0201ED8BD081E200C0C1EA0E0316B8
+:100A10007034C1E00211066E347304FF067034C7EF
+:100A200006A6330400C706AA3300008D06A033C112
+:100A3000E804CD39C39509950965097809950995A3
+:100A4000099107950996098B0995099509950995C5
+:100A500009950995098BC08BC08BC08BC08BC0904A
+:100A6000F6069336207503E9CC008CC0408EC02674
+:100A70008B0E060086E926890E06008CC2C1E204B0
+:100A8000BE0E0026A10400D0E024C08AE0C0EC0421
+:100A90000AC426A2050026A10800A900C07403E923
+:100AA0009E0026F6061000807503E90A0026A016AF
+:100AB00000241F32E403F0803EEC3406725C803E7A
+:100AC00095360075668BFA33DB8EC326891D268822
+:100AD0005D045150C41E8C36B90F0033C0E82109A3
+:100AE00058590BDB7434FE0EE63A26C6078126C63B
+:100AF00047010026C64702FF26C747040000268993
+:100B00004F0A86F2268957062689770826C647099E
+:100B10000026C6470C02E88C09C3FF06EC338CC0E4
+:100B2000488EC0FAE89710FBE9EBFF8CC0488EC0F6
+:100B3000FAE88A10FBC38CC08EC0FAE88010FBC3B1
+:100B4000803E9536007503E9C200BF080026F60610
+:100B5000100080750503FEE90C0026A01600241F76
+:100B600032E403F003FEA095363C007503E99C00D7
+:100B70003C01740B3C0274143C03741DE98D00C6E7
+:100B800006963601E83C017227E98000C6069636D3
+:100B900002E88300721AE97300C606963601E8225D
+:100BA00001720DC606963602E86C007203E95C001D
+:100BB000530650C41E8C36B90B0033C0E8420858A7
+:100BC00026C6078226C64702FF8D06E0FE86C4269B
+:100BD000894706A0963626884708E8C808075B8339
+:100BE00026AD36FEA1AD36E704BA1001B88080EF1D
+:100BF000EDBA1001B80202EFED52BAE000B84110B0
+:100C0000EF5AB89C03CD39C6069536008CC0488E85
+:100C1000C0FAE8A90FFBC31E061F0633C08EC08BA7
+:100C2000F08D3E20F351B10A26837D0C01752A57C1
+:100C300026837D0E007406E82F00E90300E86607AE
+:100C40005F731633C08ED8268B4D128D75208D3E66
+:100C5000E0FEF3A459071FF9C3FEC9740781C7203A
+:100C600001E9C4FF59071FF8C35150535652573377
+:100C7000DB268A5D0E268B4D128D7D205A87D72666
+:100C80008A451487D74232FF80FF087508FECB22C1
+:100C9000DB75EA33DB23DB7406FEC7D0C8730C5068
+:100CA000268A053804587403E90A0049464723C9CF
+:100CB000740AE9D3FF5A5E5B5859F8C35A5E5B5811
+:100CC00059F9C31E061F0633C08EC086CD2BCE8BAE
+:100CD000F78BC133C9803CFF741680F90673093263
+:100CE000C94648742EE9EDFF3D6000730CE923000E
+:100CF000FEC14648741DE9DCFFB810008D3E183473
+:100D000032EDB106F3A67403E908004823C0740766
+:100D1000E9E9FF071FF8C38D36183433C08ED88D2C
+:100D20003EE0FEB81000B9060056F3A45E483D0050
+:100D30000075F3071FF9C3FF06E433C606EB340062
+:100D4000268B450686E0C1E80448068EC0FE06E60E
+:100D50003AFAE8690EFB07B0FFC30000000000008C
+:100D6000B001C3B000C3F6069336207503B004C3C8
+:100D70008B0E973681E18030268B4704257FCF0B81
+:100D8000C1A39736A3E634B000C3F60693362074A9
+:100D900003B003C3268B4708A39736A3E634268AFD
+:100DA0004720A2FD343C017506C706A13600002687
+:100DB0008A4721A2FE34268B470AA31834A358344D
+:100DC000268B470CA31A34A35A34268B470EA31C38
+:100DD00034A35C34C6062A34C0268B4714257FFF13
+:100DE00009062C34268B471625FFFE25FFFC090635
+:100DF0002E34C6060034C0268B4710A30234268B3F
+:100E00004712A304340653E8840A5B073D000075CB
+:100E100007800E923608B0FEC3B90001A1AC33338F
+:100E2000D2F7F9A3AE33914933D2F7E905003BA3DA
+:100E30004634BF003B893E4434BA6800B8E0E0EF76
+:100E4000A1AE33E762A1AE33BA0801EFA14434E7A3
+:100E500064A14434BA0A01EFB800012D04000D006A
+:100E600010E792C33D0000740A26894707E8833AD9
+:100E7000B007C3A1AE332689472BA1443426894746
+:100E80002DA146342689472F800E933620A188361F
+:100E900086E026894708A1843686E02689470AA18C
+:100EA000803686E02689470CB860FE86E0268947B2
+:100EB0000EA0A136268847108B36883626C64402F7
+:100EC000FFE59EA90008740CBA8400ED0D0800EF40
+:100ED000BA8E00EFE50225F9FFE702BA1001B80269
+:100EE00002EFEDB000C3F6069336207503B001C3E0
+:100EF000802693369FE88D0A800E923608B0FEC396
+:100F0000B000C3F6069336207503B004C3C6062AA4
+:100F100034C0268B4706257FFFA32C34268B470839
+:100F200025FFFE25FFFCA32E34CD52B000C3F606EC
+:100F30009336207503B004C3C6060034C0268B4721
+:100F400006A30234268B4708A30434CD52B000C355
+:100F5000F6069336207503B004C3578D7F0651B94A
+:100F6000070033C0F3AB598D7F06A17A34030639ED
+:100F700037268805A1953726884502A180340306C7
+:100F8000763426884507A1C63426884509A1D8337A
+:100F90002688450A33C0A37A34A33937A39537A3EB
+:100FA0008034A37634A3C634A3D8335FB000C3F62D
+:100FB000069336207503B004C3268B4F0483F906CD
+:100FC000741283F904740D83F900740883F90274B0
+:100FD00003B001C3890EE83A8326AB36F9090EAB9C
+:100FE00036E50225F9FF0BC1E702B000C3F6069310
+:100FF00036207503B004C3268B4F0480F9FF7408B4
+:1010000080F9007410B001C3830EAD3602A1AD3675
+:10101000E704E90A008326AD36FDA1AD36E704B04A
+:1010200000C3F6069336207503B004C3E8D504B0B8
+:1010300000C3F6069336807503B001C326837F068E
+:10104000057503E99D00268B5704268B47082681EA
+:101050007F0600807508ED2689470AE99D002683F2
+:101060007F06017504EFE9920026817F06018075F5
+:1010700009EFED2689470AE9810026837F0602757C
+:101080000726214704E9730026817F060280750C3C
+:1010900026214704ED2689470AE95F0026837F065B
+:1010A00003750726094704E9510026817F0603805E
+:1010B000750C26094704ED2689470AE93D00268379
+:1010C0007F0604750726314704E92F0026817F0635
+:1010D0000480750C26314704ED2689470AE91B0078
+:1010E000B001C3FA53268B4F080BC9740C8D1EE058
+:1010F000FEE852FF83C308E2F85BFBB000C3F606CC
+:10110000933680750AF6069336207503B001C38DB9
+:101110003EE0FEE500268905E50226894502A1ADEF
+:101120003626894504E50626894506E508268945CB
+:1011300008E50A2689450AE50E2689450CE5482674
+:1011400089450EE54A26894510E54C26894512A1B8
+:10115000B73626894514E55026894516E552268975
+:101160004518E5542689451AE5562689451CE55853
+:101170002689451EE56226894520E56426894522A3
+:10118000E56626894524E56826894526E56A268997
+:101190004528E56C2689452AE5702689452CE572A7
+:1011A0002689452EE57426894530E576268945321F
+:1011B000E57C26894534E57E26894536E580268905
+:1011C0004538E5822689453AE5862689453CE58805
+:1011D0002689453EE59A26894540E59E2689454271
+:1011E000E5CC26894544E5CE26894546E5D02689C5
+:1011F0004548E5D22689454ABA0001ED1106663414
+:101200007304FF0668342689454CBA0201EDC1E03B
+:101210000211066E347304FF0670342689454EBAF7
+:101220000401ED11066A347304FF066C3426894507
+:1012300050BA0601EDC1E002110672347304FF06D4
+:10124000743426894552BA0801ED26894554BA0AF4
+:1012500001ED26894556BA0C01ED26894558BA0E8E
+:1012600001ED01067A342689455EBA1001ED268922
+:10127000455CB000C3F6069336807407F6069336D5
+:10128000207503B001C326807F06007530803E952F
+:1012900036007452C6069536008326AD36FEA1ADE3
+:1012A00036E704BA1001B88080EFEDBA1001B80239
+:1012B00002EFEDBAE000B80010EFB000C3268B4794
+:1012C000043D000074203D0300771BBA1001B802F2
+:1012D00000EFBAE000B80110EF830EAD3601A1AD0A
+:1012E00036E704B000C3B006C3F606933680750334
+:1012F000B001C326837F0401740A26837F0402742D
+:1013000019B006C326837F060C77F626837F0A6012
+:1013100077EFE81000720BB046C3E84E007203B0DE
+:1013200046C3B000C351B10A8B3E20F326837D0C27
+:10133000027503E90E00FEC9740781C72001E9EBBD
+:10134000FF59F8C3578D7D0E8D7706B91200F3A4AF
+:101350008D7D208D36E0FE268B4D12F3A4FF060115
+:10136000355F26C7450C010059F9C351B10A8D3EBE
+:1013700020F38D36E0FE26837D0C01751B57E82592
+:10138000005F731433C0B92001F3AA26C7450C02CD
+:1013900000FF0E013559F9C3FEC9740781C720014A
+:1013A000E9D3FF59F8C351268B4D128D7D20F3A64A
+:1013B000740359F8C359F9C300000000000000008D
+:1013C000803EEC34067233FF06F03350C41E8C3678
+:1013D000B90F0033C0E82900588126C234DF7F816D
+:1013E00026E934DF7F0BDB741126C6078426C64747
+:1013F00002FF26894706E8AC00C3FF06EA33E9F599
+:10140000FF57268B3F03F9263B7F027416263B7F4E
+:10141000047C2A3D000075138D7F0803F9263B7F6D
+:10142000027C14FF06DE3333DB5FC3268B7F02268C
+:10143000893F03F9E9060026893F26290F26C705BB
+:10144000FFFF26873F26890D8D5D02508BFB83E9C8
+:101450000233C0F3AA58FE0EEC345FC38B7C023B10
+:101460003C742F833DFF750B8D7C08897C02833D86
+:10147000FF741E8A45023C81750C803EEB3400747B
+:101480000533C0E90B008B0D014C028D750283E919
+:1014900002C3803EEC3406720533C0E9F3FFFF0659
+:1014A000EE33E9BEFFF6069236407401C35756513B
+:1014B000528B368C36E8A4FF7503E91A00E91C004C
+:1014C000FE06EC34C43E8036F3A4800E923640BA59
+:1014D0000C01B88080EFED5A595E5FC3FF06E03320
+:1014E000803C81750CFF06E233C606EB3401E9CF80
+:1014F000FF803C847507FF06E633E9C3FFFF06E87B
+:1015000033E9BCFF8D3EE0FEA17234C706723400A1
+:10151000008905A17434C70674340000894502BAF5
+:101520000401ED894504C745060000A16E34C706D5
+:101530006E340000894508A17034C706703400007D
+:1015400089450ABA0001ED89450CC7450E000032F5
+:10155000E4BA0E01EC894510A17E34C7067E340042
+:1015600000894512A18C34C7068C340000894514CB
+:10157000A18A34C7068A340000894516A17C34C785
+:10158000067C340000894518A18834C706883400D9
+:101590000089451AA1CA33C706CA33000089451C11
+:1015A000A17834C7067834000089451EA1C634C727
+:1015B00006C6340000894520C3000000000000007A
+:1015C000FA33C08ED88EC0B8A001C1E8048ED08D89
+:1015D000268000E80001E810EB8B1EF7348B16F92B
+:1015E000348B36FF3433C0B9EFFF8D3E14002BCF60
+:1015F0002BCED1E9F3AB891EF7348916F93483FE7B
+:1016000000740CB9EFFFBF80FE2BCFD1E9F3ABB96B
+:10161000FFFF81E9003B83FE007403E91B00511EBC
+:10162000B800E08ED833F68D3E00D8B9000CF3A593
+:101630001F59BEFFFF81EE00D82BCE81E100FF894C
+:101640000EAC338D062002C1E804A332348ED036AE
+:10165000C7061E00801836C7062200FF7F36C70661
+:101660000A00FFFF36C7061C0080008D06A002C1DD
+:10167000E804A330348ED036C7061E00502836C783
+:10168000060A00FFFF36C7061C008000B8A001C193
+:10169000E804A33434A3F2338ED08D268000B80042
+:1016A00090E7028D3E70018BC7C1E804B903008941
+:1016B000450E894502C705FFFF83C710050100E2FB
+:1016C000EEE85B01E5CEA3B536E82100E84501A1CF
+:1016D00032348CCBCD370E58A900F0740733F6891D
+:1016E00036FF34C38D3630618936FF34C333C08B47
+:1016F000D08BF2B968002E80BCAC17807501EF83E7
+:10170000C20246E2F1B80200E750B95A0033FFC7FF
+:101710000565188C4D0283C704E2F433C08EC08C7B
+:10172000C88ED88D3E80008D369C17B90800E837EA
+:10173000008D3620218D3EC000B90D00E829008DB6
+:101740003E4001B90A00E81F00E84B0E33C08ED8B6
+:10175000C7064E376F17E748E74CB8409CE74AE5A5
+:101760004890B80070E748C3A583C702E2FAC3E512
+:101770004CC35051565752061E33C08ED8E558D12F
+:10178000E073118BF0D1E633C08ED88BB480008328
+:10179000C60BFFE61F075A5F5E5958CF581CE41C62
+:1017A0006C1C8E1AC01F401A441C6518808080FF74
+:1017B00080030280FFFFFFFFFFFFFFFFFFFFFFFF30
+:1017C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29
+:1017D0008003034380800280420302FF0301030170
+:1017E00001030203FFFFFFFFFFFFFFFF02030103EF
+:1017F00003FF0101FF01FF0101030303FFFFFFFFDF
+:10180000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8
+:10181000FFFFFF02B80F00E784B80FF8E782C3B9F3
+:101820000800890EE63A8D0620038BD0C1E804A398
+:1018300090018BC28BD8C1E8048EC005610026A33D
+:101840000000A1303426A3020083C314D1EB268903
+:101850001E080081C21006E2D926C7060000FFFF5D
+:101860008C069201C35051565752061E33C08ED873
+:10187000E75AFF06BE33BAD200EDCF0000000000E9
+:101880008CCBA13034CD37E906EDB83200C3E88CFB
+:1018900001FE06E234E8210175F0E8530E810EAF37
+:1018A0003600C0C706AD366000F706E63480007526
+:1018B0001AF706E63400087409C706AB360B00E9D0
+:1018C0000F00C706AB360300E90600C706AB3611AA
+:1018D0009CC706A9361800F706E6348000750DF798
+:1018E00006B53602007405830EA93620A1A936E795
+:1018F00000A1AB36E702F706E6348000742EE8F26A
+:101900002F33C00D4100E756A1B1360D0010E70896
+:10191000A1B336E70AA1AF36E706B84000E74E3379
+:10192000C0E70EC70626020000E92300C7064E37AF
+:101930003F208E06303426F7060A00008074072602
+:10194000810E08000080C606E03401B80000C3FE26
+:1019500006E134C606E03400A126020BC07401C3C0
+:10196000E80400B80000C3A1A936E7008B1EAB361F
+:1019700083E306E50225F9FF0BC30D1000E702A182
+:10198000AD36E704C3B80A00E784FE06E534C606B0
+:10199000E334018E06303426F7060A00004074074F
+:1019A00026810E08000040C3C7064E376F17FE069B
+:1019B000E434C606E33400C3C3F606183480750D5C
+:1019C000A118340B061A340B061C347501C3A12E62
+:1019D0003425FFFE8B16E73681E200010BC2A32EF1
+:1019E000348D161000BF0000B908008B850034EF5D
+:1019F00083C2108B850234EF83C2108B850434EFD1
+:101A000083C2E283C7064975E2B800008EC0BE00FB
+:101A100034BFB936B91800F3A5B80000C333C08E7F
+:101A2000C08D3EB033B90800F3AB8D3E3E34B903F0
+:101A300000F3ABC300000000000000000000000045
+:101A40005051565752061E33C08ED8E75AFF06BA79
+:101A500033E5560D2000E756BA7A00ED0826943695
+:101A600033C0B10832ED068EC08D3EE0FFF3AA8E82
+:101A700006323426810E0800000207E55625DFFFF6
+:101A8000E756E9F8FC00BD1B101BD91AF31A505198
+:101A9000565752061E33C08ED8E75AFF06B6335348
+:101AA0000651E580A3B4338BD88BC8251000A3ED75
+:101AB000340BC07414FF068034803EFE340074037F
+:101AC000E90600B88000E89D0483E303D1E32EFF1C
+:101AD00097861A59075BE9A4FCBA20008E063C34AD
+:101AE000833E3C34007503E9F000C7063C34000037
+:101AF000E92A00BA10008E063A34833E3A34007563
+:101B000003E9D5FFC7063A340000E81000E9C9FF31
+:101B1000BA10008E063A34C7063A34000026A114E3
+:101B20000026A30C0026A1160026A30E0026C6063A
+:101B30000A0000C1EA0223D1741CBA200026C7069D
+:101B40000E00EA05260B160C002689160C00FF066F
+:101B50008634FF06DC3326A10C00A9003774162654
+:101B6000C6060A0002A900307404FF067A34FF0694
+:101B7000DA33E94900C0EC0783168A340024073CB5
+:101B8000077504FF068C34FF067E34A130348CC305
+:101B90008EC08EDB26830E0800408CD82687061662
+:101BA0000026833E1400FF740A8EC0268C1E00009F
+:101BB000E90500268C1E140033C08ED8C3C38CC028
+:101BC000870692013DFFFF740D8ED88C060000330E
+:101BD000C08ED8E904008C069001E80100C306839A
+:101BE0003E9001FF7429833E3A34007511BA860095
+:101BF000E81E008C063A34833E9001FF7411833E48
+:101C00003C3400750ABA8800E806008C063C3407AC
+:101C1000C3A190018EC026A10800EF26A1000026D6
+:101C2000C7060000FFFFA390013DFFFF7503A392CD
+:101C300001833EED3400740BB81000E784C706ED55
+:101C4000340000C35051565752061E33C08ED8E799
+:101C50005AFF06BC33E925FB5051565752061E3336
+:101C6000C08ED8E75AFF06B033E911FB50515657E2
+:101C700052061E33C08ED8E75AFF06B43306FF065D
+:101C80007634803EFE3400740407E9F0FAB8800030
+:101C9000E8D30207E9E6FA000000000000000000B7
+:101CA000C61D081D911E5D1E731E891E911EA81D56
+:101CB000911E911EAF1EAF1E151D151D911E991F61
+:101CC000000000000000000000040000000200000E
+:101CD00000010010000100400000000000010000B1
+:101CE00007E999FA5051565752061E33C08ED8E76D
+:101CF0005AFF06B2330668F61CE506A3B2338BF032
+:101D000083E61E2EFFA4A01CE50CA980007406E843
+:101D1000A401E506C353E50C8BD8A9010074148314
+:101D20003EE03A00740D8E063834E8BF06C706E080
+:101D30003A0000E5000D1800E700E5020D1100E78C
+:101D4000028BC35BA901007401C38BD0B80008E704
+:101D5000848BC28E06383426A30C008BD0C1E003DE
+:101D60008316883400FF067C3426833E06000A75FD
+:101D7000218BC22540183D4000740C3D00107512A7
+:101D800026FE0E0A00740BF706EF3420007503E9F7
+:101D90005A068CC0268E06020026830E08002026D6
+:101DA000A3120026A31000C3FF06C433E50CA9014B
+:101DB000007501C3A9F0077401C3FF06D433E50021
+:101DC0000D1800E700C3FF06CA33803EA036087531
+:101DD000148E06303426F7060A00000874072681A0
+:101DE0000E08000008E58225FDFFE782E50C50E5BE
+:101DF00080250007A3E43AE58C250080A3E23A5849
+:101E0000A902007525833EE23A00751E833EE43A3E
+:101E1000007517E5080D000425FF04E708E86A01CE
+:101E2000E5820D0200E782E92100E81A06803EE81B
+:101E3000FF00740A803EE8FF047403E90D00C60643
+:101E4000E8FF01BA0C01B80808EFED803E9F3606A6
+:101E50007505830E993640B80001E90901FF06CCEB
+:101E6000338126AF36FFF7A1AF36E706FF06C6344B
+:101E7000E91E00FF06CE33FF0695378126AF36FFF9
+:101E8000EFA1AF36E706E90800FF06D033FF067A78
+:101E900034FF06D233D1E68E0630342E8B84C01C3C
+:101EA00026090608002E8B84C21C09066637C3E586
+:101EB0000CA98000745650E8F00058A9000175077D
+:101EC000FF06C633E90800FF067834FF06C833E58D
+:101ED0008225FDFFE782E86E05BA1001ED803EE83D
+:101EE000FF00740A803EE8FF047403E91D00C60683
+:101EF000E8FF01BA0C01B80808EFEDE90D00C606CD
+:101F0000E8FF03BA0C01B80808EFEDC3A90100749B
+:101F10001CE82C00833EE03A00740F068E0638342D
+:101F2000E8C904C706E03A000007E95D008BD08EDF
+:101F300006383426A30C00E8060068691DE94A004B
+:101F4000A90004740AB80004FF06D833E91700A9F1
+:101F50000001740AFF063937B80001E90800A9102A
+:101F600000B81000741D090666378CC08E06303428
+:101F700026F7060A000001740726810E08000001FA
+:101F80008EC0C3FF06C233E9F8FFE5000D1800E775
+:101F900000E5020D1100E702C358E943FDE5080D15
+:101FA000000425FF04E708E9E0FFE50EA900087535
+:101FB00001C3E9F5FF000000000000000000000080
+:101FC0005051565752061E33C08ED8E75AFF06B8F6
+:101FD00033E548065357FF164E375F5B833E80015B
+:101FE000FF74588E06800126FF0E0800754D26A14D
+:101FF0000000A3800126C7060000FFFF8CC0268ECC
+:1020000006020026810E080080008BD02687061A63
+:102010000026833E1800FF740A8EC0268916000031
+:10202000E905002689161800833E8001FF740C8E96
+:1020300006800126833E08000074B307E93EF7E5F9
+:102040004C90E502A90020740D25FFDF0D0100E78B
+:10205000020D0020E702E50A8BD8A3F43325C3570D
+:102060000D0010E70AF7069B3600807437F7C300AF
+:10207000807406F7C30008745D8126C2347FFFC7F1
+:102080000635370500B88003CD3981269B36FF7FA2
+:10209000C7060F370400F7069B3640007506C706D3
+:1020A0000F370300F7069B360020742AF7C3000899
+:1020B0007424803E9D36067C1DFF069434830E6694
+:1020C00037208E06303426F7060A000001740726F2
+:1020D000810E08000001F7C30020753BF7069A3710
+:1020E0008000740BFF06893733C0E70EE90400FF58
+:1020F000063B37F7069B360020741C80269E36FF71
+:1021000075158E06303426F7060A00000874072677
+:10211000810E08000008C3C300000000000000009A
+:1021200002230223022302230323DD220223FD21B3
+:102130000223A424F32402238D227A23022397244A
+:102140001B247524022302238E25FB8E067E01FBB1
+:1021500026833E0000FF74F2268E060000FA268BCE
+:102160001E080026231E0A0074E58CC08ED0268B24
+:102170002602008C16F23322FF756A26A11C008A03
+:10218000E38ADC22D8750DD0E824F80AC075F2B0D5
+:1021900080E9EDFFD0E824F80AC07502B08032E48F
+:1021A00026A31C00F7C3080075472E8A9FC5252E5D
+:1021B0008BBFC52680C310268E1D268C1E06008B65
+:1021C000160000C7060000FFFF26891583FAFF7579
+:1021D0000A2E8B97CD26262116080033C08ED826CE
+:1021E000891E0400C38ADFB7002E8A9FC525E9E057
+:1021F000FF2683260800F783C310E9DEFF60061E72
+:102200006887256A001F8E06F2338B0E3434390E30
+:10221000F233740E26810E0A00000226810E080099
+:1022200000022689260200A3F2338ED08D2680007C
+:10223000368926020036891E200036C706080000AF
+:1022400000B90400BE00002E8BBCC52636C705FFB2
+:10225000FF36C74502FFFF83C602E2EB8E067E0112
+:10226000368B0E22008CC026833E0000FF268E0691
+:1022700000007407263B0E22007DEA368C06000023
+:102280008EC0268C160000FB36FF2E1E00061E6830
+:102290008B256A001F2609360800F7C600FF740167
+:1022A000C356522E8BB4C52581E6FF002E8BB4C5D4
+:1022B000268CC28EC026C7060000FFFF8EC2268372
+:1022C0003CFF740F8BD0268754028EC226A30000D9
+:1022D000E90700268944022689045A5EC3061E685F
+:1022E0008B256A001F8E06F23326A30A0026892654
+:1022F0000200A134348ED08D2680008C16F233E992
+:102300004DFECF501E525333C08ED826833E04005C
+:10231000FF26C706040000007403E91A00833EE6A6
+:102320003A027613FF06D6338CC08E063234BE4096
+:1023300000683A23E95EFFE884F85B5A1F58CFE84B
+:10234000E10026C606180010268A1E2900881E1BDA
+:102350003726C7060C00FF7F26A10E00E79C26A1AA
+:102360000800E79AE50080FB0874090D18ACE70047
+:10237000071F58CF0D1800E9F4FF501E0633C08E1A
+:10238000D8833EA1360075B7268B3606002EFF9403
+:10239000DC23071F58CFE88A00E5000D1800E7008E
+:1023A000E84900C353F706EF342000752DE58C256E
+:1023B00000708BD8E58C2500703BC374058BD8E981
+:1023C000F2FF3D00307510E50225EFFFE702C7067A
+:1023D000E03AFFFFE90300E812005BC3A323962362
+:1023E000A423A4239623A4239623962326A029007E
+:1023F000A21B3726C7060C00FF7F26A10E00E79C14
+:1024000026A10800E79AE50025FF53268B36060033
+:1024100083E60E2E0B84AD25E700C3061E688B25D0
+:102420006A001F830EEF3420830E9B3608E50025DB
+:10243000EFFF0D0800E700E500A910007501C3E5F6
+:1024400000A9100075F9C350535156061E33C08EB3
+:10245000D8B80500E784E5080D000425FF04E70867
+:10246000E5000D1800E700E5020D1100E7021F0767
+:102470005E595B58C3501E33C08ED8C706EF340078
+:102480000083269B36F7E5000D1800E700E5020DF6
+:102490001100E7021F58CF60061E6887256A001FDB
+:1024A000E816F5C3061E688B256A001F8EC02683BA
+:1024B0003E0A00007403E8430026C7060A00FFFF37
+:1024C000268B1606008E1E8E018CD88BCA833E008A
+:1024D00000FF8E1E0000740A2B16080073EB290EF5
+:1024E000080026890E0800268C1E00008ED88C0657
+:1024F0000000C360061E6887256A001F8EC08BC857
+:102500008E1E8E0126C7060A0000008CD8833E006E
+:1025100000FF74253B0E00008E1E000075ED8ED866
+:1025200026A10000A300003DFFFF74568ED826A10F
+:10253000080001060800E94900268E1E0200BE18A8
+:1025400000833CFF743C390C74198E1CBE00008360
+:102550003E0000FF742C390E000074078E1E000030
+:10256000E9ECFF26A10000890433C98ED93DFFFFA5
+:10257000751083FE18750B268E1E0200812608003A
+:102580007FFF33C08ED8C31F0761CF1F07CF600600
+:102590001E6887256A001FE506251E003D1E007582
+:1025A000F6B90800E558E75A23C0E0F8C300000078
+:1025B000000000000000AC000000A8008C02040035
+:1025C0000008102000FF0E0C0C0A0A0A0A0808086E
+:1025D0000808080808060606060606060606060691
+:1025E00006060606060404040404040404040404A1
+:1025F000040404040404040404040404040404049B
+:1026000004040404040202020202020202020202A0
+:10261000020202020202020202020202020202029A
+:10262000020202020202020202020202020202028A
+:10263000020202020202020202020202020202027A
+:102640000202020202000000000000000000000080
+:10265000000000000000000000000000000000007A
+:10266000000000000000000000000000000000006A
+:10267000000000000000000000000000000000005A
+:10268000000000000000000000000000000000004A
+:10269000000000000000000000000000000000003A
+:1026A000000000000000000000000000000000002A
+:1026B000000000000000000000000000000000001A
+:1026C00000000000001800140010000C00FF7FFF45
+:1026D000BFFFDFFFEFFFF7FFFBFFFDFFFE7FFFBF49
+:1026E000FFDFFFEFFFF7FFFBFFFDFFFEFF00000036
+:1026F000803EE234017603E9A500B80000E74EB958
+:102700002800E2FEC606453702BF3F282E8B45084B
+:10271000E74EB92800E2FE2E8B1DC706B3364011E6
+:10272000C706B1362700C70646370200C706483736
+:102730006400F706B5360200751C2E0B5D0281267B
+:10274000B336FFFEC706B1369C00C7064637080001
+:10275000C70648379001891EB736891EFE33BE2052
+:10276000008BC3E74EB92800E2FE2E8B4504E74EEE
+:10277000B92800E2FEE54E8BCB2E2345062E234DD5
+:10278000063AC174364E75D9803E453700740BC683
+:1027900006453700BF2F28E972FFC606453701F707
+:1027A00006B53602007414E5CE25FDFFE7CEE843FA
+:1027B00000E5CE0D0200E7CEE83900803EE23401AC
+:1027C0007601C3B8EA05E78CFAE812F4FB8D06D06F
+:1027D000398BD8C1E804A338348EC0A1303426A385
+:1027E000020026C7060000FFFF83C318D1EB26892D
+:1027F0001E0800C3E5020D0040E702E5000D0400DD
+:10280000E700B80000E70AE50AA900807514E508AA
+:102810000D0010E708E50A0D0008B90500E70AE217
+:10282000FCC3E5080D0010B90500E708E2FCC3048D
+:102830000C2000010C7EFF000C0200100040000C78
+:10284000C6010000C0F7FF00C002001000400000F9
+:1028500033C08ED88D3E72498D36B037B914008B97
+:102860001E3034895C022E8B45028944062E8B056E
+:1028700089440483C70483C610E2E8C6069E360E68
+:10288000E8FD26688328A1AA02CD35833EA1360043
+:102890007403E93B2733FF8E06A6028B36A4022E73
+:1028A000FFA42E30830E993604C70637370100C6C1
+:1028B00006CA3401E97D19803EA0360874E68026F8
+:1028C0009E36FF751AF7069B3600207412F7069B9A
+:1028D000360300750A830E663710C606A03608E96F
+:1028E000FB01803E9E360275CEC606A03606E9EC98
+:1028F00001C3E9E80126C7060A00000026FF2604F6
+:1029000000A1D1362639061A007522A1D336263900
+:10291000061C007518A1D5362639061E00750E2630
+:10292000F7060C0040007405830E663740810EAF39
+:10293000360010A1AF36E706803E9D36027506CD03
+:1029400034E9A21AC3F7069B361000755426F60622
+:102950000A00FF754C26A0190024C03C4075118068
+:102960003E953600743B26C7060400FFFFE93100A0
+:10297000E8F104F7069B360300742F8BD8B87D036B
+:10298000CD3A8BC3C606A03606F7069B3602007505
+:1029900005C606A03604810E9B36800083269B3632
+:1029A000FCE92301E8871DE933015026A10C00252D
+:1029B00007003D07007503E984003D05007503E944
+:1029C0007C00833EE83A047475833EE83A02746EF4
+:1029D000F706E63418807503E96A00F706E6340066
+:1029E00080743526803E290002752D5156578D364C
+:1029F0003E348D3E2000B90600F3A65F5E59744553
+:102A000026A12000A33E3426A12200A3403426A103
+:102A10002400A34234E92600F706E6340800740BCC
+:102A200026803E1900007403E91300F706E634100F
+:102A300000741226A02800C0E80422C0740726C72C
+:102A4000060400FFFF5823C07403E957FF81269B4B
+:102A500036FFFE83FE067F2426A120003B06D136EA
+:102A6000751A26A122003B06D336751026A1240034
+:102A70003B06D5367506810E9B36000126A1200047
+:102A8000257FFFA3B83426A12200A3BA3426A124AF
+:102A900000A3BC348BC686C4A3C034D1E680FC0935
+:102AA0007403E8AA1C8BC62EFFA4304926A10C0093
+:102AB0003DFF7F740F26FF2604008E063834E8366B
+:102AC00006CD50C3E91600CD34E91100CD34893666
+:102AD0003D37A19D36A33F37C606A0360CE88E00D1
+:102AE000A19F3622E47532F7064C370100752AF6AD
+:102AF000069D3680740788269E36E931003A069D89
+:102B000036A39D3674288BF02EFFA40D2B4429EE9E
+:102B1000421944CD442F455A453A269E367501C385
+:102B200032C086C48BF0A29E362EFFA420498B2E85
+:102B3000993623ED7501C3BF0100BE000085FD7508
+:102B40001A46D1E7E9F6FF2A0029002800270025C8
+:102B50000005000700260006002000F7D7213E9957
+:102B600036D1E62E8BB4472BE94FFFE956FF80267E
+:102B70009E36FF7517F7064C370100750FF6069D58
+:102B800036807408F7066637FFFF7507C706663795
+:102B90000000C3F70641370100750BB87F03CD393C
+:102BA000C7064137010033F6B80040850666377422
+:102BB0002180BC5437FF7404FE84543780BC9634A3
+:102BC000FF7404FE84963431066637833E66370010
+:102BD000740546D1E873D4C3A1F433A90088740BFB
+:102BE000A9001075098B1E4337FFE3E9D700C7061C
+:102BF00035370500C70643371E2CF706F4330008A7
+:102C00007406C7064337102CB88003CD39E9CDFED2
+:102C1000A9000874D9FF0E353775EDE96600A900E3
+:102C20000875CBFF0E353775DF810EC234C000F654
+:102C3000069D36807448810E9B360080F7069B36D1
+:102C40000100741EB87D03CD3A810E9B368000834F
+:102C5000269B36FEC7060F370200C606A03604E9DB
+:102C60007BFE803EA036047507833E0F3701750555
+:102C7000C606A03606C7060F370200E95FFEBE0291
+:102C800000E94AFE80269E36FF753AF6069D36809C
+:102C9000742DF7069B360020752BC606A03606FF5E
+:102CA000069434830E6637208E06303426F7060AE3
+:102CB000000001740726810E08000001E90600BE2D
+:102CC0000400E909FE810EAF360008A1AF36E70621
+:102CD000E50AA90080740E8126AF36FFF7A1AF3652
+:102CE000E706E909FFE9F5FDC70641370000830E55
+:102CF000993602E9E7FD80269E36FF751DF7069B93
+:102D00003600407505830E993608830E993620816A
+:102D1000269B36FFBFB88503CD39E9C0FD803E9EB6
+:102D200036067407803E9E360A7534F6069D368058
+:102D30007506BE0700E996FDC606A03604833E0F61
+:102D40003702741BC7060F370400803E9E36067597
+:102D50000EF7069B3640007506C7060F370300E9DD
+:102D60007BFD803E9D36047512810EC2340040FF0B
+:102D7000069234C606A03606E962FDBE0500E94D9E
+:102D8000FDF6069D36807519830EC23404BE06001A
+:102D9000E93BFD80269E36FF75C5FF063137E90009
+:102DA000008326C234BFC606A03606E92FFDE50A19
+:102DB0005025C3BFE70A5880269E36FF750DA9002F
+:102DC000407508C606A03606E912FDB88303CD3962
+:102DD000C3B87C03CD39F706F43300107509C70674
+:102DE00033370200E9F6FCFF0E33377403E9EDFCDC
+:102DF000FF068E34E8F719830EC23408BE0300E9DB
+:102E0000CCFC0000000000000000000400040405E9
+:102E1000040404000300030300000000000000009D
+:102E20000004000808050808080003000303000068
+:102E3000020404040400000800000A1400001A0040
+:102E40001C001E2000000441060B08C2FFE704031B
+:102E500006040405040604870403060404854EA240
+:102E600004CF04CDC706A2370000C706A63700006E
+:102E700026A12000257FFFA3F53626A12200A3F777
+:102E80003626A12400A3F936E83B198BF0268B0ED9
+:102E90000E002BC883E90EB8018083F9047C51260B
+:102EA0008A542888161C3740268B6C2686CD3BCD4D
+:102EB00086CD890EA43775384032FF268A5C29807A
+:102EC000FB15772580FB0A742080FB01741BB80476
+:102ED000802E3A97022E74072E3A97182E751133CA
+:102EE000C080FB09754F8BF3C326C7060400FFFFA4
+:102EF0005052A1A43786C4263B0626007C32268188
+:102F00003E260000047E298D742A268B1422D2745A
+:102F10001F80E6BF80FE097517C706A23701008033
+:102F2000FA04750C268B4402A3033786C4A3D0345D
+:102F30005A58E9B1FFBD72372E8A872E2E22C074EF
+:102F40001605442E8BF82E8B053E89460083C5025C
+:102F500083C70222E47DEF8D742A83E9047503E9B7
+:102F6000A100268B1422D27503E97C00C706A63780
+:102F70000100BF72378B0583C70280E6BF80E43F44
+:102F800080FE09752280FA04755EC706A23701002B
+:102F9000268B4402A3033786C4A3D03486C4C70655
+:102FA000A6370000E947003BFD7E15268B04A840AC
+:102FB0007406B80780E938FF32C0268B04E92E007A
+:102FC0003AF475B1C745FE000080FE22750D3AD077
+:102FD0007716C706A6370000E913003AD07509C76F
+:102FE00006A6370000E90600B80580E902FF32F6C0
+:102FF00003F22BCAB8058023C97603E964FF740382
+:10300000E9EDFE33C0BF72378B1547473BFD7F1B91
+:10301000F6C6807416F706A63701007406B8088055
+:10302000E9C3FEF6C64074E0B80780E9B8FE7D4209
+:10303000A34544294429B728E228EE2BF228F52895
+:103040000129AC2A4429442944294429442900005F
+:10305000733600000336C535833545350735D23420
+:1030600045340000000000000000000000000000E7
+:103070000000A6380000E03800000000000000005A
+:103080000000000000000000000000000000000040
+:10309000F2330000A6336033FD32BC3277323C326B
+:1030A000FB316A310A31E0E0101010E0E0E0E000AE
+:1030B0000000000000000000000000000000000010
+:1030C000000000000000E000E0E0E0E0E0E0E0E020
+:1030D000E033FF26F6061A0080741B2680261A00AD
+:1030E0007F268B3E260083E71F740B26800E200070
+:1030F0008026013E0E00C3602E8B84A63026A318C6
+:1031000000D1E62EFF94503061C326C7060400C4E8
+:103110002A26C7060E00160026C706060006002649
+:10312000C606190000E8BF05E8980526C706260070
+:10313000000826C60628004026C60629002ABF2AFF
+:103140000026C6050426C645012AA1933733DBA90C
+:1031500040007502B301A900107402B788A90008E5
+:10316000740380CF4426895D02C3830EC2342026B7
+:10317000C70604006B2B26C7060E00300026C706C4
+:1031800006000A0026C7060A00040026C606190023
+:1031900000E86905E82C0526C7062600002226C699
+:1031A0000628006026C606290029BF2A0026C60573
+:1031B0000826C645012D8D7D02BE5437B90300F3A4
+:1031C000A526C6050826C645012E8D7D02BE5A37A6
+:1031D000B90300F3A5E8D405E86405B90600BE54B8
+:1031E000378D2E2C00268B4600290483C60283C50A
+:1031F0000283F90475024545E2EBC326C7060400C5
+:10320000C42A26C7060E00240026C70606000600AC
+:1032100026C606190000E8E404E8A70426C7062627
+:1032200000001626C60628006026C606290028BF0C
+:103230002A00E85B06E87405E80405C326C706040F
+:1032400000C42A26C7060E001A0026C70606000676
+:103250000026C606190000E8A304E8660426C7068F
+:103260002600000C26C60628006026C60629002770
+:10327000BF2A00E82105C326C7060400C42A26C7C2
+:10328000060E00200026C70606000A0026C7060A0A
+:1032900000040026C606190000E84B04E8240426B2
+:1032A000C7062600001226C60628004026C60629A4
+:1032B0000026BF2A00E8F404E88404C326C70604F5
+:1032C00000C42A26C7060E00340026C706060006DC
+:1032D0000026C606190000E80D04E8E60326C70626
+:1032E0002600002626C60628004026C606290025F8
+:1032F000BF2A00E8B604E84604E8FA04C326C70675
+:103300000400C42A26C7060E003800A1A237500BBD
+:10331000C0750726C7060E00340026C7060600063D
+:103320000026C606190000E89903E8A4FD26C74553
+:1033300026002A580BC0750626C745260026A11C64
+:1033400037C1E0042688452826C645292483C72A94
+:10335000E82904E8A004E82205E8F803E80904C322
+:1033600026C7060400C42A26C7060E00320026C758
+:10337000060600060026C606190000E84503E850C8
+:10338000FD26C745260024A11C37C1E00426884538
+:103390002826C645292383C72AE8E003E86C04E809
+:1033A0008A04E89C04C326C7060400C42A26C7066C
+:1033B0000E00340026C7060600060026C6061900C1
+:1033C00000E8FF02E80AFD26C745260026A11C37B3
+:1033D000C1E0042688452826C645292283C72AE855
+:1033E0009A03E8C703E85703E8F803E87804E88A93
+:1033F00004C326C7060400744526C7060E003E0017
+:1034000026C7060600060026C7060A00040026C6D0
+:1034100006190000E8FC02E8A902833E8D37037517
+:10342000019026C7062600003026C6062800502632
+:10343000C606290020BF2A00E8D003E80103E8B54A
+:1034400003E89F03C326C70604006143B9F0008365
+:10345000E90226890E0E0026C7060600020026C6CF
+:103460000619000026C7061A00000026C7061C0021
+:10347000000026C7061E000000E8470283E90E860A
+:10348000CD26890E260086CD26C60628000026C633
+:1034900006290008BF2A0083E90426890D26C645AF
+:1034A00001268D7D0283E902BB0100B830304B75E7
+:1034B00017BB0A008AC4268805B03180C40180FC8D
+:1034C0003A750AB461E90500268805040147497583
+:1034D000DDC326C7060400044526C7060E001200F9
+:1034E00026C7060600060026C606190001E8E50103
+:1034F000E8D00126C7062600000426C606280000DC
+:1035000026C606290007C326C7060400C42A26C704
+:10351000060E00200026C7060600060026C606196D
+:103520000006E80402E89B0126C7062600001226D2
+:10353000C60628000026C606290006BF2A00E86B3A
+:1035400002E8FB01C326C7060400C42A26C7060EEC
+:1035500000200026C7060600060026C6061900053C
+:10356000E8C601E85D0126C7062600001226C60649
+:1035700028000026C606290005BF2A00E82D02E81B
+:10358000BD01C3FF06823426C70604003D4126C79D
+:10359000060E00200026C70606000E0026C60619E5
+:1035A0000004E88401E81B0126C706260000122655
+:1035B000C60628000026C606290004BF2A00E8EB3C
+:1035C00001E87B01C326C7060400674226C7060E32
+:1035D00000200026C7060600080026C606190003BC
+:1035E000E84601E8DD0026C7062600001226C606CA
+:1035F00028000026C606290003BF2A00E8AD01E81E
+:103600003D01C3FF06843426C7060400674226C76F
+:10361000060E00240026C7060600080026C6061966
+:103620000002E80401E89B0026C7062600001626D3
+:10363000C60628000026C606290002BF2A0026C6A4
+:10364000050426C6450101A10F3786E0F6066F374F
+:1036500001750F3906CC3474098BD8B88903CD397C
+:103660008BC3A3CC34268945028D7D04E83D01E857
+:10367000CD00C326C7060400C42A26C7060E001CB8
+:1036800000A1A237500BC0750726C7060E00180010
+:1036900026C7060600060026C606190000E8230015
+:1036A000E82EFA26C74526000E580BC0750626C719
+:1036B0004526000A26C645290083C72AE8BD00E83A
+:1036C000FF00C3565751B90300BED136BF2000F3E7
+:1036D000A5595F5EC3565751B90300BED136BF1A14
+:1036E00000F3A5595F5EC326C7061A00C00026C7AF
+:1036F000061C00000026C7061E000010C326C706D1
+:103700001A00C00026C7061C00000026C7061E00BF
+:103710000008C326C7061A00C00026C7061C000002
+:103720000026C7061E000002C326C7061A00C000F6
+:1037300026C7061C00FFFF26C7061E00FFFFC32684
+:10374000C6050826C64501028D7D02BE0537B903B0
+:1037500000F3A5C326C6050426C6450106A10D37FC
+:10376000268945028D7D04C326C6050426C645016B
+:1037700007A10B372689450283C704C3A1A2370BD3
+:10378000C0741326C6050426C6450109A1033726C1
+:1037900089450283C704C326C6050826C64501021B
+:1037A0008D7D02BE0537B90300F3A5C326C6050605
+:1037B00026C645010B8D7D02BEEF36B90200F3A58A
+:1037C000C326C6050626C6450120A16837268945B9
+:1037D00002A16A3726886505C1E00426884504836E
+:1037E000C706C326C6050426C645012126C74502CD
+:1037F000000083C704C326C6051426C64501228DD2
+:103800007D02BE1F37B90900F3A5C326C6050C26E5
+:10381000C64501238D7D021E0E1F8D364054B9030F
+:1038200000F3A533C0B90200F3AB1FC326C60508D9
+:1038300026C64501288D7D02BED136B90300F3A509
+:10384000C326C6050826C6450129A1C23486E0263E
+:10385000894502A19B362689450426884506268887
+:1038600045078D7D08C326C6050626C645012B8D56
+:103870007D02BEBB36B90200F3A5C326C6050626E7
+:10388000C645012C8D7D02BEE536B90200F3A5C305
+:1038900026C6050426C6450130A1373786E02689AD
+:1038A00045028D7D04C326C7060E001E0026C706EE
+:1038B0000600020026C606190000E86CFEE803FEBA
+:1038C00026C7062600001026C60628003026C60693
+:1038D000290011BF2A00E83500E84500E85500C37B
+:1038E00026C7060E00120026C7060600020026C6DE
+:1038F00006190000E832FEE8C9FD26C706260000CA
+:103900000426C60628003026C606290013C326C68C
+:10391000050426C645010C26C74502000183C704DD
+:10392000C326C6050426C645010E26C74502000269
+:1039300083C704C326C6050426C645012126C745FC
+:1039400002000083C704C300000000000000000064
+:10395000B339C939833AB339B339B3391C3A1C3A4C
+:10396000A3B634A1E936A31137A3D234A1EB36A311
+:103970001337A3D434A1ED36A31537A3D634A10150
+:1039800037A3CE34A1F736A31737A3DC34A1F93619
+:10399000A31937A3DE34F7069B360200750C33C03B
+:1039A000A09E368BF02EFFA45039E90F01BE070010
+:1039B000E919F1F6069D368074F3C606A03602C6F4
+:1039C000066E3708C606703702B88803CD39F6068A
+:1039D0006F3701754AA1D1363A06E93675413A2664
+:1039E000EA36753BA1D3363A06EB3675323A26EC09
+:1039F00036752CA1D5363A06ED3675233A26EE36C5
+:103A0000751DC606703702FE0E6E37750FB8880337
+:103A1000CD3A830E9B3612C606A0360CE9A8F0A15B
+:103A20000537263B0620007540A10737263B0622B6
+:103A3000007536A10937263B062400752CA09E365A
+:103A40003C02750826F6061800087547C6066E374C
+:103A500008FE0E7037751CC606703702E5020D01B0
+:103A60000425EFFFE702E95EF0C606703702C606DE
+:103A70006E3708E50225FFFB0D010025EFFFE70289
+:103A8000E944F0F7069B360001742526F606180077
+:103A90000875ED81269B367FFFB88903CD3AB8843F
+:103AA00003CD3AC606A036068326C234AFE917F026
+:103AB000A101373A260F377FC7E9F7FE83269B36E9
+:103AC000ECE82A0D810E9B368000BBFF7FCD53C6EC
+:103AD00006A03602E9F0EF830E9B3611C606A0362B
+:103AE0000CE9F9EF443B2C3BC72A6B3B443BC72A0C
+:103AF000C72AC72AA3B634810EC2340020F7064174
+:103B0000370100741B8CC3C70641370000B87F0320
+:103B1000CD3A33C08EC0BF5437B90600F3AB8EC365
+:103B200033C0A09E368BF02EFFA4E43AF7069B36F6
+:103B3000000175218326C234BFA1A936E700A19BED
+:103B400036E90900A19B3681269B36FFDFA90020BC
+:103B50007506E96E00E96FEF830E993604C70637E4
+:103B6000370100C606CA3401E95800830E9B36406F
+:103B7000E85800A105373B06E9367537A107373B02
+:103B800006EB36752EA109373B06ED367525FE0E80
+:103B90007137751CB88703CD3A830E993610A15042
+:103BA00037C7065037000009069936C606A0360802
+:103BB000E914EF830E993604C70637370300C606AB
+:103BC000CA3403C606A0360AE9FCEEA1D136263B6C
+:103BD0000620007515A1D336263B0622007512A1DA
+:103BE000D536263B062400750FC38D362000E90B21
+:103BF000008D362200E904008D36240083C402F7CC
+:103C000006E63401007415263A047708720E263A47
+:103C100064017208C606A03606E9ABEEE87C0A8CA1
+:103C2000C03DFFFF741B26C60618001026C70604F9
+:103C300000493C26C70606000C00CD50B94E00E2F4
+:103C4000FEC606A0360AE994EEE97BEE8F3C063DFF
+:103C5000063D063DD23CEA3C063D063DA3B6348116
+:103C600026C234AFDFC7064C370000B88A03CD3A0E
+:103C7000803E9D3604750C803E9E36067405C60651
+:103C80009F360633C0A09E368BF02EFFA44C3CF727
+:103C9000069B360020750E81269B36FFBFB88B032E
+:103CA000CD3AE95400F7069B3600017403E917EE9C
+:103CB000C70637370200C606CA3402830E99360497
+:103CC000830E503704F6069D3680752AE81F0BE9EF
+:103CD0002700F7069B36000175D3C7063737020069
+:103CE000C606CA3402830E993604C606A03600F60C
+:103CF000069D36807403E8DE0A81269B367CFFBB76
+:103D0000FFFFCD53CD54E9BEEDA3B634E8AD01B805
+:103D10008603CD39C7064C3700008126C234AFDF99
+:103D2000F6069D36807434F7069B3600207456F7ED
+:103D3000069B3600017427E83501721CBE004085E1
+:103D400036C23475080936C234FF069234E88B0156
+:103D50007306810E99368000E96CEDE9B500C7065F
+:103D600037370200C606CA3402830E993604830E22
+:103D7000503704803E9E36087403E85A0AE8EF0084
+:103D800072D6E9C8FF803E9E360A7512C606A03676
+:103D900000F7069B3608007402CD54E8390A8126E4
+:103DA0009B36FFBFE8C80072AFB88B03CD39E99CE2
+:103DB000FFF6069E36FF7558A3B634E8FE0081264E
+:103DC000C234FFBFF6069D36807448F7069B360066
+:103DD000207422F7069B3600407508E89100723087
+:103DE000E9220026A10C00A960007524810E663727
+:103DF0000008E9D2ECC7064C370000E871007210E9
+:103E0000B88B03CD39E8D3007306810E9936800054
+:103E1000E9B4EC803E9D3604750C803E9E360674F7
+:103E200046C6069F3606F7069B360001740C803E98
+:103E30009D36087505C6069F360AE8320072D1E83D
+:103E40009900803E9D36087513810E99368000F7E3
+:103E5000069B3600207508B88B03CD39E968ECC69F
+:103E6000069F360AE960ECB88603CD3AE958EC269D
+:103E7000A10C00A9600074088126C234FFBFF9C3F9
+:103E8000F7069B3600407413810E66370008E84A37
+:103E9000007306810E99368000F9C3810E9B3600AF
+:103EA0004080266F37FE81269B367FFFC606A036F0
+:103EB00000F8C3810E99360001E921EC26A120000B
+:103EC000A3FB36A3AA3426A12200A3FD36A3AC345B
+:103ED00026A12400A3FF36A3AE34C3A10537263B99
+:103EE0000620007519A10737263B062200750FA191
+:103EF0000937263B0624007505E80200F8C3511E69
+:103F0000068BC78D362000BF0537B903001E061F7C
+:103F100007F3A58BF88D362000BFA034B90300F35A
+:103F2000A5071F598BF8A10737A3A634A10937A30A
+:103F3000A834F9C3C606B63401E98BEBE887088BD1
+:103F4000F00512002629060E00268B442A263A0682
+:103F50000E00755B26832E0E000280FC277550260E
+:103F60008B442CA9FFFF75478BFE33C026F6453CDA
+:103F7000807406268A453A241F03F826807D450969
+:103F8000752D8CC28E0638348EDA8B0E0E00268983
+:103F90000E0E008D742CBF1800F3A433C08ED826EB
+:103FA000C7060400B53F26C70606000600CD50B878
+:103FB0000680E9EFE926A10C00A39337830E99361A
+:103FC00001E900EB26803E1C00FF752F26803E1E77
+:103FD00000FF752726F7060C004000751BA1D1369F
+:103FE00026A31A00A1D33626A31C00A1D53626A3EA
+:103FF0001E00B80A80E83607E9E2EAFF069034BE00
+:104000000A00C606B63401F6069D36807505830E95
+:10401000C23401E9B6EA803E9D360A750F26A10C2E
+:10402000002507003D04007503E87900A1F33686FA
+:10403000E0E71EA3E33681260B37000381260D3708
+:104040007B7F830E0D3748E81E0026A10C00250754
+:10405000003D0400740926F7060C0020007506B820
+:104060000100E93FE9E95FEAC70641370000B87F90
+:1040700003CD3AA11D37A3C43486E0687F031FA394
+:10408000060033C08ED8A10B37A3B234A10D37A3DD
+:10409000B434A1F336A3C834A1EF36A39C34A1F104
+:1040A00036A39E34C3800E9D3680BE0000E8B40760
+:1040B000B87B03CD3AB87C03CD39C706333702004D
+:1040C000A1E536E72EA1E736E73EB88203CD3AF701
+:1040D000069B3600207503E8FD06A1D336A3EF3614
+:1040E000A39C34A1D536A3F136A39E34C3F6069D16
+:1040F00036807431BE2200E91700F6069D368074C2
+:1041000024BE2300E90A00F6069D36807417BE24FB
+:104110000056E8A8058CC03DFFFF5E7405E8D7EFA8
+:10412000CD50E91FE8E99FE9000000000000000011
+:10413000B88403CD3AB88A03CD39E9F700803EA0B0
+:104140003608752EA9D007752CA1B1360D0004E7ED
+:1041500008E50025FF73E700B88A03CD3AE8C306F7
+:1041600033C0E70EE50A25C317E70ACD54C606A0FB
+:104170003600E968E9BE0400E93FE983269B36BFC3
+:10418000C606713703B88603CD3AB88803CD3AB86E
+:104190008303CD3AB88703CD39810EC2340020E9BC
+:1041A0009200E84906B88703CD39BBFF7FCD53B8ED
+:1041B0008403CD3AB88803CD3AB88B03CD3AB8839F
+:1041C00003CD3AB88603CD3AB88503CD3AC3E500AE
+:1041D00025FF53E700830EC234408326C234EFE844
+:1041E0000C06BBFF7FCD53B88A03CD3AB88503CD0B
+:1041F0003AB88603CD3AB88303CD3AB88703CD3AAF
+:10420000B88B03CD3AB88403CD3AB88903CD3AC30D
+:10421000830EC23450E81804E8D305F6066F370160
+:104220007512B88903CD39833E0F37007506C7066E
+:104230000F370400A19D3680FC087405B88403CDB7
+:1042400039E5020D010825EFFFE702A19D3686E062
+:1042500032E48BF0D1EE33C00D20000906AD36A15B
+:10426000AD36E704E953E8E95AE833C0A01B37D17B
+:10427000E03A06A0367503E9BAFFE960E8C70641EF
+:10428000370000E8C1E1E86A0633C00D4100E75697
+:10429000A1B1360D0010E708E50225F9FF0D030076
+:1042A000E702A1B336E70AA1AF36E706A1AD36E7CC
+:1042B00004E87C03E89F03C7061D3700C8C7060B48
+:1042C000370003C7060D377B7F33C0A39936A39B06
+:1042D00036A39D36A39F36A34C37A3F336A3EF3600
+:1042E000A3F136E882FDC6069F3602E9EFE7E50254
+:1042F0000D018825EFFF0D00400D0004E702E8F2F4
+:1043000005E50A0D4000E70A33C0A38137A38537CE
+:10431000A38337A38737A38937E5000D0084E7001F
+:10432000B88C03CD39B88000CD35C706AA02FFFF8F
+:10433000E50025FF7BE700810E9A378000B87E03F9
+:10434000CD3933C0E70EBE08008E063834E8A7ED3D
+:104350008326EF34DFFF068137CD50830EEF342004
+:10436000C3F7069A378000743DA9D0077410A900DE
+:1043700004741233C0E70EFF068737E9D2FFFF0649
+:104380008537E9CBFFFF068337E9C4FF83269A37D9
+:104390007FA18937030687373D05007F01C3BBFF37
+:1043A0007FCD53E90000E50225FFFB25EFFF0D015E
+:1043B00000E702A183373B0646377F2AA185373BBA
+:1043C0000648377C21A18937030687373D05007FE2
+:1043D00015C6069F3604E50225FFF70D010025EFFF
+:1043E000FFE702E9F7E6BE0100F7069B360300741B
+:1043F0000A83269B36FC830EC23404E9D0E6B87BE0
+:1044000003CD39E5020D016025EFFFE702C706F194
+:10441000342003B88E03CD39C38126C2347FFF8098
+:104420000E6F3701F7069B36030074D2B87B03CDBD
+:104430003AB87D03CD3983269B36EF33C0B08AA2CC
+:104440009F36A29D36C7064C370100C7060F3704BA
+:1044500000F7069B3640007506C7060F370300B805
+:104460008D03CD39E800D5E5020D014025EFFF8B26
+:10447000D8B87C03CD39C706333702008BC30D0093
+:104480002025F9FF0B06E83AE702C3FF0EF1347569
+:1044900001C3E54EA901007512E500A900047505E8
+:1044A0000D0004E700B88E03CD39C3E500A9000470
+:1044B00074F325FFFBE700E9EBFFC606A036048393
+:1044C000269B36FC810E9B368000E910E6B88E03F1
+:1044D000CD3ACD54810EAF360018A1AF36E706B8FD
+:1044E0007B03CD39A1D336A38F37A1D536A391371E
+:1044F000C7068B370200C7068D370200830E993638
+:1045000040E9D9E5803E9F36067515A9D00775ECC0
+:10451000250018750EFF0E8B3775E1C6069F36080D
+:10452000E9BAE5FF0E8D3775D3BE0800E99FE5B8FF
+:104530007B03CD39F7069B3600207408C6069F36EC
+:104540000AE90D00F7069B360040740BB88B03CDCB
+:1045500039810E99368000E983E5B87B03CD39C7F0
+:10456000068B370400C7068D370400810E9936008C
+:1045700002E969E5F6069D3680751BA9D00775EB43
+:10458000A90018750CFF0E8D3775E0E817FBE94C94
+:10459000E5B88203CD39C3FF0E8B3775CEBE090057
+:1045A000E92BE5C7063D370000C7069B360000E84B
+:1045B0003C028126AF36FFE7A1AF36E70681269B96
+:1045C00036FF7FE5020D010025EFFF25FFDFE70243
+:1045D000BBFF7FCD5333C0A39D36A39F36E8500069
+:1045E000E87300B88103CD39C3F7069B3603007426
+:1045F0000DC6069F3602C606A03600E9DFE4830E2C
+:104600009B3610C70699360000E8E702E5560D0212
+:1046100000E756C706A80200008B363D37E8440283
+:10462000C606A0360EE9B5E4000000000000000058
+:1046300006B88A03CD3AB88503CD3AB88603CD3A99
+:10464000B88303CD3AB88703CD3AB88B03CD3AB8D7
+:104650008803CD3A07C306B88803CD3AB87B03CDAB
+:104660003AB88203CD3AB87F03CD3AB87C03CD3A4D
+:10467000B87E03CD3AB88003CD3AB88103CD3AB8BD
+:104680008403CD3AB88903CD3AB87D03CD3AB88DCD
+:1046900003CD3AC7064137000007C3068E063834FB
+:1046A0001F8B0E0E0026890E0E00BE1800BF1800CC
+:1046B000F3A4061E07CD340733C08ED8C326F606F2
+:1046C000200080744433C026A02600241F8BF026CF
+:1046D0008B5C28891E6A37068E0638341FC0E304B7
+:1046E00026885C288BC6B90600BE2000BF1A00F3DE
+:1046F000A48BC883C706F3A426812626001F802624
+:10470000813626000080E9A9FF268B1E2800891E1D
+:104710006A37068E0638341FC0E30426881E280038
+:10472000B90600BE2000BF1A00F3A4E984FF86C4C6
+:10473000A36837E887FFF7066A370F007410803EDA
+:104740009E36007509BE0000E8ACE9CD50C3C350E9
+:10475000560633C026F606200080740626A02600E2
+:10476000241F8BF0268B5C2686FB83EB04744F831F
+:10477000C62A8CC08ED8B9070033C08EC0BF72372E
+:10478000F3AB33C98A0C80F9007503E930003BD9DB
+:104790007303E929002BD98A4401253F0074193D90
+:1047A0000B007D14D1E08BF82E8BBD5C498D74021B
+:1047B00083E902F3A4E9020003F123DB75C433C0EB
+:1047C0008ED8075E58C333C026F6062000807406D4
+:1047D00026A02600241FC3E50A25C3BFE70AB88622
+:1047E00003CD39B88303CD3981269B367CDFB8856C
+:1047F00003CD3AE50225FFF30D010025EFFFE702A7
+:10480000E50025FF53E700A1E73625FFFEA3E736C5
+:10481000E73E83269936CF810EAF360010A1AF3622
+:10482000E706C3E5020D010C25EFFFE702A1E7361D
+:104830000D0001E73EA3E736810E9B360020830E74
+:1048400099362081269B367CBF810EAF360010A1A1
+:10485000AF36E706B88603CD39B88503CD39B883BE
+:1048600003CD3AC30BF67549068E063234803EE01E
+:104870003401751B26893606008E06323426F7066B
+:104880000A000020740726810E0800002007C3805C
+:104890003EE33401751926893606008E0632342629
+:1048A000F7060A000010740726810E0800001007A2
+:1048B000C3E9B4FF50515733C0B906008EC0BFD111
+:1048C00036F3AE5F740C26F6060000C07504F85986
+:1048D00058C3F9E9F9FF8B050B45020B4504C35298
+:1048E00050E506251E003D1E0075F6B80180E75A0A
+:1048F000585AC3E8E9FF50E50225FF7F0D01002566
+:10490000EFFFE7020D0080E702A1AD36E704A1AF9B
+:1049100036E70658C3000000000000000000000059
+:104920002E2BCE4110427B413041A241AF4544295C
+:10493000C72AC72A6039F43A5C3C093DB13D343F8F
+:10494000C72A3C3FC72AC43F16401640ED40FA40F4
+:104950000741C72AC72AC72AC72AD65200000137EB
+:10496000E936F336EF361D370D370B379C370337F3
+:10497000FB36622D4006D12DF401BA4440068C432B
+:104980006400E82CC800D82B0500E9455000974585
+:10499000FA00AE2D04016A420200F62CBC02932DEF
+:1049A000DC051D2D6400A12D1400D73A0807812DC8
+:1049B0006400B33E020030436400C52CF4018B4414
+:1049C00002000000000000000000000000000000E5
+:1049D000803EFD3402740CE82005C706A1360000B5
+:1049E000E99AF8FF06C033E810058B363D37E873C7
+:1049F000FEC3CD34E9E805C706A3360000C706416B
+:104A0000370000E8EDFE33C00D4100E756A1B13696
+:104A10000D0010E708A1B336E70AA1AF36E706A1FB
+:104A2000AD36E704E82B09C7061D3700C8C7060BDB
+:104A3000370003C7060D377B7F33C0A39B36A39D8A
+:104A400036C7064C370100C6069E36FFC706053737
+:104A50000000C70607370000C70609370000A3F3A8
+:104A600036A3EF36A3F136E8FEF5E50225F9FF0D92
+:104A700003000D008825EFFF0D00400D0004E70244
+:104A8000B88F03CD39B88000CD35C706AA02FFFF25
+:104A9000A1A936A3A7360D00A40D0008E700A3A91D
+:104AA00036C706A3360100C706A5360C00833EA50F
+:104AB00036007509C7063D370500E913FFFF0EA54F
+:104AC00036BE1100E82205B89003CD39C3833EA35A
+:104AD000360174D9C3B89003CD3A26A02B00268B9B
+:104AE0001E2C00CD34833EA336017403E9F0043C50
+:104AF0000F751E81FB0002751826A12000A3053743
+:104B000026A12200A3073726A12400A30937E9091B
+:104B100000C7063D370100E9B6FEC706A33602000E
+:104B2000C6069E36FFE8CBFDE81CD933C0A3853707
+:104B3000A38337A38737A38937B89103CD39B880CA
+:104B400000CD35C706AA02FFFFE50025FF53E700A9
+:104B5000810E9A378000B89203CD3933C0E70EBE7C
+:104B600008008E063834E88EE526C70604007D4B23
+:104B70008326EF34DFCD50830EEF3420C3F7069A3F
+:104B80003780007432A9D007740CA90004740E3366
+:104B9000C0E70EE9DAFFFF068537E9D3FFFF06839A
+:104BA00037E9CCFFC7063D370100E936FE83269A78
+:104BB000377FBBFF7FCD53E5000D00ACE700E5027A
+:104BC00025FFFB25EFFF25FFF70D0100E702A1837D
+:104BD000373B0646377FCDA185373B0648377CC437
+:104BE000C706A3360300BE1300E8FD03B89303CD48
+:104BF00039B89403CD39B89603CD39B89503CD397A
+:104C0000BE0600E8E303E9D603833EA3360374013E
+:104C1000C3BE1300E8D203B89403CD39C3B89403DC
+:104C2000CD3A26A02B00268B1E2C00CD34833EA32C
+:104C300036037403E9A8033C0D753E83FB00753908
+:104C4000E5020D0020E702B89303CD3AC706A3366C
+:104C50000400BE0000E80CFCC6069D3680C6069E19
+:104C60003600C70633370200B89A03CD39E8FC0096
+:104C7000C7064C370000E96603C7063D370800E960
+:104C800061FD833EA336037509C7063D370500E97C
+:104C900051FDE94A03833EA336047412833EA336D2
+:104CA00005740BCD34C7063D370700E935FDC7064F
+:104CB000A3360600C6069E36FFB89A03CD3AB899C9
+:104CC00003CD3AB89603CD3AB89703CD39B89803D7
+:104CD000CD39B89B03CD39E918FDCD34833EA336D9
+:104CE000047718833EA336037508F7069B36000148
+:104CF0007509C7063D370100E9E8FCE9E102CD345A
+:104D0000833EA336027709C7063D370100E9D3FC8D
+:104D1000833EA336047705B89603CD39E9C00283F4
+:104D20003EA33603751026A10C00250700503D0454
+:104D3000007503E83600A1F33686E0E71EA3E336EC
+:104D400081260B37000381260D377B7F830E0D37BD
+:104D500048E814F3583D0400740926F7060C0020B7
+:104D6000007506B80100E97A02E986FCA1E536E79C
+:104D70002EA1E736E73EA1D336A39C34A1D536A3B6
+:104D80009E34C326803E1C00FF752F26803E1E00E9
+:104D9000FF752726F7060C004000751BA1D13626AB
+:104DA000A31A00A1D33626A31C00A1D53626A31E24
+:104DB00000B80A80E92C02E938FCFF069034BE0AEC
+:104DC00000C606B63401F6069D36807505830EC210
+:104DD0003401CD34E90CFC833EA336037509C706C4
+:104DE0003D370500E9FCFBE5020D03000D00880DD1
+:104DF00000400D0004E702C706A3360500C6069E64
+:104E000036FFBE0200E8E101B88903CD3AB89A0343
+:104E1000CD3AB89903CD39B89703CD39B89803CDB9
+:104E200039E9BB01833EA33603740A833EA33604EB
+:104E30007403E9AA01BE0600E8AE01B89503CD39B6
+:104E4000E99C01833EA336057403E99201BE02008A
+:104E5000E89601B89903CD39E98401C7060F3705F3
+:104E600000E97B01E50225FFDFE702C706A336075D
+:104E700000C7060F370500E96501E8D504C6069DA1
+:104E80003600C7069B360000C7060F370500C70669
+:104E9000A8020000C7064C370100E50225F9FF0D06
+:104EA00003000D008825EFFF0D00400D0004E70210
+:104EB000E967FCB89A03CD39F706F4330010750999
+:104EC000C70633370200E91601FF0E33377403E9D2
+:104ED0000D01FF068E34830EC23408C7063D37032A
+:104EE00000E9FFFAC35250BAE000B80010EF585A78
+:104EF000C3C7063D370000E9E9FAFAE85404B88070
+:104F0000038EC026C7060400D82BB87F038EC026A8
+:104F1000C7060400E82C33C08EC0A1A736A3A9366B
+:104F2000A1A936E700A1AB36E702C70605370000A6
+:104F3000C70607370000C70609370000C6069D36BA
+:104F400000C6069E36FFC7069B360000C706A3367E
+:104F50000000C7060F370000C706A8020000C706FA
+:104F60004C3701008126AF36FFE7A1AF36E706BB1D
+:104F7000FF7FCD53E87CF9E5560D0200E756FBC3F1
+:104F80008D3EC0538D36F038B90E008B1E303489FB
+:104F90005C022E8B45028944062E8B0589440483CE
+:104FA000C70483C610E2E8B880038EC026C7060493
+:104FB00000E251B87F038EC026C7060400B2523308
+:104FC000C08EC0C706A1360100C7060F370500C353
+:104FD00033FF8E06A6028B36A4022EFFA4A053E850
+:104FE0008CDBC3E848F7E9F6FF8E063834E807E1C2
+:104FF00026C7060400DF4FCD50C326C7060A0000AF
+:105000000026FF260400CD34E9D4FFA1D13626398D
+:10501000061A007522A1D3362639061C007518A180
+:10502000D5362639061E00750E26F7060C00400000
+:105030007405830E663740810EAF360010A1AF367F
+:10504000E706833EA336027505CD34E956FB833E61
+:10505000A3360074B1833EA3360577AA26F6060A66
+:1050600000FF75A2E8FDDD50F6069336207503E9D2
+:105070008C0026A10C002507003D07007503E9768A
+:10508000003D05007503E96E00F706E634188075EB
+:1050900003E96A00F706E6340080743526803E296D
+:1050A0000002752D5156578D363E348D3E2000B985
+:1050B0000600F3A65F5E59754526A12000A33E3485
+:1050C00026A12200A3403426A12400A34234E926CD
+:1050D00000F706E6340800740B26803E19000074C1
+:1050E00003E91300F706E6341000741226A0280026
+:1050F000C0E80422C0740726C7060400FFFF582337
+:10510000C07403E9DDFE81269B36FFFE26A1200048
+:105110003B06D136751A26A122003B06D336751000
+:1051200026A124003B06D5367506810E9B3600016C
+:1051300026A12000257FFFA3B83426A12200A3BA10
+:105140003426A12400A3BC348BC686C4A3C034D1AA
+:10515000E680FC097403E8F6F5A105370B0607376E
+:105160000B060937743E26A120003B06053775174C
+:1051700026A122003B060737750D26A124003B0619
+:1051800009377503E91D0026A02800240F3C03748D
+:105190001B3C00750F833EA336047410F7069B3644
+:1051A000000174082EFF94F853E933FECD34C7068E
+:1051B0003D370100E92CF8833EA336057410833E89
+:1051C000A336017E0983EE162EFF942454C3CD34FA
+:1051D000C326A10C003DFF7F740526FF260400E9CD
+:1051E000FDFDA1F433A90088740BA9001075098B8B
+:1051F0001E4337FFE3E99700C70635370500C706AA
+:1052000043372852F706F43300087406C7064337BD
+:105210001A52B88003CD39E9C5FDA9000874D9FF39
+:105220000E353775EDE93000A9000875CBFF0E3556
+:105230003775DF810EC234C000F6069D3680740FCC
+:10524000810E9B360080C7060F370200E990FDC72C
+:10525000063D370200E98BF780269E36FF7530F653
+:10526000069D36807420FF069434830E6637208EA8
+:1052700006303426F7060A000001740726810E085E
+:10528000000001E90900C7063D370400E954F78131
+:105290000EAF360008A1AF36E706E50AA900807414
+:1052A0000E8126AF36FFF7A1AF36E706E949FFE9E1
+:1052B0002DFDC70641370000BE2900E82BFDE91E81
+:1052C000FDCD34833EA336047709C7063D37010080
+:1052D000E910F7E909FDCD34C3C7069B360000E8A5
+:1052E0000CF58126AF36FFE7A1AF36E70681269B96
+:1052F00036FF7FE5020D010025EFFF25FFDFE70206
+:10530000BBFF7FCD5333C0A39D36A39F36E820F368
+:10531000E843F3830E9B3610C70699360000E8D2A7
+:10532000F5E5560D0200E756C706A8020000BE00CC
+:1053300000E830F5C606A0360EB89C03CD39B8801B
+:1053400000CD35C706AA02FFFFC706A1360100E956
+:10535000A5F606B88F03CD3AB89003CD3AB89103BD
+:10536000CD3AB89203CD3AB89303CD3AB89403CD71
+:105370003AB89503CD3AB89603CD3AB89703CD3AEB
+:10538000B89803CD3AB89903CD3AB89A03CD3AB854
+:105390009B03CD3AB87F03CD3AB88003CD3A07C31B
+:1053A000F749F14EDF4FDF4FDF4FDF4FF851DF4F4F
+:1053B000FA4F0B50D151DF4FDF4FDF4FDF4FDF4F41
+:1053C000E44E0600CD4A0400E44E1900AD4BFA004D
+:1053D000824C0807094C1400244E6400D74DF40198
+:1053E000644EBC027A4EE803434E0200B34EF40111
+:1053F0005B4EF401E54E140006500650954CC15228
+:10540000C152FE4CDA4C0650065006500650B751B9
+:10541000B751B751B751B751B7510650D54A065099
+:105420001D4C0650834D1F4D1F4DED40FA40074166
+:1054300037372E3737202079792F79792F797920CE
+:1054400030312E3930202030322F31372F3939206A
+:10545000000000000000000000000000000000004C
+:10546000000000000000000000000000000000003C
+:10547000000000000000000000000000000000002C
+:10548000000000000000000000000000000000001C
+:10549000000000000000000000000000000000000C
+:1054A00000000000000000000000000000000000FC
+:1054B00000000000000000000000000000000000EC
+:1054C00000000000000000000000000000000000DC
+:1054D00000000000000000000000000000000000CC
+:1054E00000000000000000000000000000000000BC
+:1054F00000000000000000000000000000000000AC
+:10550000000000000000000000000000000000009B
+:10551000000000000000000000000000000000008B
+:10552000000000000000000000000000000000007B
+:10553000000000000000000000000000000000006B
+:10554000000000000000000000000000000000005B
+:10555000000000000000000000000000000000004B
+:10556000000000000000000000000000000000003B
+:10557000000000000000000000000000000000002B
+:10558000000000000000000000000000000000001B
+:10559000000000000000000000000000000000000B
+:1055A00000000000000000000000000000000000FB
+:1055B00000000000000000000000000000000000EB
+:1055C00000000000000000000000000000000000DB
+:1055D00000000000000000000000000000000000CB
+:1055E00000000000000000000000000000000000BB
+:1055F00000000000000000000000000000000000AB
+:10560000000000000000000000000000000000009A
+:10561000000000000000000000000000000000008A
+:10562000000000000000000000000000000000007A
+:10563000000000000000000000000000000000006A
+:10564000000000000000000000000000000000005A
+:10565000000000000000000000000000000000004A
+:10566000000000000000000000000000000000003A
+:10567000000000000000000000000000000000002A
+:10568000000000000000000000000000000000001A
+:10569000000000000000000000000000000000000A
+:1056A00000000000000000000000000000000000FA
+:1056B00000000000000000000000000000000000EA
+:1056C00000000000000000000000000000000000DA
+:1056D00000000000000000000000000000000000CA
+:1056E00000000000000000000000000000000000BA
+:1056F00000000000000000000000000000000000AA
+:105700000000000000000000000000000000000099
+:105710000000000000000000000000000000000089
+:105720000000000000000000000000000000000079
+:105730000000000000000000000000000000000069
+:105740000000000000000000000000000000000059
+:105750000000000000000000000000000000000049
+:105760000000000000000000000000000000000039
+:105770000000000000000000000000000000000029
+:105780000000000000000000000000000000000019
+:105790000000000000000000000000000000000009
+:1057A00000000000000000000000000000000000F9
+:1057B00000000000000000000000000000000000E9
+:1057C00000000000000000000000000000000000D9
+:1057D00000000000000000000000000000000000C9
+:1057E00000000000000000000000000000000000B9
+:1057F00000000000000000000000000000000000A9
+:105800000000000000000000000000000000000098
+:105810000000000000000000000000000000000088
+:105820000000000000000000000000000000000078
+:105830000000000000000000000000000000000068
+:105840000000000000000000000000000000000058
+:105850000000000000000000000000000000000048
+:105860000000000000000000000000000000000038
+:105870000000000000000000000000000000000028
+:105880000000000000000000000000000000000018
+:105890000000000000000000000000000000000008
+:1058A00000000000000000000000000000000000F8
+:1058B00000000000000000000000000000000000E8
+:1058C00000000000000000000000000000000000D8
+:1058D00000000000000000000000000000000000C8
+:1058E00000000000000000000000000000000000B8
+:1058F00000000000000000000000000000000000A8
+:105900000000000000000000000000000000000097
+:105910000000000000000000000000000000000087
+:105920000000000000000000000000000000000077
+:105930000000000000000000000000000000000067
+:105940000000000000000000000000000000000057
+:105950000000000000000000000000000000000047
+:105960000000000000000000000000000000000037
+:105970000000000000000000000000000000000027
+:105980000000000000000000000000000000000017
+:105990000000000000000000000000000000000007
+:1059A00000000000000000000000000000000000F7
+:1059B00000000000000000000000000000000000E7
+:1059C00000000000000000000000000000000000D7
+:1059D00000000000000000000000000000000000C7
+:1059E00000000000000000000000000000000000B7
+:1059F00000000000000000000000000000000000A7
+:105A00000000000000000000000000000000000096
+:105A10000000000000000000000000000000000086
+:105A20000000000000000000000000000000000076
+:105A30000000000000000000000000000000000066
+:105A40000000000000000000000000000000000056
+:105A50000000000000000000000000000000000046
+:105A60000000000000000000000000000000000036
+:105A70000000000000000000000000000000000026
+:105A80000000000000000000000000000000000016
+:105A90000000000000000000000000000000000006
+:105AA00000000000000000000000000000000000F6
+:105AB00000000000000000000000000000000000E6
+:105AC00000000000000000000000000000000000D6
+:105AD00000000000000000000000000000000000C6
+:105AE00000000000000000000000000000000000B6
+:105AF00000000000000000000000000000000000A6
+:105B00000000000000000000000000000000000095
+:105B10000000000000000000000000000000000085
+:105B20000000000000000000000000000000000075
+:105B30000000000000000000000000000000000065
+:105B40000000000000000000000000000000000055
+:105B50000000000000000000000000000000000045
+:105B60000000000000000000000000000000000035
+:105B70000000000000000000000000000000000025
+:105B80000000000000000000000000000000000015
+:105B90000000000000000000000000000000000005
+:105BA00000000000000000000000000000000000F5
+:105BB00000000000000000000000000000000000E5
+:105BC00000000000000000000000000000000000D5
+:105BD00000000000000000000000000000000000C5
+:105BE00000000000000000000000000000000000B5
+:105BF00000000000000000000000000000000000A5
+:105C00000000000000000000000000000000000094
+:105C10000000000000000000000000000000000084
+:105C20000000000000000000000000000000000074
+:105C30000000000000000000000000000000000064
+:105C40000000000000000000000000000000000054
+:105C50000000000000000000000000000000000044
+:105C60000000000000000000000000000000000034
+:105C70000000000000000000000000000000000024
+:105C80000000000000000000000000000000000014
+:105C90000000000000000000000000000000000004
+:105CA00000000000000000000000000000000000F4
+:105CB00000000000000000000000000000000000E4
+:105CC00000000000000000000000000000000000D4
+:105CD00000000000000000000000000000000000C4
+:105CE00000000000000000000000000000000000B4
+:105CF00000000000000000000000000000000000A4
+:105D00000000000000000000000000000000000093
+:105D10000000000000000000000000000000000083
+:105D20000000000000000000000000000000000073
+:105D30000000000000000000000000000000000063
+:105D40000000000000000000000000000000000053
+:105D50000000000000000000000000000000000043
+:105D60000000000000000000000000000000000033
+:105D70000000000000000000000000000000000023
+:105D80000000000000000000000000000000000013
+:105D90000000000000000000000000000000000003
+:105DA00000000000000000000000000000000000F3
+:105DB00000000000000000000000000000000000E3
+:105DC00000000000000000000000000000000000D3
+:105DD00000000000000000000000000000000000C3
+:105DE00000000000000000000000000000000000B3
+:105DF00000000000000000000000000000000000A3
+:105E00000000000000000000000000000000000092
+:105E10000000000000000000000000000000000082
+:105E20000000000000000000000000000000000072
+:105E30000000000000000000000000000000000062
+:105E40000000000000000000000000000000000052
+:105E50000000000000000000000000000000000042
+:105E60000000000000000000000000000000000032
+:105E70000000000000000000000000000000000022
+:105E80000000000000000000000000000000000012
+:105E90000000000000000000000000000000000002
+:105EA00000000000000000000000000000000000F2
+:105EB00000000000000000000000000000000000E2
+:105EC00000000000000000000000000000000000D2
+:105ED00000000000000000000000000000000000C2
+:105EE00000000000000000000000000000000000B2
+:105EF00000000000000000000000000000000000A2
+:105F00000000000000000000000000000000000091
+:105F10000000000000000000000000000000000081
+:105F20000000000000000000000000000000000071
+:105F30000000000000000000000000000000000061
+:105F40000000000000000000000000000000000051
+:105F50000000000000000000000000000000000041
+:105F60000000000000000000000000000000000031
+:105F70000000000000000000000000000000000021
+:105F80000000000000000000000000000000000011
+:105F90000000000000000000000000000000000001
+:105FA00000000000000000000000000000000000F1
+:105FB00000000000000000000000000000000000E1
+:105FC00000000000000000000000000000000000D1
+:105FD00000000000000000000000000000000000C1
+:105FE00000000000000000000000000000000000B1
+:105FF00000000000000000000000000000000000A1
+:106000000000000000000000000000000000000090
+:106010000000000000000000000000000000000080
+:106020000000000000000000000000000000000070
+:106030000000000000000000000000000000000060
+:106040000000000000000000000000000000000050
+:106050000000000000000000000000000000000040
+:106060000000000000000000000000000000000030
+:106070000000000000000000000000000000000020
+:106080000000000000000000000000000000000010
+:106090000000000000000000000000000000000000
+:1060A00000000000000000000000000000000000F0
+:1060B00000000000000000000000000000000000E0
+:1060C00000000000000000000000000000000000D0
+:1060D00000000000000000000000000000000000C0
+:1060E00000000000000000000000000000000000B0
+:1060F00000000000000000000000000000000000A0
+:10610000000000000000000000000000000000008F
+:10611000000000000000000000000000000000007F
+:1061200090EAC01500000000000000000000130607
+:00000001FF
+/*
+ * The firmware this driver downloads into the tokenring card is a
+ * separate program and is not GPL'd source code, even though the Linux
+ * side driver and the routine that loads this data into the card are.
+ *
+ * This firmware is licensed to you strictly for use in conjunction
+ * with the use of 3Com 3C359 TokenRing adapters. There is no
+ * waranty expressed or implied about its fitness for any purpose.
+ */
+
+/* 3c359_microcode.mac: 3Com 3C359 Tokenring microcode.
+ *
+ * Notes:
+ * - Loaded from xl_init upon adapter initialization.
+ *
+ * Available from 3Com as part of their standard 3C359 driver.
+ */
diff --git a/firmware/3com/typhoon.bin.ihex b/firmware/3com/typhoon.bin.ihex
new file mode 100644
index 0000000..d7a83be
--- /dev/null
+++ b/firmware/3com/typhoon.bin.ihex
@@ -0,0 +1,2819 @@
+:10000000545950484F4F4E000200000009000000B4
+:100010000000FFFFCB99B1D44CB8D04B3202D4EEE4
+:10002000737E0B139BC0AEF440010000E8FC00009F
+:100030000000FFFF390000EA050000EA040000EAC2
+:10004000030000EA020000EA010000EA320200EACE
+:10005000C51400EA07002DE90E00A0E100100FE131
+:10006000D0209FE512FF2FE1FEFFFFEA010080E0B4
+:10007000042081E4010050E1FCFFFF1A0EF0A0E132
+:1000800000A0A0E10EB0A0E10000A0E3A8109FE551
+:10009000000081E5A4109FE5000081E50116A0E3C2
+:1000A000000091E5010080E3000081E5D700A0E3B6
+:1000B00000F021E188D09FE5DB00A0E300F021E122
+:1000C0007CD09FE5D200A0E300F021E174D09FE551
+:1000D000D100A0E300F021E16CD09FE59B1400EB80
+:1000E000D300A0E300F021E160D09FE560009FE530
+:1000F00060109FE560209FE5DBFFFFEB5C009FE564
+:100100005C109FE50020A0E3D7FFFFEB54009FE5C4
+:1001100054109FE5D4FFFFEB0A00A0E10BF0A0E133
+:10012000D310A0E301F021E1D4FFFFEB3CA09FE559
+:100130001AFF2FE1C6FFFFEA1521FFFF0C00100098
+:100140001C0010003C380080FC370080FC3F008021
+:100150007C340080800F000080300080ADDEADDE9A
+:10016000B0BB000024AB20404829000028050080D7
+:10017000BDBA214000000000FFFF000000000000A9
+:1001800000000000FFFF00000000000058570000C2
+:10019000864B00006001FFFFB0B5071C124D002424
+:1001A000286800281ED0381C104904F07BFD2968FF
+:1001B000C0460860002815D038010D4940181923A1
+:1001C000DB01C018416B80290CD2013141632868E2
+:1001D000C169C0462960390741600462C762B0BC8A
+:1001E00008BC1847201CFAE7E8170080EE0500005D
+:1001F000A01C008002490A68C046C26108607047BE
+:10020000E81700807047000070470000704700004A
+:1002100000000FE10010A0E1C01081E301F021E136
+:100220001EFF2FE100F021E11EFF2FE100000FE192
+:10023000C00080E300F021E11EFF2FE100000FE18C
+:10024000C000C0E300F021E11EFF2FE100000FE13C
+:10025000400080E300F021E11EFF2FE100000FE1EC
+:10026000800010E3800080E300F021E10000001234
+:100270001EFF2FE1000050E300000FE18000C013DB
+:1002800000F021E11EFF2FE100000FE18000C0E33C
+:1002900000F021E11EFF2FE1910000E01EFF2FE1A1
+:1002A000012080E0010080E01EFF2FE180B5084FB3
+:1002B000642804D3642038630020C04303E038631B
+:1002C000044905F001FB7863B86380BC08BC18479B
+:1002D000680E00808813000080B4104B00221F6B52
+:1002E000642F03D209680968490802D2101C80BC37
+:1002F0007047191CDB6B4F6BBB4205D24068000492
+:10030000000C1818C863F1E74168054B19434160B8
+:100310000448C16B0131C1630220E8E7680E008028
+:10032000000000800C2B008090B5071C154C0020AD
+:10033000216B64290BD2B96E490808D3216CA26BDA
+:10034000914207D2FA1D3932528B8918216490BC30
+:1003500008BC1847786A396BC0464862386B02F0AF
+:100360002DFE381C02F0E8FA0120BB231B01E11826
+:10037000C87305490A6C12180A6404498A6D121878
+:100380008A65E4E7680E00800C2B0080A42A0080B8
+:1003900080B40A48C06D02231840094A0021002891
+:1003A00003D0D163116480BC7047064807687B1C8A
+:1003B00003600A2FF7D30160F3E70000A42A00804E
+:1003C000680E0080E001008070470204120C000CEF
+:1003D00010180A04120C090C51180818010C05D049
+:1003E0000104090C000C0818010CF9D10004000CE0
+:1003F000704780B40022002918D04F087B1E002FC0
+:1004000006D00788BA1802301F1C013B002FF8D114
+:10041000490803D300880006000E8218100C05D08E
+:100420001004000C110C4218100CF9D11004000C2F
+:1004300080BC704780B58389C789FB18078AFB1881
+:10044000478AFB18407A0002C718380C05D03804D8
+:10045000000C3B0CC718380CF9D1081C111CFFF715
+:10046000C8FF011C381CFFF7B0FF80BC08BC184750
+:1004700090B5022382681A400027002A0FD00A4A4A
+:100480009369013393610A688B689A1800681C1895
+:1004900057810969101CFFF7ACFFC0436081381C0D
+:1004A00090BC08BC184700000C2B008090B50423BA
+:1004B00082681A400027002A11D04A6852090ED3D8
+:1004C000094A136A01331362CB6802689C1801233E
+:1004D0009B07083A1A43126800F02EF82082381C55
+:1004E00090BC08BC184700000C2B008090B58023FE
+:1004F00082681A400024002A15D04A68920912D353
+:100500000B4AD3690133D361CB6802689F1801237A
+:100510009B07083A1A43126800F00EF8002800D131
+:100520000448C046F880201C90BC08BC1847000056
+:100530000C2B0080FFFF0000B0B5141C051C0F1C25
+:100540003869B96841183868FFF753FFC0430104A0
+:10055000090C201CFFF739FF041CB86879694018A2
+:10056000696888420CD22A681218091A101C00F017
+:1005700005F9C0430104090C201CFFF726FF041CE9
+:10058000E0430004000CB0BC08BC184780B5071C51
+:10059000B86BC0081AD3B86AF96B4018796C00F0D0
+:1005A000EDF8C0430104090C0A4807D02023B969BB
+:1005B0001943B961016B0131016307E0FF23013386
+:1005C000B9691943B961416A01314162002080BCB7
+:1005D00008BC18470C2B008080B5071CB86B41097C
+:1005E0001CD3C0081AD3F81D3930007B062815D15A
+:1005F000381C00F053F8011C0A4807D04023B969A1
+:100600001943B961816B0131816307E001239B02CA
+:10061000B9691943B961C16A0131C162002080BC66
+:1006200008BC18470C2B0080B0B5071CB86B8109BB
+:100630002CD3C0082AD3F81D3930007B112825D1CE
+:10064000B86A396C401801239B07063018430068CC
+:1006500005042D0C0F4C11D0381C00F01FF8002899
+:100660000CD0A84202D10C4B984207D08023B86925
+:100670001843B861606B0130606307E001235B02DF
+:10068000B8691843B861A06A0130A0620020B0BC0C
+:1006900008BC18470C2B0080FFFF0000F0B5FFB02E
+:1006A00099B0041CE06B616C091803AA8518A36A51
+:1006B00000208A080132979207D082009F5803AE2B
+:1006C000B750979A01308242F7D8606A01239B079E
+:1006D000043018430068C046029002AF3F8803A868
+:1006E000FFF787FEC0430104090C381CFFF76DFEBD
+:1006F000071CE06BA16C4018616A01239B0708315D
+:1007000019430968C046019101A90988013188424D
+:100710000CD2A26A1218091A101C00F02FF8C0435C
+:100720000104090C381CFFF750FE071CA889E98951
+:100730000818298A0818698A0818697A09020818A5
+:10074000A16C626C891A0A04120C1102120A11437C
+:100750000904090C0918080C05D00804000C090C40
+:100760004118080CF9D1381CFFF72FFEC0430004D4
+:10077000000C7FB019B0F0BC08BC1847B0B4002220
+:1007800000292ED083079B0FDC0047180425EF1BA0
+:10079000BF07BF0FFF008008800059180331890888
+:1007A0004D1E02C8E140A1406B1E002D09D00C0473
+:1007B000240CA218090C8A1802C81C1C013B002C2E
+:1007C000F5D1B940081CF8400104090C8918000C47
+:1007D0004218100C05D01004000C110C4218100C1B
+:1007E000F9D11004000CB0BC7047000090B4002098
+:1007F0000127114942001218D20053189C680123A6
+:100800009B0723431B681B031B0B8A581203120B05
+:1008100093420CD101300428ECD30848C06A01038C
+:10082000090B0748006F0003000B814202D0381CFF
+:1008300090BC70470020FBE7A803008000401440F4
+:10084000680E008098B4144AC04600928300134892
+:10085000C05807033F0B1248C0580203120B11483F
+:10086000C0580003000B104CE45801239B0723439E
+:100870001B689B00CC000121984201D1081C09E0B3
+:10088000984203D9101ADA1B801800E0181A844223
+:10089000F4D3002098BC704755555555200400806E
+:1008A00028040080080400801804008080B4130429
+:1008B00000D0013A80000B1C13490F58C0463B6022
+:1008C0000B58C0465A600A580832104B1B589A42BF
+:1008D00001D30F4A12580F4B1F5801239B073B436C
+:1008E0001B689B0017033F0B9F4206D10A48C16853
+:1008F0000131C160012080BC7047084B1B58C046C5
+:100900001A600A500020F6E70804008028040080DE
+:100910002004008018040080A08220401004008081
+:10092000FF5F2DE948FEFFEB01B6A0E301B18BE2CA
+:10093000028AA0E3017AA0E301A9A0E30156A0E3A3
+:10094000C8609FE5C8909FE514409BE5000054E314
+:100950002C00000A030A14E31100000A0C0096E5BB
+:10096000000050E32100000A010A14E30500000A18
+:100970001C0096E5010AC0E31C0086E51C0085E525
+:10098000147085E5060000EA020A14E30400000A78
+:100990001C0096E5020AC0E31C0086E51C0085E504
+:1009A000148085E5010914E30400000A1C0096E5A3
+:1009B0000109C0E31C0086E51C0085E514A085E55F
+:1009C000020014E34000001B010014E35400001B6C
+:1009D000020B14E36700001B010B14E32000001B53
+:1009E000180099E5010080E2180089E5D5FFFFEACB
+:1009F0001C0096E5010AC0E31C0086E51C0085E5A5
+:100A0000147085E5E1FFFFEAFF5FBDE804F05EE2F8
+:100A1000680E00800883204010101FE5143091E517
+:100A20000020C3E1142081E50116A0E30C2081E53C
+:100A30000B12A0E3000081E518109FE5B024D1E17E
+:100A4000012082E2B024C1E13C2091E5000082E176
+:100A50003C0081E51EFF2FE1A0822040FFFFFFEA5E
+:100A6000FEFFFFEA010BA0E30116A0E3140081E5FD
+:100A7000001A81E1242091E570001FE500000000CC
+:100A8000242080E5281091E500000000281080E572
+:100A90002C2090E5012082E22C2080E53F0001E23D
+:100AA0003F0050E31EFF2F1118009FE5001090E556
+:100AB000011081E2001080E50218A0E30B02A0E320
+:100AC000001080E51EFF2FE1300400800106A0E346
+:100AD000010180E2001090E5010811E30B10A0E392
+:100AE000021981E20500001A002090E54228B0E1D9
+:100AF0000500001A000090E5020C10E30200000A55
+:100B00000607A0E34C1180E5030000EA0C009FE516
+:100B100000000000401080E5FFFFFFEAFEFFFFEA53
+:100B2000000000800106A0E3010180E2001090E5D2
+:100B3000010811E30C10A0E3021981E20500001A7C
+:100B4000002090E54228B0E10500001A000090E581
+:100B5000020C10E30200000A0607A0E34C1180E536
+:100B6000030000EA4C001FE500000000401080E593
+:100B7000FFFFFFEAFEFFFFEA021BA0E30106A0E37E
+:100B8000141080E51EFF2FE180211FE5143092E54F
+:100B900000000000003080E51C0092E5000000002D
+:100BA000000081E50010A0E3141082E50106A0E337
+:100BB0001C1082E50C1080E51C1092E5000000007E
+:100BC0001C1080E51EFF2FE1C0211FE50000000082
+:100BD0001C1082E50116A0E3140082E50C0081E5FB
+:100BE0001C0092E5000000001C0081E51EFF2FE1C3
+:100BF00080B50F1C381C00F017F8002802D0381CF4
+:100C000000F092F8002080BC08BC184780B50F1C8B
+:100C1000381C00F009F8002802D0381C00F084F8D5
+:100C2000002080BC08BC1847F0B407683A78D207A7
+:100C3000D20F0024002A03D0FF220132426000E0DC
+:100C400044603A7B7B7B1B021A43812A08D1012333
+:100C50005B0242681A4342600422BF18826000E0CF
+:100C600084603A7B7B7B1B021A43082A06D1062349
+:100C700041681943416081680E313CE0C123DB00CB
+:100C80009A4203D14168244B19433EE0234B9A42D8
+:100C900004D101231B034168194336E01302120AF1
+:100CA0001206120E1A431204120C2E3A1C4B9A42D0
+:100CB0002DD80125426815434560BA7BFB7B1B029A
+:100CC0001A43184B9A4222D1FB1D093344CB9B0790
+:100CD000DB0EDA405B4220339E401643032E18D1D0
+:100CE000397D7B7D1B021943082907D10421294343
+:100CF000416081681631816001210AE0C123DB0077
+:100D0000994204D1012189032943416000E08460B4
+:100D10000021081CF0BC70470240000081800000E8
+:100D2000AE050000AAAA000080B44268D1083FD3F3
+:100D300001688368591802398F783F073F0F052FE4
+:100D400003D1DA1D0D32C26005E0BF00DB19C360BC
+:100D500008231A4342608A781207120F9200026138
+:100D60000A794B791B021A431302120A1206120E59
+:100D70001A431204120C4261CA7A062A03D11023C4
+:100D800042681A4310E0112A03D1202342681A4313
+:100D90000AE0332A03D1402342681A4304E0322A8E
+:100DA00003D1802342681A434260C97AC046017663
+:100DB00080BC70470A78C04602604B781B021A4319
+:100DC00002608B781B041A430260C978090611433C
+:100DD0000160704780B5071C4868800926D3B86A4F
+:100DE000C968401801239B070230184300680004BB
+:100DF000000C11239B02984218D1786A396BC046C7
+:100E00004862386B02F0DAF8381C01F095FD0120D9
+:100E10000749C046C87307494A6C12184A64064914
+:100E20008A6D12188A6580BC08BC18470020FAE752
+:100E3000181A00800C2B0080A42A0080810719D08A
+:100E40008008800001239B07011D18430068194397
+:100E500009680202120E1206000AFF231B04184042
+:100E600010430A0A1206120E104309021B0A194007
+:100E70000843704701239B071843006801060202DC
+:100E8000FF231B041A401143020A1B0A1A40114394
+:100E9000000E0843EDE70000F0B50423816B194014
+:100EA0000022002946D0C71D3937397B332901D0AC
+:100EB00032293FD1016BC0464A65C41D2D34CD1D7A
+:100EC0002D3500229300E658C046EE500132072A25
+:100ED000F8D3826AC0464A63826AC0468A627A8BC5
+:100EE000CB1D39335A83406AC046486212480127F5
+:100EF0004268002A10D1C268002A13D14269002A30
+:100F00000DD10161C160016A022902D3203007714D
+:100F10000CE000F013F809E0C268002A02D1016178
+:100F2000C16003E00269C04651650161381CF0BC34
+:100F300008BC1847101CFAE76C06008080B51E49F3
+:100F40000022CB68002B34D0C81DF9308362CB68F7
+:100F50009B6AC046C362CF697B00DF197F02174BD3
+:100F6000FF18FF37653783630763CB1DFF335A33A1
+:100F70001A72CB69002B01D0CA6101E00123CB6159
+:100F80000F1CC968496A098901314163F81DFF30A6
+:100F90003A30426002828260C260381C00F0CEFAB1
+:100FA000386A01303862381C00F00AF880BC08BC8E
+:100FB0001847101CFAE700006C060080ACAB20401C
+:100FC000F0B5071CF91DF931886AC21D2D320123C5
+:100FD0009B0708321A43C86A12681204120C801860
+:100FE0008279C3791B021A431302120A1206120EE7
+:100FF0001A431204120C02389204920C0026254D5A
+:10100000EC1DFF343A34002A04D0208A01239B02CD
+:1010100018432BE001239B07C21D0D321A431268AF
+:1010200012041230184300680004000C1043031C23
+:10103000F81DFF304A308278C86B191C02F002F8A4
+:10104000002804DA208AFF23013318430EE0F91D3B
+:10105000FF313A3108600104090C381C00F01CF81B
+:10106000002814D1208A01235B0218432082218AA0
+:10107000381C00F0A2FBE86801239B07543018439A
+:101080000068C046E860301CF0BC08BC184701206E
+:10109000FAE700006C060080F8B5071CFC1DF93467
+:1010A000A06BA66AC51D0D353848C06A4B0059189B
+:1010B0004901421801208007104300680004000C19
+:1010C000009001239B07D01D053018430068381C91
+:1010D000291C00F0C2FAA888410701D0002051E085
+:1010E00029890918606B8142F8D86989EA888918CA
+:1010F0008142F3D80098012825D1E06AF16B4018AD
+:10110000716CFA1DCD3201F033F9FA1DFF323A321B
+:10111000E06A51694018C31D0333002081005E5806
+:10112000C919FF3101314E6101300428F6D3E06A5C
+:1011300051694018C11D0531002000224300CA52E8
+:1011400001300628FAD3291C114A0020FFF7AEFB14
+:1011500001225204606B02430120216BFFF7A6FBC2
+:1011600001225204606B02430020E16AFFF79EFBFC
+:10117000A16B084A0120FFF799FB03200649C046EE
+:1011800048620120F8BC08BC184700004C2A0080C7
+:101190005400030014000F006C070080F0B58DB000
+:1011A0000020B54AD51DF935686201200005B34914
+:1011B000C0460860A86AC41D2D34B148C06AD71D56
+:1011C000FF373A3739684B00591849014018012355
+:1011D0009B07C11D05311943096808301843006891
+:1011E000C0460990FF231B021840000A0A900A9883
+:1011F000A44E012859D1286BA2688018A24A2169FF
+:101200000904090C01F026F9286B79694018C11D01
+:10121000053100208200984BD318FF3301335B69FE
+:10122000C0468B5001300428F4D30020311C8200CA
+:10123000561801239B0733431B6804AEB35001309B
+:101240000328F4D300200890904942008B5AB25AE8
+:10125000934213D08E48C1890131C181B8680028FA
+:1012600003D1388A1023184371E0388A4023184389
+:101270006DE000F011F901F067FFF5E0013006289C
+:10128000E3D3089800280CD1B868411CB960002845
+:1012900003D1388A0123184302E0388A0423184313
+:1012A000388278680130786062E00A9802285FD15D
+:1012B0000998400C73D301239B07E01D01301843AC
+:1012C0000068E11D0D311943096840180C3800040D
+:1012D000000C00218A006B4BD61801239B07334377
+:1012E0001B6804AEB35001310329F3D30021831EE0
+:1012F0000C93684A166BC0460B968A000C9B9B1891
+:101300000B9E9E1901239B0733431B686E46B35007
+:1013100001310429F1D369468B1C07930021089100
+:1013200004AE4A00079B9B5AB25A934211D05848C8
+:10133000C1890131C181F868411CF960002803D1DD
+:10134000388A2023184302E0388A802318433882E1
+:101350008FE701310629E4D3089900290DD1F968F6
+:101360004A1CFA60002904D1398A0223194303E098
+:101370000CE0398A082319433982296B08180123A4
+:101380009B07013818430068C046207601239B075D
+:10139000E01D1130184300680106090E00E019E055
+:1013A00035482A6BC046EA6204294FD10121C61D87
+:1013B000FF365A3631720A9902291ED10999090E4F
+:1013C00049061AD1E11D0531194309680906090EBC
+:1013D00008391AE001239B07E01D0130184300681B
+:1013E000E11D0D311943096840180004000CF9682B
+:1013F0004A1CFA600029BCD1B6E701239B07E11D16
+:101400000531194309680906090EA160E86AC0465A
+:101410002060201CFFF788FC207E332801D0322872
+:1014200011D10121144CC046F960B960201C00F0B4
+:1014300085F8286BA96AC0468862201CFFF7C0FDAA
+:10144000002811D10EE00020307211E0332901D0C4
+:1014500032290DD1071C00F071F8381CFFF7B0FDE0
+:10146000002801D101F070FE0DB0F0BC08BC184797
+:1014700000F012F8F6E700006C060080000000B0F3
+:101480004C2A0080ACAB20404007008082070080DF
+:101490000C2B00806C070080F0B5254841680131B5
+:1014A0004160244FF91DF9310024886AFA68C0466A
+:1014B00094610422FB68C046DA601022FB68C046D3
+:1014C0009A61FA1DFF325A32137A1B4A002B0BD055
+:1014D000158A2E0A360233232B409B001E43CC2351
+:1014E0002B409B0833431382128AFB68C046DA8381
+:1014F0004A6BFB68C046DA810A6BC0468262C462EE
+:10150000C31D39334A6BC0465A83042302681A4309
+:101510000260886A01F032FAF86801239B075430B0
+:1015200018430068C046F860F0BC08BC18470000CB
+:101530000C2B00806C060080AC07008080B5C11DBC
+:10154000F9318A6A01239B07D11D45311943096886
+:101550000B061B0E0127C11DFF314A31332B05D16C
+:101560008B70011C101C00F00FF806E0322B08D124
+:101570008B70011C101C00F03CF8381C80BC08BCAF
+:10158000184700208870F9E790B4CA1DF932332754
+:10159000CC1DFF344A34D36AC046A770FF314131B5
+:1015A000076CC0464F61FB18391C9F1E01239B0727
+:1015B000FC1C23431B681B061B0E9B001B041B0CFF
+:1015C000C9180831016401239B07B91C1943096834
+:1015D00034300176F81D0130184300680004B91D4D
+:1015E0001943D06309680904090C0843D06390BC0F
+:1015F0007047B0B5CA1DF932C51D2D353220CF1D3B
+:10160000FF374A37D36AC046B870CC1DFF343A342E
+:10161000E868C04660611030E8606069C018871EE5
+:1016200001239B07381D184300680004B91C1943A7
+:10163000D06309680904090C0843D063F81D03301E
+:10164000FFF7FCFB2062F81D0730FFF7F7FB606235
+:1016500000202876B0BC08BC1847F7B581B00198C7
+:10166000C71DF937B86A01239B07D41D05342343EE
+:101670001C68FF23FE3323407F6B3F043B430B601A
+:1016800034301C1C80232340019FFF374137002B3F
+:101690003CD00C23009300239D00AE1836696D18D2
+:1016A0006E610133052BF7D300239D00AE18766AD7
+:1016B0006D18AE620133052BF7D3019BFF33513315
+:1016C0009B78332B0ED101239B07C51D01352B437E
+:1016D0001B68C0464B8101239B07C51D0D352B435D
+:1016E0001B6816E07B69C0464B8101239B07C51D23
+:1016F0000D352B431B687D695D1B01239B07C61DB0
+:10170000013633431B68EB180C3B02E000230093C7
+:101710004B81CB80630949D301239B07C41D05344A
+:1017200023431B68C0460B8101239B07C41D0D3456
+:1017300023431B680C891B1B009C1C1B01239B075C
+:1017400008301843006820188880386A040EFF2388
+:101750001B0403401B0A1C43FF231B0203401B0204
+:10176000234300061843C860786A070EFF231B0452
+:1017700003401B0A1F43FF231B0203401B023B4382
+:10178000000618430861D06BC046C863906BC04622
+:101790000864506CC0464864106CC0468864D06CC5
+:1017A000C046C864906CC046086502E000230B8107
+:1017B0008B8004B0F0BC08BC184700B50F4A938971
+:1017C00001339381C21DF9320423906AC046C3607D
+:1017D00010238361CB0A01D318238361C183516B2A
+:1017E000C046C181516BC21D393251830423016847
+:1017F0001943016001F0C2F808BC18470C2B0080A7
+:10180000B0B51B4C206A02281BD20020E71D1937F7
+:101810003871E168E01DF930002915D0426A002ACC
+:1018200012D101250AE0FFF789FB002809D1206ABF
+:10183000022800D33D71E068002802D038790028E2
+:10184000F1D0B0BC08BC1847406A0028F9D1002983
+:10185000F7D16069002804D00648006803F0A8FCAE
+:10186000EFE760680028ECD000F05AF8E9E70000E4
+:101870006C06008034040080B0B5071C2023B868D3
+:1018800018400124002500280BD1386A002803D114
+:10189000281CB0BC08BC18471F48016E0131016606
+:1018A00003E04868C423184003D1386A00F00CFCF8
+:1018B0002FE0381C00F01CFC381C00F07BFAB868E4
+:1018C000C00802D3386A00F0D1FBB868396AC04654
+:1018D0008860386AC046C56010484168002911D147
+:1018E000C168002909D14169002906D1396AC04679
+:1018F0008160416000F014F80BE0396AC0468160F5
+:10190000416006E0396A8268C046D160396AC046E3
+:101910008160201CBDE70000A42A00806C060080C6
+:1019200090B50B4C6768002F0FD0381C00F012F8F0
+:1019300000280AD16068C068C0466060381C00F0AA
+:10194000C3FB002090BC08BC18470120FAE7000048
+:101950006C060080F0B5071CFE1D4936307840004B
+:10196000C019858B334C344B9D423CD0381C211C14
+:101970002A1C00F01DF93148806A5821694340183B
+:1019800001239B07184300680004000C2C4D01281C
+:101990001AD13078C019C11D1931087A3A688018F7
+:1019A000097BEA1D213200F0E3FC3078C0192030B9
+:1019B000007939684018C11D05310020002342001C
+:1019C0008B5201300628FAD3A08841070BD1218918
+:1019D000091878680004000C814204D86189E28803
+:1019E0008918814203D90020F0BC08BC1847211C8B
+:1019F000144A0020FEF75AFF01225204786802437D
+:101A000001203968FEF752FF012252047868024330
+:101A100000203968FEF74AFF0B490C4A0120FEF707
+:101A200045FF0120E91D193148710221EA1DF932F3
+:101A30005162D9E728AC2040FFFF00004C2A00800B
+:101A40006C0600805400030014AC20401400070012
+:101A5000F0B583B000214F48C21DF9325162012117
+:101A6000C9044D4AC0461160C11D19314979002988
+:101A700004D14A48006803F09BFB87E0454847686B
+:101A8000FC1D493421784800C019808B444A926A71
+:101A900058235843151801239B07EA1D05321A43A2
+:101AA000126808352B431D68FF231B022B401B0ABD
+:101AB0003C4D012B24D1C819C11D1931087A3A684F
+:101AC0008018394A097B00F0C5FC2078C019203005
+:101AD0000079396841180020820053199B6E6E46C8
+:101AE000B35001300328F7D3CA1D053269460020E0
+:101AF0004300CD5AC046D55201300628F8D32DE018
+:101B0000022B2BD1110A29D300218A0053199B6E75
+:101B10006E46B35001310329F7D321784900C91922
+:101B2000098F3A688B186A4600214D00565BC04603
+:101B30005E5301310629F8D319498A6A13181A6DC0
+:101B4000009D5540194AD66875401D65896A081878
+:101B5000416D029B59409269514041652078411E78
+:101B6000217000280DD0381CFFF7F4FE00280DD19D
+:101B7000084A5068C068C0465060381C00F0A4FA9B
+:101B800002E0381C00F073FA01F0DEFA03B0F0BC9A
+:101B900008BC18476C060080000000B038040080C4
+:101BA0004C2A0080ACAB2040940600800883204083
+:101BB000F0B582B0694B9F6A58235A43BA18C31DC7
+:101BC00049331F7801239B07D41D013423431D682B
+:101BD00043681C0401239B07D61D053633431B684D
+:101BE0001C4342231C430C60FF2636022E40012377
+:101BF0005B029E4274D16B0C2BD3C31920331B792B
+:101C0000C0464B817B001B181B8F4C891B1BCB8054
+:101C10000024A6000196B318DE1D093601239B0798
+:101C200033431B68019E761873610134052CF0D391
+:101C30000024A6000096B318DE1D1D3601239B0765
+:101C400033431B68009E7618B3620134052CF0D331
+:101C500006E000234B81CB8040239C430C60231C77
+:101C60006B0E4AD3C31920331B7910330B817B00D1
+:101C70001B181B8F0F89DB1B8B8001239B07D41D37
+:101C8000353423431B68C046CB6301239B07D41D17
+:101C9000313423431B68C0460B64AB0E21D20123B1
+:101CA0009B07D41D3D3423431B68C0464B6401236E
+:101CB0009B07D41D393423431B68C0468B64012322
+:101CC0009B07D41D453423431B68C046CB640123C6
+:101CD0009B07D41D413423431B68C0460B6500E0BD
+:101CE0000FE0FB1F013B1B041B0C0768FF18036977
+:101CF000081C391C00F034F82CE000230B818B8089
+:101D000028E000238B800B81C31920331B7AC04647
+:101D10004B817B001818008EC046C88000208700C9
+:101D2000BB18DC1D093401239B0723431B687F1864
+:101D30007B6101300528F2D300208700BB18DC1D31
+:101D40001D3401239B0723431B687F18BB620130AE
+:101D50000528F2D302B0F0BC08BC18474C2A00801A
+:101D600080B41F1C3B0C18D2176D114BC046DF60AE
+:101D7000526DC0461A61C7601A69C0460261D868D0
+:101D8000C0460880D868000C48801869C046888022
+:101D90001869000CC88080BC70474A8812040B8800
+:101DA0001A43C2608A88C988090411430161F2E7B5
+:101DB0002C070080F1B588B000220898006A089BC3
+:101DC0009968490A02D30127FF0300E00027038B2B
+:101DD000002B19D0A349896A1C1C58236343C918D6
+:101DE00001239B075839194309680904090C022982
+:101DF00002D108231F4307E0418B002902D00C23A6
+:101E00001F4301E004231F43838A002B18D0954908
+:101E1000896A1C1C58236343C91801239B0758393E
+:101E2000194309680904090C022901D10F4307E08D
+:101E3000C18A002902D003231F4301E001231F436D
+:101E4000C11D393107914B890C891C192404240CBC
+:101E5000089D2D68C0460195C9887D081AD31A1CB3
+:101E6000C31D19331A72079A9289C0461A73079ACA
+:101E70001289C04602860487828A013A828301223F
+:101E80001971089B1B685B185B789B001B041B0C7B
+:101E900008335918BB0847D3079B5B8985180695FB
+:101EA00020352B72079B9B89C0462B73079B1B8990
+:101EB0002E1C55002D1805952B86002A01D0C38AAB
+:101EC00000E0838A013B059DC046AB833171654BC1
+:101ED0009D6A059B9E8B58237343EB18DD1D0135CE
+:101EE00001239B072B431D682B0E5B0601D1083194
+:101EF00000E0103181235B021D409D4203D1E31FAE
+:101F0000053B1C04240C059BC0461C87089B1B68D2
+:101F10001B19103B9B7B069D40352B702B780233A1
+:101F2000E31A1C04240C0132BB089B076DD08318F4
+:101F3000203304931972019B5D1801239B072B43E7
+:101F40001B681B071B0F9B00049EC0463373009544
+:101F50002B781B071B0F9B00049DC0462B73009D15
+:101F6000EB78AD781B021D432B022D0A2D062D0E9A
+:101F70002B4355002D182B86049BC0465972049B99
+:101F80001B7B2E1C049DC0466B73338EC04673862C
+:101F9000009D2B781B071B0F9B001B041B0C591863
+:101FA00004253D400ED03487038B013BB383131CC3
+:101FB0001B1820331971019B5B185B789B00591823
+:101FC000083101323B0937D3002D01D0438B00E0AB
+:101FD000038B55002D18013BAB83831803932033EB
+:101FE0001971204B9D6A53001B1802939E8B582336
+:101FF0007343EB18DD1D013501239B072B431D683F
+:102000002B0E5B0602D1083101E015E0103181236F
+:102010005B021D409D4203D1E31F053B1C04240CC1
+:10202000029BC0461C87089B1B681B19103B9B7BAF
+:10203000039C403423700132079BC046D980511E57
+:10204000C31D493319700761042A06D2064953009B
+:102050001B1899830132042AF9D309B0F0BC08BCDB
+:10206000184700004C2A0080FFFF0000704780B531
+:102070008CB0071C12480168013101603868C04605
+:1020800000907868C0460190B868C04602900D483C
+:102090004168C968C0464160381C00F04FF8B86814
+:1020A000400906D31023029818430290684602F0B4
+:1020B000E1FF684602F09AFE0CB080BC08BC1847ED
+:1020C0000C2B00806C06008000B58CB00168C04607
+:1020D00000914168054B1943019100F02FF86846C3
+:1020E00002F084FE0CB008BC18470000000000A0FD
+:1020F000026A0368C04613604068C04650604032C0
+:102100004868C0469080C868C046D0804869C046CC
+:1021100010818868C0465081087EC0469073086967
+:10212000C0469081704704490868002800D1704774
+:10213000C268C0460A60FAE76C06008002490A6875
+:10214000C046C260086070476C060080B0B40022D0
+:10215000124F7C7F01347C770323FC1D19343862D5
+:10216000796223720E4C25686B0C05D223681B0C18
+:1021700010D12468A30A0DD301230A4FC046FB6285
+:10218000094F0A4BC046DF6099605860101C186008
+:102190000132FBE7101C38640132FBE700000080CD
+:1021A00000001040C000180002810000400118002B
+:1021B000F0B5474F3868474E474D07235B02EC1890
+:1021C00000281DD1206B013020634449C0460860BF
+:1021D00043484169002913D0C11D6931097B002999
+:1021E0000ED001239B07016D19430968C046816128
+:1021F000C269914204D0F16C0131F16401F050FEEA
+:102200003868012817D137484169002913D0C11D0A
+:102210006931097B00290ED001239B07016D194309
+:102220000968C0468161C269914204D0F16C0131F4
+:10223000F16401F035FE386802282FD1BB231B0161
+:10224000EE18707B002803D00020707300F04AFD68
+:10225000307B002802D0786802F0AAFF1B23DB0144
+:10226000E818C08B04260640E06AB04214D0F86833
+:102270000130F860192811D31B48017B00290DD1CA
+:10228000FF3041304078002808D1B86802F090FF54
+:102290000020F860E66201E00020F860386803285A
+:1022A0000BD1EC1D7934E06B800802D3022002F0E0
+:1022B00007FC0223E06B9843E06338680130386024
+:1022C000032801D900203860F0BC08BC1847000082
+:1022D0003C040080A0822040680E0080400118006D
+:1022E000642D0080E42C008028050080B0B41D48D7
+:1022F000848A1D4A138AC11D093101279C4203D1DA
+:10230000438A548AA34210D00B78002B0DD04B780F
+:10231000002B0AD0448B938A9C4204DC134BC046AA
+:102320005F60978201E001339382C38B5C1CC4839E
+:10233000848BA3420EDB848A058B0023AC4205DA32
+:10234000448AC58AAC4201DA4B7000E04F70438288
+:102350008382C383418AC0465182808AC0461082EC
+:10236000B0BC7047E80E00803C04008040011800BB
+:10237000F7B591B06B46841E129914291AD9002022
+:1023800081006758C046575001300006000E1028E3
+:10239000F6D3002105208700D6594F1C3D062D0E8F
+:1023A0000F1CBF00DE51291C01300006000E102852
+:1023B000F1D309E0002081006358C046535001303A
+:1023C0000006000E0628F6D30020E070207260722E
+:1023D000A072207360731299142937D969468E1C34
+:1023E00091780907090F890014390D062D16002769
+:1023F000002D1BDDF01910A900F03DF800280ED0CB
+:10240000002010A90978002909DD0022391872542A
+:1024100001300006000E10A909788842F6DB10A8EA
+:102420000078381807063F0EAF42E3DB6846E21D2E
+:102430000D320021AB085F1C08D08B00C458C04689
+:10244000D45001310906090E8F42F6D814B0F0BC01
+:1024500008BC184790B4871E002089084B1C08D080
+:1024600081005458C0467C5001300006000E834263
+:10247000F6D890BC704780B40278D206D20E002302
+:102480000127012A01DC0F7011E04078C046087076
+:10249000142A04D10848017A0131017207E0022AA6
+:1024A00005D0052A03D0062A01D0152A02D1181C0E
+:1024B00080BC7047381CFBE7E082204000B50F4825
+:1024C00001231B06416999431A094161D16000212A
+:1024D000A12252039161191C094AC04611601B23B5
+:1024E000DB01C0188069002803D002F061FE08BC3F
+:1024F00018470448418801314180F8E7680E0080A0
+:10250000000000B0E082204070470000F0B586B0C7
+:10251000954AD068D71D7937012809D13889002814
+:1025200006D1D06F022301689943016014203881DD
+:102530008E4C616A8E48C36B5918C163A06A192317
+:10254000DB01D418A062216A0903090B814205D17D
+:10255000012040048749C0460860F3E0BB8A581C4C
+:10256000B8823D8B01200021AB4204DBD31D8933AF
+:102570005870B982F98333239B01D31805935B69A3
+:102580000F2B73D200217C4FC0463961216A8A68C3
+:102590001204120C4B681E0C3604FD1F093D002E60
+:1025A00005D13B2A03D30123DB029A4201D9A87348
+:1025B000C8E001239B07083119430968C04603910D
+:1025C00003A9098801310904090C798249090531F7
+:1025D0000906090E694EC04602966948436AC0461C
+:1025E0000193836AC0460093C21D113280690003C3
+:1025F000000B9268B3071A431268904201D1012080
+:102600000DE0904205D9009B181A019BD21A82183E
+:1026100000E0121A01200901914200D30020012894
+:1026200065D151492069002862D005994869013077
+:1026300048610220216AC046086000F0A7FC786368
+:10264000BE604949226AA36BD318666BB34200D9B6
+:10265000226BC046BA62BA6A0C32FA620022FA6190
+:1026600003AA5288D20903D3012200E07BE000E0F4
+:1026700000227A607A68C0460260788A414E6028FB
+:1026800004DCB083788AC046F08308E06020B08321
+:10269000798AF86A4218636B9A4203D8F183002260
+:1026A0003A6305E0216BC0463963616B081AF08319
+:1026B0002D49786B4268C046BA608268C046FA60AD
+:1026C0000269C0467A614069C046B8612E4BC8189D
+:1026D000049000F037F9049800F088F800F0F6FA5A
+:1026E000788AF18B884204D1F96A081804E038E04E
+:1026F00032E03A6B1018401A810702D0800880003F
+:102700000430616B091AA26B914200D2206BC04663
+:102710002062E87B002808D00022EA730599486906
+:1027200001384861786B00F073FA1848806A8006B7
+:10273000800E01280AD1206A0003000B0B4CA16A0D
+:10274000884203D006B0F0BC08BC18470120400402
+:102750000849C046086006E0E068002801D000F0A3
+:10276000B5FA0120A873EDE7680E00800040144020
+:10277000A42A0080000000B0281A00805555555545
+:10278000A8030080681A0080C40B000000001040FD
+:1027900080B5071C786A4089FF210131014010484B
+:1027A00002D1816C01318164796A4989490B02D275
+:1027B000416C013141640B48416A01314162786AE0
+:1027C000396BC0464862386B00F0F8FB381C00F0EB
+:1027D000B3F801200449C046C87380BC08BC184740
+:1027E000A42A0080A0822040181A0080F8B5071C97
+:1027F0000022F91D61310D1C786AC04600904089A5
+:10280000030C01D2400A03D2381CFFF7C1FF67E076
+:102810003548C06B00091FD3087840081CD200203F
+:102820004300CC5A314E9E1933239B01F3181B8869
+:102830009C420ED0B869396BC0468861F868396B24
+:10284000C046C860381C00F027F9381C00F074F846
+:1028500046E001300328E3DB022043005C18E488F3
+:10286000224E9E1933239B01F3181B889C4203D1EF
+:1028700001230138D842F0DC0123D842C4D01B4EDA
+:102880000B231B02F0184069002824D07D630098B8
+:102890004089000C1FD200242D239B01F018C06B2F
+:1028A000351C002817D0FE1D2D36A20052192D23ED
+:1028B0009B01D218D26B381C311C02F07BFC012822
+:1028C0000ED00134A00040192D239B01C018C06B0D
+:1028D0000028EAD101E0012A02D0381C00F008F8F3
+:1028E000F8BC08BC18470000E81A0080680E008099
+:1028F00080B5071CB869396BC0468861F868396BC8
+:10290000C046C860786A4089010C0ED2400A0CD3D8
+:102910003868400802D3381C02F00CFC381C00F068
+:10292000BBF8381C00F008F802E0381CFFF730FF55
+:10293000012080BC08BC18470121006B406AC046DA
+:1029400001607047B0B4C11D3931098B8908090491
+:10295000090C846AC21D6132002000290CDD870049
+:102960003D1901239B072B431B68C046D3510130FF
+:102970000004000C8842F2DBB0BC7047F0B5A0B098
+:1029800001239B07C11D213119430968C0460B91E2
+:10299000C11D533119431F91096801AFFA1D393226
+:1029A0001E9217AB59803A4901239B070A6A1343C9
+:1029B000CC1D113489690903090B2269E568C046F9
+:1029C0001D95FC1D3934648B640905342406240EDE
+:1029D0001C94561A1B961C9C2E4AC0460092012637
+:1029E0001D9D1A68914201D1321C0BE0914203D91E
+:1029F000521B1B9EB51800E0551A01222401AC425F
+:102A000000D30022012AE6D1910701430968C0469C
+:102A100039609307011D19430968C0467960C11DDB
+:102A2000013119430968C046B9601F9909681E9AA7
+:102A3000C0465183C11D1D3119430968C046386322
+:102A40007962C11D113119430968C046B961C11DC0
+:102A5000053119430968C046F960C11D1731194392
+:102A60000968C046F9830E3018430068C046F881F3
+:102A70003868400802D3381C02F05CFB381C00F0B8
+:102A80000BF8381CFFF758FF20B0F0BC08BC184703
+:102A9000A803008055555555F8B5071CF81D393069
+:102AA000418B394A914200DD4283428BC0460092FD
+:102AB00001203A1D06CABB6A02F00EFF334AC04627
+:102AC0000092334E306A334CE16D4118386BC31DB0
+:102AD00005330120726A02F0FBFEE06D183000251C
+:102AE000B16A814201D8E56500E0E0652F239B01D2
+:102AF000201CE16DE41822689200274BC0469950D3
+:102B00002648C16B4A0805D349084900C163012022
+:102B100001F0D6FF224A1F48C11D89310B78002BD6
+:102B200002D04978002900D11E4AC0460092206890
+:102B30008000194BC31805CEC11D1131012002F0D0
+:102B4000C7FE1448216801312160172900D3256090
+:102B5000396BC0460D65796A3A6BC04651623323C2
+:102B60009B01C0188168002903D1396BC046816080
+:102B700004E0396BC268C0461165396BC046C1605C
+:102B8000F8BC08BC18470000EA0500001800140251
+:102B90007C290080680E008044822040E80E00807E
+:102BA0000400000204000003F0B5114EFF250135BA
+:102BB000104FC0463560786901387861BC68002CD8
+:102BC00010D0206DC046B860201C00F021F8201CF9
+:102BD00000F004FA0848806A000C0007E9D1F0BC54
+:102BE00008BC18470548C1790131C171F7E70000F9
+:102BF000000000B0281B008000001040A082204090
+:102C0000012080030149C04608607047000000B001
+:102C100090B5071C3868C00809D31D48016A0139FE
+:102C2000016220300079002801D0FEF7E9FD012380
+:102C30009B07F81D1D3018430068164C616A8142DD
+:102C400021D1011C194309680904090C01291AD171
+:102C500000F022F86062606A216A884205D0012192
+:102C60008907014309680904F2D051218903626A86
+:102C7000236B9A4202D1606BA26B801A0438C86041
+:102C800090BC08BC18470020796AC0460860F7E786
+:102C90006C060080E81A008001239B07C11D0131EA
+:102CA000194309680904090C08180D30810702D07E
+:102CB00080088000043004498A6B12184B6B9A42DA
+:102CC00000D9086B70470000E81A008000B504487E
+:102CD000C068102801D300F005F808BC18470000B0
+:102CE000E81A008088B50C4F3879002811D10B49BB
+:102CF000102002F0F5FD00280BD001203871084AA1
+:102D0000C046009207484268074B0168002002F065
+:102D1000DFFD88BC08BC1847F81A0080F52CFFFFBF
+:102D2000100035027C2900804480204090B50120AD
+:102D300040021049C04608600F4F1021F81D3D3079
+:102D400002F04CFC1923DB01FC18E068002801D0DC
+:102D500000F014F80020C9231B01F9180871E0687D
+:102D6000102804D30120BB231B01F918487390BC21
+:102D700008BC1847000000B0680E0080F8B537485E
+:102D80001923DB01C118C968354D102900D910215C
+:102D900069623248C16C006E814207D9081A07097E
+:102DA0000024686AB84212D2071C10E081422AD27D
+:102DB0002C4A526B101A0709686AB84205D90C09E7
+:102DC0003919884203D2C41B01E00024071C3E19B4
+:102DD0003001254902F084FD00283DD02348002C15
+:102DE0001AD11E493A016F62096E8C181D4D6B6B2A
+:102DF000A34200D8E41A1E4B1A430092EA6A511803
+:102E00002A6B031C20E01B48016B01310163002089
+:102E10006862F8BC08BC1847104924013F01112220
+:102E200052053A436E6200920E4DEA6A096E5118DD
+:102E3000031C061C00202A6B02F04AFD0C4A2243A8
+:102E40000092BB19E96A2A6B002002F041FD034899
+:102E5000C046046600F010F80120DAE7680E008032
+:102E6000281B00807C2900805D2EFFFF44802040CD
+:102E700000003602A08220400448016E044A8030DF
+:102E8000D1600223C16B1943C1637047680E008093
+:102E900090EE2040F0B584B0012080021C49C0466D
+:102EA000086000271B4E33239B01F518686A002831
+:102EB0001DD9194C6846102102F090FB684600F0BD
+:102EC00033F8002804D015494869013048610AE008
+:102ED0001349607B01306073887901308871114833
+:102EE000006802F065F9686A0137B842E2D8BB238E
+:102EF0001B01F018817B002903D000218173FFF7AB
+:102F000005FBFFF7E3FE04B0F0BC08BC1847000067
+:102F1000000000B0680E0080B0822040088320408E
+:102F2000A08220405804008090B4174F1923DB0181
+:102F3000F9180022CB68002B23D0013BCB60332350
+:102F40009B01FF18BB691C6DC046BC610468C0468C
+:102F50005C604468C0469C608468C0461C61C06870
+:102F6000C04658611A650869421C0A61002803D0EE
+:102F7000386AC046036500E0FB613B62181C90BCE8
+:102F80007047101CFBE70000680E00800A4A3323DC
+:102F90009B01D118C8691923DB01D2181369002BD2
+:102FA00006D0013B1361CA69126DC046CA61704701
+:102FB00000211161FBE70000680E0080064A1169DC
+:102FC0004B1C13614032002901D0D16900E000217F
+:102FD0000165D06170470000E81A0080064AD16898
+:102FE0004B1CD3604032002901D0916900E00021E0
+:102FF0000165906170470000E81A008090B40021DC
+:103000000F4A9789926A4B001B189B8A002B12D09B
+:10301000BB4210DC1C1C58236343D318DC1F493C03
+:1030200001239B0723431B681B061B0E032B02D0A7
+:10303000002090BC704701310429E4D30120F8E757
+:103040004C2A0080F7B586B03D4A071CD1698F40F5
+:10305000031C146AE3405F40079E8E407740CF40D8
+:103060009469C0460594031CA34000251469C0461A
+:103070000494002C5DD91C1C324E26439469E64012
+:10308000331C0396536AC0460293D26AC04601922B
+:10309000BB00029AD258131C059CE340039CA34238
+:1030A0003ED18A40CA40141C63001B195B01019A7F
+:1030B000D21801239B07D61D013633431B681B061C
+:1030C0001B0E032B2CD101239B07D61D51363343F6
+:1030D0001B68079E1E40009601239B07D61D49369C
+:1030E00033431B6883421BD101239B07D61D4D36FA
+:1030F00033431B68009EB34212D101239B071A433E
+:1031000012681204120C089B322B04D1022A07D138
+:10311000200400140FE0089B332B01D1012AF7D0C3
+:10312000049A0137974200D30027049A0135AA4236
+:10313000AED80020C04309B0F0BC08BC184700005E
+:103140004C2A008000000080F0B5274D68690028F7
+:1031500006D02648006802F02BF8F0BC08BC1847DF
+:10316000234C0026A068234F002816D00FE0286AC1
+:10317000022802D3012038710FE0A660FDF7DEFEC1
+:103180000028EAD1286A022801D301203871E868B2
+:10319000002802D038790028E9D0686800281BD0C0
+:1031A0000120A060FEF7BCFB0028D6D1686800288B
+:1031B000F6D111E00028D0D1286A022802D30120DC
+:1031C0003871CAE7A660FDF7B9FE0028C5D1286AA4
+:1031D000022801D301203871E8680028BDD0387971
+:1031E0000028E7D0B9E700006C0600805C0400808E
+:1031F0004C2A00808C060080704700007047000059
+:103200007047000090B540201D49C046086001F09D
+:103210009DFC03231B07416819400C0F6101091B2A
+:103220008900184A8F1801213980816AC046796562
+:10323000416AC0467967B96CFA6C8918B964002193
+:10324000F964BA6B3B6DD218BA633965426A2032B1
+:103250005171796D7A6FD26DC0461160FCF7CAFF6B
+:103260002001094940181923DB01C018416B0139BD
+:103270004163786F01F0C6FB90BC08BC18470000A2
+:10328000000000B05C2B0080A01C0080F0B5402046
+:103290001249C046086001F059FC071C406803232E
+:1032A0001B071840060F7001801B80000C49441852
+:1032B000B86AC0466065786AC0466067806F051D61
+:1032C000E563B969281C02F089F9381C211C321CFD
+:1032D0002B1C00F020F8F0BC08BC1847000000B020
+:1032E0005C2B0080F0B54B6F9B6F1F1DCF63056893
+:1032F00000238469A40808D09C002E59C0463E5182
+:103300008469A40801339C42F6D83B1C00F003F802
+:10331000F0BC08BC1847FFB581B0041C1D1C0F1C75
+:103320004648016901310161F91D5131BD650091C6
+:10333000201CFDF75DFCF86D400936D2B86D067BA8
+:10334000437B1B021E431721490201730B0A43737F
+:103350000099201CFDF74CFCB86DC0460673330A7B
+:103360004373F86D400920D260680104090C03988A
+:1033700001F0CCFC6068324B18436060201C01F007
+:1033800035FD00257D60BD603D647D64201CFCF73B
+:1033900031FF38884023184338807D622948C04671
+:1033A000B862381C00F0A0FB44E0206801239B07B2
+:1033B000083818430068C046786460680204120C3C
+:1033C000786E0126C11D0D318A4202D23A64081C72
+:1033D0000EE041198989F023194009098900401834
+:1033E000F860F96161680904090C814216D23964F8
+:1033F00063681904090C401A033080088200A06138
+:10340000206809189B186360C31F053B381C00F037
+:10341000B6FA7E80201C00F0BFFB0BE0B968081AEA
+:1034200000257862BD62381C00F03CFC201C391C71
+:1034300000F064F805B0F0BC08BC18470C2B008005
+:1034400000000080010000C0F0B5041C0F1C386CA7
+:10345000F96B0D18216841180020A269002A0BD9C8
+:103460008200561801239B0733431B68C046AB50AC
+:10347000A26901308242F3D8786EF96B0918898904
+:10348000F0231940090989004018F860F9612068A3
+:1034900001239B07083818430168786CFCF795FFF7
+:1034A000786460680104090CF868814219D23964B3
+:1034B00063681904090C401A033080088200A06177
+:1034C000206809189B186360C31F053B381C00F077
+:1034D00056FA01207880201C00F05EFBF0BC08BC8E
+:1034E0001847B968081A78620020B862381C00F0E2
+:1034F000D9FB201C391C00F001F8EFE7F0B584B0CF
+:10350000041C0F1C8E4841690131416103200007F2
+:1035100061680840060F0A04120C20681118FB6845
+:10352000D21A7B689D1AC31F053B381C2A1C00F069
+:1035300026FA00207880201C00F02EFB60684019DD
+:103540000104090C6060301C01F0E0FB7D4E0B2390
+:103550001B02F0180069002819D000252D239B01BB
+:10356000F018C068002812D0AA0092192D239B01E0
+:10357000D218D268201C391C01F01CFE0135A800AD
+:1035800080192D239B01C018C0680028ECD1F86B6E
+:10359000011F8A1CFA63FA687D6C00F0BBF9C04316
+:1035A0000104090C281CFCF710FF0390F96B3A6E1C
+:1035B0008E182068121801927A6E8D1811180291D7
+:1035C000C81D0930E060B1880802090A0906090E21
+:1035D00008430004000C78616868010EFF221204A1
+:1035E0000240120A1143FF2212020240120211434A
+:1035F000000608433861A88909231B021840B861F6
+:10360000A8899843A881A8890299C046888100208A
+:103610007080B080708168602882B96E301CFCF7C1
+:10362000E8FE3886FA69301C291CFCF703FF78860F
+:103630003D8E788E0399FCF7C8FE00906068000408
+:10364000000C396E411A0904090C7A6E821A1304AF
+:103650001B0C1A021B0A1A431604360CBA68824263
+:1036600001D2002000E0101AB86008020912090611
+:10367000090E08430104090C0198C0464180281C2A
+:10368000FCF7A3FE051C0098311CFCF79EFE061CEF
+:1036900078690004000C0102000A08430104090CC7
+:1036A0000198C0468180281CFCF78FFE79690131A2
+:1036B000C0437961019AC04650813869010EFF22EA
+:1036C00012040240120A1143FF22120202401202A7
+:1036D000114300060143301CFCF777FE39697A6814
+:1036E00089183961B968002909D102998989BA69AB
+:1036F0001143029AC0469181B969FCF766FE2082A7
+:1037000000206082F86D410816D3800A0AD36068F1
+:1037100010380104090C0802090A08432168C04650
+:10372000088209E060680C380104090C0802090AE3
+:1037300008432168C046888104B0F0BC08BC184723
+:103740000C2B0080680E0080F1B584B06E4D2869A6
+:10375000012204998A409043286104984301181A71
+:103760008000161C69494418E06BC0460090A068B0
+:10377000002801D1002626E06548416901314161F8
+:103780000498FCF709FD071C03D128693043286120
+:10379000B5E0A0686568A84200D2051CA16CA942EA
+:1037A00016D2401A626A101A00266062A660A662EB
+:1037B00020884823184320800D1C09D1381CFCF7B1
+:1037C00019FD032060806660201C00F08DF996E0F2
+:1037D000E16838680918C31F053B201C02392A1C00
+:1037E00000F0CDF8381C00F0D7F9E0684619786889
+:1037F000304378600498311C01F088FA216E0098FB
+:1038000008180190701A0004000C616E711A0A0405
+:10381000120C1102120A11430904090C029101024F
+:10382000000A08430104090C0198C0464180208E1B
+:10383000FCF7CBFD061C608E0299FCF7C6FD0390D9
+:1038400060690104090C0802090A08430104090C13
+:103850000198C0468180301CFCF7B7FD61690131D9
+:10386000C04361610199C0464881606E0099461865
+:103870002069010EFF2212040240120A1143FF22A6
+:1038800012020240120211430006014371600398C4
+:10389000FCF79BFD216949192161A168491BA160C1
+:1038A00006D1B189A2691143B181A169FCF78DFDEF
+:1038B0003882616E386809180E31F960E268009943
+:1038C000043800F04CF802207882E06D410816D3ED
+:1038D000800A0AD3786810380104090C0802090A22
+:1038E00008433968C046C88109E078680C3801048B
+:1038F000090C0802090A08433968C046488105B026
+:10390000F0BC08BC18470000D02C00805C2B008065
+:103910000C2B0080F7B5031C0F1C00201C6826042C
+:10392000311C1D1DFCF751FD40C7029AD11C8908AE
+:1039300001394A1E029200290DD0210C10CD22041B
+:103940000A43111C161CFCF740FD40C702994A1E91
+:1039500002920029F1D103B0F0BC08BC18478008DE
+:10396000800089088900033293085A1E002B05D075
+:1039700008C908C0131C013A002BF9D17047FFB5E4
+:1039800086B0171C00260698806CC01B0699C0469E
+:1039900088640120C0050699896BC046019106998B
+:1039A0004C6B67E02168C04602916168C046039194
+:1039B000A168C046049102A94988B94208D202AD63
+:1039C0006D8802A949887F1A002102AB598019E04D
+:1039D00002A94988C91B02AB59803D1C002701215F
+:1039E0004906079B9A07920F0DD0EB06DB0E08D015
+:1039F0001E2B08D31E2B02D1032A04D101E0022A78
+:103A000001D301260021294301430A1C0091002013
+:103A10000399049A079B01F05BFF079949190791E5
+:103A2000002E0AD01D4AC04600921D48016D426D0D
+:103A30000020079B01F04CFF002602A840880028C8
+:103A40000CD00398401903900298C0462060039858
+:103A5000C04660600498C046A06003E00198013849
+:103A6000019010340698C046446301980699C046F8
+:103A700088630020002F02D00199002992D1094AC1
+:103A8000C04600920648016D426D0020099B01F07E
+:103A90001FFF0AB0F0BC08BC18470000010000027C
+:103AA0007C2900800400530290B50C1C071C386868
+:103AB00001239B07083818430168388AFCF785FC06
+:103AC000C043F968C0460880788A3968081A3860A7
+:103AD000381C01F08BF9381CFCF78CFB201CFFF71D
+:103AE00033FE90BC08BC184780B501888A0921D3F1
+:103AF000CA091FD28A081DD3002101804180476F67
+:103B0000406DFA1D19325171FA6DC04610603A6E5F
+:103B1000C04610600C48C0468163C16B490849002B
+:103B2000C163012000F0CCFF381C00F06BFF80BCAB
+:103B300008BC184780231943018001884909F6D23F
+:103B400000F0B0F8F3E70000E80E0080F0B5071CC5
+:103B5000101C0D1C00245E1E002B19D00168C046ED
+:103B6000396041880C194168C04679608168C04657
+:103B7000B960C168C046F96010301037E96A814207
+:103B800002D8281C00F0ECFF311C013E0029E5D1D1
+:103B9000201CF0BC08BC18470021C16105490A6817
+:103BA000002A01D1086002E04A68C046D06148603E
+:103BB00070470000D02C008003490868002802D01C
+:103BC000C269C0460A607047D02C0080002181671E
+:103BD00005498A68002A01D1886002E0CA68C046A7
+:103BE0009067C86070470000D02C00800349886847
+:103BF000002802D0826FC0468A607047D02C0080B7
+:103C000000B580201349C0460860FFF7D5FF0028A3
+:103C10001BD003231B07416819400A0F5101891A61
+:103C200089000D4BC9184B88002B04D1111CFFF7DC
+:103C30003BFF08BC1847012B02D1FFF705FCF8E752
+:103C4000022BF6D1FFF74EFBF3E70448016D01317B
+:103C50000165EEE7000000B05C2B0080A0822040F0
+:103C600000B520200D49C0460860FFF7BFFF0028BF
+:103C70000ED0018820231943018001881023994325
+:103C800001800188090A01D3FFF72EFF08BC1847FD
+:103C90000348016D01310165F8E70000000000B044
+:103CA000A082204098B5071C2248C04600902248B8
+:103CB000C31D4133416D826D806C0003000B9C6815
+:103CC00001239B0723431B68984200D10CE09842D4
+:103CD00003D9101A591A411800E0191A01201029A5
+:103CE00000D8002000281FD0786AF96AC046086012
+:103CF000B86AF96AC0464860104AC0460092FB6A3A
+:103D00000F48426D0320396A01F0E2FD3888102324
+:103D1000184338803888402398433880381CFFF790
+:103D200055FF98BC08BC1847388840231843388092
+:103D3000F7E7000055555555A8030080080011020B
+:103D40007C290080B0B540202C49C046086000F0B6
+:103D5000FDFE071C406803231B071840050F680180
+:103D6000401B8000264944182088022318432080E5
+:103D70002088410834D3400840002080A06CE16CCA
+:103D80004018A0640020E064A16B226D8918A16333
+:103D90002065B86AC046606503231B077868184031
+:103DA000786061683631942904D8382318437860E4
+:103DB000382003E09423184378609420B861396870
+:103DC00078680204120C201CCB1F053BFFF7D7FDBF
+:103DD00002206080381CFFF7DFFEB0BC08BC18472B
+:103DE000381CFCF707FA2801064940181923DB01A3
+:103DF000C018416B01394163EFE70000000000B0DB
+:103E00005C2B0080A01C008090B500270F4C0DE0BB
+:103E1000426B013A4263002A05DC026BC0464263F2
+:103E2000C06A01F0C6F901370B2F07D2380100191B
+:103E300033239B01C018816A0029E9D10120400683
+:103E40000349C046086090BC08BC1847680E008053
+:103E5000000000B01048C1680131C1600F49C86856
+:103E6000012817D1C81D79300289002A12D0013AE1
+:103E700002810289002A0DD14289002A08D1C96F26
+:103E800002230A681A430A6004210181012100E02B
+:103E9000002141817047000008832040680E0080A7
+:103EA000B0B5071C0123F81D693003731E48C21DFD
+:103EB0007932548A611C5182D58A0021AC4204DBDC
+:103EC000C41D893463705182D18301239B073A6DED
+:103ED0001A431268C046BA61FB699A4206D1F86C6F
+:103EE0001249C0460860B0BC08BC184779614169F6
+:103EF000FA6C9143416101200005C16038690228D4
+:103F0000F1D0B869F969411A01D5786D4118381CAA
+:103F100000F00EF8F9690918F961786D8142E2D371
+:103F2000081AF861DFE70000680E0080000000B0AA
+:103F3000F8B5041C0F1CFF2321339F4201D9FF2732
+:103F40002137E16E381C01F0CBFC2D4D002813D138
+:103F5000E01D4930017A012319430172294AC04604
+:103F600000922948016D426D00202B1C01F0B0FC2D
+:103F70000020F8BC08BC18472069013020612349A3
+:103F8000C81DB930026B92005118C0310F61016B2E
+:103F900001318907890F0163206BC219616D8A4263
+:103FA00003D8232212053A4305E0091A7E1A07D1E5
+:103FB000232212050A430092616E0918A26E10E0D6
+:103FC000112252050A430092616E09180020A26E68
+:103FD0002B1C01F07DFC2322120532430092616EFE
+:103FE000A26E00202B1C01F073FC206BC01900098D
+:103FF0000001616D814200D8401A2063381CB8E787
+:104000004480204004001B027C290080680E008050
+:1040100080B50120C0030D49C04608600C49C81D89
+:104020004930027A0027002A03D00772081CFFF7E4
+:1040300037FF0849C81D4930027A002A03D00772A9
+:10404000081CFFF72DFF80BC08BC1847000000B01B
+:10405000642D0080E42C008090B5071C10201849C6
+:10406000C0460860F8680130F8601648C41DB934CD
+:10407000616B89000918C03109697A689200D21908
+:104080005164616B89000818C0300169786880004C
+:10409000C019C06B01F0A2FA01237868584078601B
+:1040A000606B01308007800F6063F81D1930407924
+:1040B000002802D1381C00F007F890BC08BC184753
+:1040C000000000B0680E008090B5071C3948C06839
+:1040D000002805D0B86AC068800901D3022000E03A
+:1040E000786FFCF759F8041C06D10120F91D19312D
+:1040F000087190BC08BC1847F86C2F49C04608608E
+:10410000BA6A381C211C00F059F86762002803D1F4
+:10411000201C00F00BFDECE7F96D0968091809098E
+:1041200009017A6D8A4200D8891AA162B9688900AA
+:10413000C9194A6C002A07D04A6C121A4A648008CE
+:104140008000B96A0818B8623868B96A8000C01976
+:10415000426B91420ED300214164B86A39688900EC
+:10416000C919496B401AB862B9688900C919C96B85
+:104170004018B862B8688100C919496C0029B8D1E3
+:10418000B96AFA6B9142B4D03A6C9142B1D0012332
+:104190005840B8608000C019C06BC046B862F8686B
+:1041A000002801D00138F86038690028A1D0013812
+:1041B00038619EE768190080000000B0F7B590B044
+:1041C000041C0D1C00200590029000220192F94869
+:1041D000C06AC046A861A06881000919496BC04641
+:1041E0002060E162129AD068C046A860129A5178A5
+:1041F000C0460C91F048C0460390D71D0937E06ACD
+:10420000C11B0909E31D19330C9AC0460F93EB4BF0
+:10421000C0460E93914201D3B84221D8E1680229E9
+:104220001ED201200F99C04648710020039901F069
+:1042300057FB002803D10E9BD86B0130D8630120B7
+:10424000800600276860AF61DD4AC0460092DD4805
+:10425000016D426DDC4B002001F03AFB381C5CE341
+:10426000B84203D8201C00F07BFC071CD748C0686C
+:10427000002864D038784007400F032860D10598A3
+:1042800001300006000E05903878F023184058D110
+:10429000E06AC01B00090C99884202D2E06802283B
+:1042A00005D3CB49886800F083FF061C06D1039B29
+:1042B000281C391C221C00F08BFC16E12E62F868C9
+:1042C00000280DD0B889002803D0C149C96800F082
+:1042D00070FFF889002803D0BD49C96800F069FF64
+:1042E0007A68C0467261B968C046B161301CB8498D
+:1042F000096800F05EFF002817D1301CB4494968F6
+:1043000000F057FF1037E06AB84203D8201C00F0D5
+:1043100027FC071C6868AF4B184368600020A86141
+:10432000AC23A8689843A860B0E0A869A82801D287
+:10433000A820A8611037E06AB8426CD89CE0A5E0DC
+:10434000A4E0102868D103231B0768681840010FF8
+:104350004801401A8000A04A821801927888420BD6
+:1043600031D3820B2FD39D48C04603900220019A7F
+:10437000C046108078880005000D019AC046506044
+:10438000B868019AC04690607868019AC046106289
+:104390000020019AC0469064019AC046906388024A
+:1043A0008F494018019AC0465063019A50683630D0
+:1043B000942801D8382000E09420A8611037E06AE2
+:1043C000B84228D858E07A88920B03D38548C04673
+:1043D000039023E0012212030240834B1DD003937C
+:1043E0000005000D019AC0465060B868019AC046A9
+:1043F00090607868019AC04610620020019AC04619
+:104400009064019AC0469063880275494018019AE9
+:10441000C046506302E033E02AE0039301200F9985
+:10442000C0464871129A50780599431A0B93103779
+:10443000E06AB84203D8201C00F092FB071C019AE6
+:10444000506B916B090140180B9B211C3A1CFFF724
+:104450007DFB019AC046D064019A0B9BC046136550
+:1044600001235B066868184368600020A8610DE0BE
+:104470001037E06AB84203D8201C00F071FB071C1B
+:1044800038784007400F032800D1F8E6A86903995F
+:1044900001F026FA00282AD1381C211C00F079FBF3
+:1044A000A868800904D3301C4949496800F081FE9E
+:1044B0004149002001F014FA002804D10E9BD86B6A
+:1044C0000130D86311E001200F99C0464871800681
+:1044D00000276860AF613A4AC04600923948016DD2
+:1044E000426D394B002001F0F3F9002015E20598E8
+:1044F0000C99081A0004000C0C900B900C980028E2
+:1045000003D001200F99C04648712868C046049026
+:1045100000260020089000220A920C9801380D9085
+:10452000A3E078888A1B1204120C904205DD0792E2
+:10453000801A0004000C089000E0079008980028FA
+:1045400007D10D980A9A904207DD079830188842E3
+:1045500003D80120400506901CE01120400506907C
+:10456000A8688C23184002D12048C0460690B107A5
+:10457000890F0FD00798C006C00E08D01E2809DB8F
+:104580001E2802D1032905D101E0022902D301200E
+:104590000290DEE70A9A002A04D10123DB0506987F
+:1045A00018430690079806990843021C0090049847
+:1045B00083191DE0E80E00800149FFFF280F0080ED
+:1045C000040012027C290080448020406819008089
+:1045D00060040080000000805C2B00805532FFFFEB
+:1045E000AC5E21400D3DFFFFCD31FFFF00003202E8
+:1045F00000203A1D06CA01F06BF907983618029898
+:10460000002816D0A8688C23184004D109235B0425
+:104610000698184306900698C24A024300920498EE
+:104620008319C148016D426D002001F051F900204D
+:104630000290089800280BD10B9B013B0B9310377D
+:10464000E06AB8420CD8201C00F08AFA071C07E088
+:104650007868079A801878607888079A801A788036
+:104660000A9A501C0204120C0A920C980A9A82426E
+:1046700003DAA969B14200D953E7A869B0426BD106
+:10468000A868010969D2089A002A56D00C990A9A9A
+:104690008A423EDBB107890F0CD0089AD206D20EAF
+:1046A0000BD01E2A06DB1E2A02D1032905D001E009
+:1046B000022902D20299002921D0089AC04600920C
+:1046C0000498831900203A1D06CA01F001F90898E0
+:1046D0003618A8688C23184002D00120400600E05C
+:1046E0009248012202430092049883198E48016D7A
+:1046F000426D002001F0ECF80020029015E08C23C0
+:10470000184002D00120400600E08848089A024381
+:1047100000E0089AC04600920498831900203A1DD0
+:1047200006CA01F0D5F8089836181037E06AB84282
+:1047300003D8201C00F014FA071C6868800E6BD2A6
+:104740000A98C04609900C9988425CDA0D9809993C
+:10475000884203D07A881EE05FE05EE0788801221C
+:1047600052060243A9688C23194002D109235B0435
+:104770001A43B107890F0ED0C306DB0E08D01E2BDB
+:1047800009DB1E2B02D1032905D101E0022902D346
+:1047900001210291021C0998002802D10123DB05A6
+:1047A0001A4300920498831900203A1D06CA01F0AA
+:1047B0008FF87888861910370298002814D0A868D6
+:1047C0008C23184002D00120400600E05748012207
+:1047D00002430092049883195348016D426D0020F2
+:1047E00001F076F800200290E06AB84203D8201C5D
+:1047F00000F0B6F9071C099801300004000C09907C
+:104800000C998842A2DB686830430104090C686097
+:10481000E86A00F07BFA28E027E0A868000914D3D2
+:104820006868800E15D2019A002A12D0019A506B46
+:104830000B9B211C3A1CFFF789F9019AC046906432
+:10484000019A0B9BC046936303E0E86A311C00F0B9
+:104850005DFA686830436860A869B04205D9000411
+:10486000000C801B00F0EEF9AE61A8688C231840A4
+:104870000BD02F4AC04600920498C31F053B2A481C
+:10488000016D426D002001F023F801239B07206D8C
+:1048900018430068C046A061E169814212D02269D4
+:1048A000022A0FD2411A01D5606D4118201CFFF772
+:1048B0003FFBE1694018E061616D884224D3401AF2
+:1048C000E06121E081421FD1206902281CD2012031
+:1048D000606118484169E26C0A4342618169E36C96
+:1048E0009943816101210905CA608069C046086158
+:1048F0008B02206D18430068C046A061E1698142C7
+:1049000002D0201CFFF7CCFA281C00F00FF90C98FD
+:104910000599401800011030686113B0F0BC08BC64
+:1049200018470000010000027C29008000001202EC
+:1049300004005202680E0080F0B540202D49C046A8
+:10494000086000F003F9071C8169446AA06F00F059
+:1049500045FE0020E11D193148717968C90E09D35F
+:10496000F86A000124494018244BC0180168013935
+:10497000016036E0E16D0968226EC0461160204E8C
+:10498000F51D79350123E96B1943E963B96AE26DD5
+:10499000C0461160B96A226EC04611606169002983
+:1049A00004D1A96B0131A963082907D3A8630120A9
+:1049B00000F086F8E86B40084000E8637868810EF4
+:1049C0000FD20B231B02F118C968002906D000087A
+:1049D00004D2201C391C00F043F802E0381C00F01F
+:1049E00005FA381CFBF706FC201C00F00BF8F0BCA5
+:1049F00008BC1847000000B0A01C0080B40C0000E8
+:104A0000680E008080B5071CF81D19300179002957
+:104A100004D000210171381CFFF756FBF86802280A
+:104A20000DD0B8688000C219506C002811D0B86A47
+:104A3000417809011031526B101A884205D3381C95
+:104A4000FFF742FB80BC08BC1847381CFFF728FA68
+:104A5000F8E778688000C019C06BC046B862F1E71B
+:104A6000B0B587B00F1C806FC04600900024134D76
+:104A70000B231B02E8188069002817D06946A200A2
+:104A800052190B231B02D2189269381C00F092FBBA
+:104A9000002809D10134A00040190B231B02C018C3
+:104AA00080690028EAD101E0012802D0381C00F01A
+:104AB0009DF907B0B0BC08BC18470000680E008024
+:104AC000B8B5C207D20F164C164901D0082208E02B
+:104AD000820805D30C22A4180B68DF1D153703E0EC
+:104AE0001C220B68DF1D09370F4B1D78002D13D0DA
+:104AF0005B78002B10D001235B061A43002801D1FC
+:104B00005B081A4300924A680120391C231C00F0FC
+:104B1000DFFEB8BC08BC184703231B061A43F1E7A5
+:104B200090EE20407C290080F80E00800021C161B9
+:104B300005498A68002A01D1886002E0CA68C04637
+:104B4000D061C86070470000280F00800349886862
+:104B5000002802D0C269C0468A607047280F0080D2
+:104B6000011C0123886858408860CA68013ACA60FD
+:104B70000A69013A80000A614218D06B536BC04643
+:104B8000CB620B689B005918496C536CC91851646F
+:104B900070478A6892005218D36B834217D1D01D98
+:104BA0003D300A6892005218526C03689A1A0260EB
+:104BB0000123886858408860CA680132CA600A695F
+:104BC0000132800040180A61406BC046C8627047DD
+:104BD000B8B5041C1D1C171C081C391CFFF7D9FF95
+:104BE0000020291C00F07CFE0120F91D19314871BC
+:104BF000800660600020A061064AC0460092064818
+:104C0000016D426D054B002000F062FEB8BC08BC8F
+:104C100018470000040012027C29008044802040D4
+:104C200006490A681018086001235B02984203D9FC
+:104C300003490A7901320A7170470000E42D0080AF
+:104C4000A08220408008800006490A681018086089
+:104C500001235B02984203D903490A7901320A71A0
+:104C600070470000E42D0080A082204003308008BF
+:104C7000800006490A681018086001235B02984208
+:104C800003D903490A7901320A717047E42D008083
+:104C9000A0822040024841790131417170470000F3
+:104CA000A082204090B48200174B9A588B0702D004
+:104CB00089080B1D01E08908CB1C1169D768124CCB
+:104CC000800020584068B94203D1814219D9116847
+:104CD00017E00024B94209D9814212D91168781A23
+:104CE00000D50330801098420BD807E0814205D8E8
+:104CF000781A00D503308010984202D8201C90BC4E
+:104D00007047C81D0530FAE77004008080B5800048
+:104D10000F4A1758880702D08808043001E0880835
+:104D2000033039697A68914209D93968C0463961D6
+:104D3000F9687A68914202D93968C046F960810001
+:104D4000386900F0D1FD386180BC08BC184700000C
+:104D50007004008090B50321090701400C0F010485
+:104D6000090C012292070240A3001C4FFF5889073B
+:104D7000890F0004000C8008002900D00130002AAF
+:104D800001D0023000E00330F9687A68914202D91C
+:104D90003968C046F9608100F86800F0A5FDF86048
+:104DA0000F480069002805D00120A04002D0201C37
+:104DB000FEF7CAFC0B49C81D193003790022002BED
+:104DC00005D10949C81D19300379002B03D00271A0
+:104DD000081CFFF779F990BC08BC184770040080E4
+:104DE000D02C0080642D0080E42C0080B0B52B49CD
+:104DF0000979002903D14168294B19434160816831
+:104E0000490802D30921090401E00D2109040CC855
+:104E1000083819438768BB0A03D343685B0800D38B
+:104E20000131406803231B071840070FF8001D4C91
+:104E300000192368C01850300079012810D160682B
+:104E400001280DD0101C00F071F838010019192349
+:104E5000DB01C018416B01394163B0BC08BC184785
+:104E6000380100191923DB01C018036B5D1C0563B1
+:104E7000BD022D19DB00EB1880331963DA62816BF8
+:104E8000013181630121B940226811432160016B26
+:104E90008029E2D300210163DFE70000280F0080B2
+:104EA00000000080A01C0080F0B51F4E7068002834
+:104EB00036D10024B168481CC9008919B060326835
+:104EC000891860310D7B082800D3B460280180194F
+:104ED0001923DB01C018876B002F21D0C16A4B1C3E
+:104EE000AA029219C90051188031C362CA6A096BBB
+:104EF000013F8763802B00D3C462002F06D10127B6
+:104F0000AF403B1CDB4337683B403360436B013BA6
+:104F10004363101C371C00F009F878680028C9D0DA
+:104F2000F0BC08BC18470000A01C0080F0B5CD0FF5
+:104F3000ED07012400272E4B2E4A002D1DD0D86AE4
+:104F40000130D862101C5269002A12D00269531C29
+:104F50009200121803619161416901314161026956
+:104F60000F2A00D307610F2900D34460F0BC08BCAE
+:104F70001847081CFFF7EEFEF8E715696E1CAD0038
+:104F8000AD181661A96155690135556116690F2E75
+:104F900000D317610F2D00D354608C02A40A164F62
+:104FA0003A6FFD68F91D7931012D0CD1DB6D5B087D
+:104FB00009D30B89002B06D1FD6F033B2E683340CC
+:104FC0002B6014230B8110608007800A20430304A8
+:104FD00000D001385060096A0832914200D8074A6F
+:104FE000000D02D35120800382613A67BEE70000C2
+:104FF000A42A0080A01C0080680E008024A7204006
+:10500000B0B5002804D10120C0051649C04608608B
+:10501000154C00256769002F16D0E068411C800000
+:105020000019E1608069013FFFF794FEE0680F28F6
+:1050300000D3E560E068800000198069000801D3B2
+:10504000002FEAD1676103E00848016D0131016575
+:1050500065602068002801D0FFF726FFB0BC08BCBF
+:1050600018470000000000B0A01C0080A082204073
+:1050700000207047B0B41023826813400021002B39
+:1050800015D00C4B1A401201812414430268156894
+:10509000131D80CB1B68043A026020C280C208C284
+:1050A0001460426801239B0704321A434260081CC3
+:1050B000B0BC704700F0FF0FF0B48268530934D3DE
+:1050C0001B4B1A4012018126164303681D681F1DE1
+:1050D00010CF3F68043B036020C310C380C31E6031
+:1050E00043681F1D01239B073B434360CB6B181F85
+:1050F000C86380CB80C01C681F1D031D0460381C62
+:105100003F68C0461F601F1D43681C04240C812398
+:1051100023433B604068000C000410437860086E35
+:1051200004300866486E043048660020F0BC7047C2
+:1051300000F0FF0F80B4816A01239B07CA1D05326E
+:105140001A431268CF1D01373B431B68C046CB6032
+:1051500001239B070F1D3B431B68C0468B60012347
+:105160009B070B431B680CC10262016BC0460A62BD
+:105170000423816919438161026BC0469161816A90
+:1051800004318162026BC0469162C11D39314A8B84
+:10519000043A4A83498B026B40325183C1890439F6
+:1051A000C181C168006BC046C160002080BC7047EF
+:1051B00000470847104718472047284730473847D7
+:1051C00030402DE90CC09DE50C48A0E12448B0E139
+:1051D0001E00000A01C04CE21840A0E364519FE5A4
+:1051E000945020E0005090E5144090E5003085E5B3
+:1051F00004C085E5081085E50C2085E5101090E5D4
+:10520000105085E2010055E10C509055040055E125
+:105210000500000A041090E5005080E5005081E58B
+:105220000000A0E33040BDE81EFF2FE1003093E511
+:10523000082090E5013183E3023683E3030055E162
+:10524000143080E5F2FFFF1A0100A0E3F4FFFFEA4B
+:1052500001061CE3F1FFFF0AEC109FE502C6CCE358
+:10526000542091E5E4309FE5501091E5D9FFFFEA25
+:10527000F0472DE920C09DE50C68A0E12668B0E16B
+:105280002500000A1840A0E3B8509FE5940000E014
+:10529000050080E0084090E5048090E50070A0E300
+:1052A0001FC0A0E302C48CE3005090E5109090E58D
+:1052B00014A090E5003085E504C085E5081085E57B
+:1052C0000C2085E5105085E2090055E10C50905501
+:1052D0000A0055E11500000A037017E2201081E270
+:1052E000203083E20A00000A006096E2017087E243
+:1052F0000900000A206046E2200056E3ECFFFFCAE6
+:105300000070A0E301C046E202C48CE30060A0E3A9
+:10531000E7FFFFEA005088E5F2FFFFEA0010A0E394
+:10532000005080E50100A0E1F047BDE81EFF2FE13D
+:1053300000A094E50A0055E114A080E5E5FFFF1AFE
+:105340000110A0E3F5FFFFEAA80300807C2900809C
+:105350000080204068829FE50B92A0E364A29FE555
+:1053600058B09AE50EF0A0E154B09AE51EFF2FE187
+:105370003F402DE900004FE11F0000E2120050E322
+:105380005400000A00000FE18000C0E300F021E1BA
+:105390000450A0E3004099E5090000EA020014E38C
+:1053A0005300001B800014E35900001B200014E38D
+:1053B0005900001B020714E35900001B010614E307
+:1053C0005900001B080014E34500001B020514E30C
+:1053D0004A00001B020814E34B00001BE50E14E317
+:1053E0000700000A042098E50C1098E5043052E20A
+:1053F0003C30A0B3043088E5020091E70FE0A0E163
+:1054000010FF2FE1015055E20300000A004099E52A
+:105410000C009AE5000014E11BFF2F1108009AE52B
+:10542000000014E10B00000A010C14E398019F1521
+:105430000FE0A01110FF2F11020414E38C019F153F
+:105440000FE0A01110FF2F11010914E380019F1537
+:105450000FE0A01110FF2F1104009AE5000014E1E5
+:105460001600000A54E08FE2040014E340009A158D
+:1054700010FF2F11020A14E344009A1510FF2F1198
+:10548000020914E348009A1510FF2F11010214E3DA
+:105490004C009A1510FF2F11010414E350009A15C7
+:1054A00010FF2F11010A14E32100001B020014E376
+:1054B0000E00001B10009AE5000014E11C00001B08
+:1054C000004099E50450A0E3004094E21BFF2F1137
+:1054D0003F40BDE804F05EE2C00080E300F061E11F
+:1054E000FAFFFFEA18009AE51C109AE511FF2FE178
+:1054F00054B09AE51C109AE514009AE511FF2FE1CB
+:1055000020109AE50000A0E311FF2FE124109AE596
+:1055100011FF2FE128109AE511FF2FE12C109AE5D9
+:1055200011FF2FE130109AE511FF2FE134109AE5B9
+:1055300011FF2FE1FEFFFFEA38E09AE53C109AE503
+:1055400018009AE511FF2FE138E09AE53C109AE542
+:1055500014009AE511FF2FE164209FE5003092E5E9
+:10556000003053E00A0000BA003082E50C0092E5FA
+:10557000083092E5001091E20300000A031080E772
+:10558000043053E23C30A0B3083082E50100A0E3D0
+:105590001EFF2FE13C109FE5000091E5010080E235
+:1055A000000081E50000A0E3F8FFFFEA10009FE59E
+:1055B000081090E5041051E23C10A0B3081080E5FB
+:1055C0001EFF2FE1E42D0080CC040080712BFFFF33
+:1055D000D13DFFFFC92BFFFFA0822040C91C8908D5
+:1055E00089000123854A5B07184313685B18136021
+:1055F000001F81A35B1A18470420A0E50420A0E542
+:105600000420A0E50420A0E50420A0E50420A0E5F6
+:105610000420A0E50420A0E50420A0E50420A0E5E6
+:105620000420A0E50420A0E50420A0E50420A0E5D6
+:105630000420A0E50420A0E50420A0E50420A0E5C6
+:105640000420A0E50420A0E50420A0E50420A0E5B6
+:105650000420A0E50420A0E50420A0E50420A0E5A6
+:105660000420A0E50420A0E50420A0E50420A0E596
+:105670000420A0E50420A0E50420A0E50420A0E586
+:105680000420A0E50420A0E50420A0E50420A0E576
+:105690000420A0E50420A0E50420A0E50420A0E566
+:1056A0000420A0E50420A0E50420A0E50420A0E556
+:1056B0000420A0E50420A0E50420A0E50420A0E546
+:1056C0000420A0E50420A0E50420A0E50420A0E536
+:1056D0000420A0E50420A0E50420A0E50420A0E526
+:1056E0000420A0E50420A0E50420A0E50420A0E516
+:1056F0000420A0E50420A0E50420A0E50420A0E506
+:105700000420A0E50420A0E50420A0E50420A0E5F5
+:105710000420A0E50420A0E50420A0E50420A0E5E5
+:105720000420A0E50420A0E50420A0E50420A0E5D5
+:105730000420A0E50420A0E50420A0E50420A0E5C5
+:105740000420A0E50420A0E50420A0E50420A0E5B5
+:105750000420A0E50420A0E50420A0E50420A0E5A5
+:105760000420A0E50420A0E50420A0E50420A0E595
+:105770000420A0E50420A0E50420A0E50420A0E585
+:105780000420A0E50420A0E50420A0E50420A0E575
+:105790000420A0E50420A0E50420A0E50420A0E565
+:1057A0000420A0E50420A0E50420A0E50420A0E555
+:1057B0000420A0E50420A0E50420A0E50420A0E545
+:1057C0000420A0E50420A0E50420A0E50420A0E535
+:1057D0000420A0E50420A0E50420A0E50420A0E525
+:1057E0000420A0E50420A0E50420A0E50420A0E515
+:1057F0000420A0E50420A0E51EFF2FE1E42D008099
+:1058000098009FE598109FE5012040E094309FE5C7
+:10581000000091E5030050E10300001A041081E24A
+:10582000042052E20000000AF8FFFFEA78009FE53A
+:10583000002080E574009FE574109FE5012040E0A2
+:1058400060309FE5000091E5030050E10300001A7D
+:10585000041081E2042052E20000000AF8FFFFEA8F
+:1058600050009FE5002080E54C009FE54C109FE52F
+:10587000012040E02C309FE5000091E5030050E15D
+:105880000300001A041081E2042052E20000000A22
+:10589000F8FFFFEA28009FE5002080E51EFF2FE1CA
+:1058A0007C34008080300080ADDEADDEC00400803E
+:1058B000FC37008080340080C4040080FC3F0080FE
+:1058C00040380080C80400807847000071EAFFEA91
+:1058D0007847000039FEFFEA7847000063FEFFEAE0
+:1058E000784700001BFFFFEA784700006BEAFFEAF9
+:1058F00000000000FFFF00000000008028040000FE
+:10590000F83D00000001008000FF000000000000E2
+:10591000B90BFFFF00000000D50BFFFF03FF06548B
+:10592000030000007504FFFF00000000A105FFFF59
+:1059300004FF075403000000B504FFFF000000004F
+:10594000F105FFFF05FF0554030000003904FFFFC8
+:10595000000000005505FFFF01FF040003000000E8
+:105960004118FFFF00000000610EFFFF02FF020868
+:1059700000000000A102FFFF00000000F102FFFF95
+:10598000FFFF0144030000000000000000000000D1
+:105990009D0DFFFF0600FF00000000003D50FFFFCF
+:1059A0008150FFFF00000000FFFFFF00000000002B
+:1059B000000000000000000000000000FFFFFF00EA
+:1059C00000000000000000000000000000000000D7
+:1059D000FFFFFF00000000000000000000000000CA
+:1059E00000000000000000000000000048050080EA
+:1059F000117521401B7521403175214049752140A9
+:105A000055752140637521407D752140A975214060
+:105A10006D762140C5762140D3762140DD76214048
+:105A2000E776214099772140A7772140B57721403B
+:105A3000617821405F7C2140E97C2140897D2140C3
+:105A4000BD7E2140C97E2140297F21408D7F21409C
+:105A5000B97F2140DD7F21401D80214045802140CC
+:105A60008D8021409D802140C5802140D5802140EE
+:105A70001D8121405B812140B18121401182214063
+:105A80001B8221401F8221408D822140D9822140EA
+:105A9000318321406D832140D183214009842140FD
+:105AA0001984214051842140618421407584214022
+:105AB0009D842140A7842140B18421401585214047
+:105AC0004585214051852140C5852140CF85214014
+:105AD000D9852140E3852140ED852140F78521408E
+:105AE000018621400B8621401586214001892140F5
+:105AF0001F86214029862140338621403D86214052
+:105B0000658621406F862140D1862140DB86214079
+:105B1000E5862140EF862140F98621409D74214091
+:105B20000387214069872140B5872140F9872140BB
+:105B3000098821409D742140558821405988214081
+:105B40005D882140B5882140DD882140E9882140D9
+:105B5000ED882140F1882140F5882140F9882140D5
+:105B6000FD8821402D852140898521409D7421405B
+:105B70009D7421400D8921409D742140E174214094
+:105B80009D7421409D7421409D7421409D7421404D
+:105B90009D7421409D7421406B782140F57B21400C
+:105BA000317C2140000000000000000000000000E7
+:105BB000000000005C0118405801184024A3204058
+:105BC00024A7204000000000000000006C011840E5
+:105BD000680118402483204024A3204000000000D6
+:105BE000000000007C01184078011840000000000F
+:105BF0000000000000000000000000008C011840C0
+:105C00008801184024A9204024AB20400000000057
+:105C10000000000000000000080012001800120040
+:105C20000C0012001C00120024A82040A4A8204050
+:105C3000A4A8204024A9204000000000D1A82140B1
+:105C40002DAA21400000000089702140C9A12140F7
+:105C50000000000000000000010000000000000043
+:105C600057892140D1A82140C52FFFFF0521FFFF03
+:105C7000EF20FFFF59A72140342E0080482E0080DE
+:105C80005C2E008030333A31313A31310030372FD9
+:105C900032332F3031003030303031353639004337
+:105CA0006F707972696768742028632920323030F8
+:105CB000312033436F6D20436F72706F726174696E
+:105CC0006F6E0A00081000030000000000000000D2
+:105CD000000000008C53FFFF27F07DFD0001000253
+:105CE000DA0E820001406404642D0080E42C008000
+:105CF000693EFFFFC94FFFFFD524FFFFC93BFFFFF0
+:105D0000293CFFFF191AFFFF6511FFFFCC53FFFF6E
+:105D10002140FFFF8970214049722140D93FFFFF98
+:105D2000219A21408524FFFF6453FFFF8C53FFFF1E
+:105D300000000000FFFF0000803000800000000035
+:105D4000FFFF000000002040B05000007B0E00006C
+:105D5000006E21400000000000000000ED8921409D
+:105D60008B892140A58C2140058D2140CD8D21407E
+:105D70008B8B2140A98E2140158F2140698B2140BA
+:105D80000000000000000000000000000000000013
+:105D90000000000000000000000000000000000003
+:105DA0000000000059BD2140C1BD21402DBE214051
+:105DB00000200A4A0B231B02D1182D239B01D31864
+:105DC0008861D860D8638032C86008614861D06259
+:105DD0000348C0464860886070470000680E008035
+:105DE000FE030000F0B584B00C1C051C00230093DA
+:105DF000FFF7DEFF68490B231B02CF1878682840A5
+:105E00000022F8603A61BA6822407A610C1C4109AC
+:105E100003D2510901D2800A02D3604800F0C2F8CF
+:105E20000120F968490903D27969490900D20020A3
+:105E30000006000E03F0D4FAF868002870D00023A2
+:105E400002930193544A01231843F8600020D51DA2
+:105E500079350395012400214F4DFA68224039D04D
+:105E60008A00521892004E4B9B5C1E1C834204D049
+:105E70004B4BD3185B7883422CD1494BD218D37843
+:105E8000039DED6AAB4202D9039DC046EB625368A5
+:105E90005B0801D30123009386420AD19568029BD7
+:105EA0005E1C02969B003C4E9E190B231B02F318AE
+:105EB0009D61537883420DD1D268019B5D1C019591
+:105EC0009B00354D5D192D239B01EB18DA603A6973
+:105ED00001323A61640001310B29BDD30130092838
+:105EE000B8D30020029B99002B4A89180B231B0270
+:105EF000C9188861019B990089182D239B01C91835
+:105F0000C860009B002B0CD1810089180B231B0259
+:105F1000C918CB69C0468B6101300B28F4D308E067
+:105F200007E0039DE86A302803D23020039DC04675
+:105F3000E862194A786900282AD000210123184311
+:105F40007861002001240022134E7B69234010D089
+:105F500093009B189B00124D5B199D78854208D1D8
+:105F60001D690B1C9B009E192D239B01F318DD63FB
+:105F70000131640001320B2AE6D301300928E1D354
+:105F800000208900044A89182D239B01C918C86381
+:105F900004B0F0BC08BC1847680E00803053FFFF07
+:105FA0000001008000470847104718477847C0465F
+:105FB00018C09FE51CFF2FE17847C04610C09FE541
+:105FC0001CFF2FE17847C04608C09FE51CFF2FE16A
+:105FD0003852FFFF8851FFFFD5B02140F0B50420B3
+:105FE0001A49012508601A4FBB231B01F8180573D5
+:105FF0001848416B2C0500207A6E174B8A421DD041
+:10600000197B002917D1D91DFF313A3149781E1C5F
+:10601000002910D1B06010207060104A1049FFF7BD
+:10602000C3FF002807D035730423B8691843B8614B
+:10603000206100F017F8F0BC08BC1847187304235F
+:10604000B8699843B8612061F5E70000000000B02E
+:10605000680E008000011840280500802055FFFFD1
+:106060007D712140F8B5154F396C1548406E0C1AFA
+:10607000144E7168144DA14206D8144A0A43009286
+:10608000B96B0918FA6B11E01122520522430092F4
+:10609000B96B09180020FA6B2B1CFFF78DFF706895
+:1060A000001B0A4A02430092B96BFA6B00202B1CBA
+:1060B000FFF782FFF8BC08BC184700007C2900806D
+:1060C000680E0080280500804480204000003702D0
+:1060D000F0B52B4FB8687968C0192030294AFFF70E
+:1060E00063FF0120C0022849C0460860B968381C17
+:1060F000264D0024264EEF1D7937002931D1316815
+:106100000A78120A03D20473F0BC08BC1847497815
+:1061100000290CD1051C406800F03EF9306800F001
+:1061200067F8002826D12C73FFF758FF22E00901F9
+:10613000071C4160081C174A1749FFF735FF002864
+:1061400007D13C730423A86998439904A8610861A6
+:10615000DAE7102000F020F91020B860FFF782FF86
+:10616000D2E7051C406800F017F9306800F040F8ED
+:106170000028D8D00223F86B1843F863C4E7000066
+:1061800028050080A555FFFF000000B0680E0080C4
+:10619000E40100802055FFFF7D71214090B5012072
+:1061A00040031049002708600F4CE01DFF303A30D3
+:1061B0004770E06980000019006900F0D7F8E069D5
+:1061C000002801D0E76101E00120E06107480223D7
+:1061D000C16B1943C1632773FFF700FF90BC08BC74
+:1061E00018470000000000B028050080E80E00807D
+:1061F00080B584B0071C78886D2803DB381C00F05C
+:10620000F7F817E080000D490958381CFFF7CBFE5E
+:1062100000280FD13978C9090CD36946381C00F021
+:10622000CFF86846002100F00BF8002801D10120CA
+:1062300000E0002004B080BC08BC1847E8010080E2
+:10624000F0B582B0021C414BDD1DFF353A352F7889
+:10625000002F01D0002700E001272F702F78FB00CE
+:10626000DB195B013A4FDC1940780001C71D093783
+:1062700000208300D658C046E65001300428F8D3E9
+:1062800000290FD00022BB08019383420BD9131CB5
+:106290009B00CB588600A351019B01300132834201
+:1062A000F5D800E010272B48026D806E2A49824203
+:1062B00003D8821ACB6C9A1A00E0121ABA4205D897
+:1062C0002648816B01318163012037E0C319CA6C14
+:1062D000934208D8224A3A4300920A1C496C091892
+:1062E000926C231C12E0161A00961B49496C09187F
+:1062F0001948826C0320231CFFF75EFEB81B184A66
+:1063000002430092A3191448826C416C0320FFF7EA
+:1063100053FE01200D49C04668708A6992005218E8
+:1063200017618A69002A02D000278F6100E0886126
+:106330000C480223C16B1943C163002001270A499D
+:10634000C0464F7302B0F0BC08BC18472805008057
+:1063500050BA2040680E00807C290080A082204036
+:1063600000001902E80E0080181A008007498A6EA2
+:106370001018074AD26C13041B0C834200D8801AF1
+:106380008866886E0349C04648617047680E008081
+:106390007C29008090EE204006494A6E1018064A7B
+:1063A000126C824200D8801A4866486E0349C04683
+:1063B00008617047680E00807C29008090EE2040C4
+:1063C00005220A608288C0468A8000224A7040887E
+:1063D000C0464880CA808A60CA6070470522026051
+:1063E0000022828042704180C2808260C260704719
+:1063F00080B584B0071C0E48416B0131416369468A
+:10640000381CFFF7DDFF3868C0460090452000AB20
+:1064100018700127DF8068460021FFF711FF002870
+:1064200001D1381C00E0002004B080BC08BC184733
+:10643000A082204000B584B0C188094AC04691813D
+:106440006946FFF7BDFF0120400201AB5880684656
+:106450000021FFF7F5FE012004B008BC184700003A
+:10646000E80E008000B5FFF7C3FF08BC1847012005
+:106470000349C0460871A121490388600020704784
+:10648000280F008000200449C0460871FF21A12286
+:106490005203013191607047280F00800220A12132
+:1064A000490388600020704701204002A121490370
+:1064B000886000207047C088C006C00EA121490333
+:1064C00048610249C046C86300207047E81A00804E
+:1064D00080B584B008490F6B6946FFF771FFF80675
+:1064E000C00E01AB588068460021FFF7A9FE0120CD
+:1064F00004B080BC08BC18478000144080B585B04B
+:10650000071C6946381CFFF75BFFF88804A903F0F5
+:10651000C9FF01AB588001A8408800280FD001A80E
+:1065200040888008033880080130043B5870049884
+:106530000168C04602914068C046039005E000A88B
+:1065400000784023184300AB18700498C11D013136
+:106550006846FFF775FE012005B080BC08BC1847EF
+:1065600090B584B0144F397B002920D1F91DFF313B
+:106570003A31497800291AD110490522009208229F
+:1065800000AB5A809880062000AB58700024DC8055
+:106590000868C04602904868C046039001203873DE
+:1065A00068460831FFF74CFE002800D03C7304B069
+:1065B00090BC08BC1847000028050080A42A008071
+:1065C00090B584B0071C6946381CFFF7F9FEBA681D
+:1065D0000D4C0E48002A05D10D49FFF7E4FC0028B8
+:1065E0000CDA05E0B9880B4BFFF7DFFC002805DA71
+:1065F00001AB5C8068460021FFF722FE002004B05A
+:1066000090BC08BC18470000FFFF00000D76214039
+:10661000C1BD214059BD214000B5C08803F02EFF07
+:10662000002008BC184700B5FFF7E2FE08BC184779
+:1066300000B5FFF7DDFE08BC184700B5011C0220BD
+:1066400000F002F808BC1847B0B5C6B0071C081C1B
+:106650006946FFF7B5FE2148FFF7A4FC041C204A59
+:106660000021381CFFF7A0FC002827D004A91D4AF0
+:10667000381CFFF799FC04A80023012F06D10CAAAF
+:1066800002320021136001311029FBD30168042973
+:1066900004D9890803398908013100E0191C00ABCD
+:1066A000597006A90978C046D9800068C046029092
+:1066B0000798C0460390043308AD02E0452000ABC4
+:1066C00018700949201CFFF76EFC6846291CFFF76B
+:1066D000B7FD012046B0B0BC08BC18472402FFFF3C
+:1066E00059B121409DAF21403C02FFFF00B5011C84
+:1066F000022000F010F808BC184700B5011C01206A
+:10670000FFF7A2FF08BC184700B5011C012000F0EC
+:1067100002F808BC1847F0B5C7B0041C0F1C381CA1
+:1067200001A9FFF74DFE2148FFF73CFC0090787867
+:106730000001BA680430FC2A25D8FF2309339842A7
+:1067400021D8192C1FD8FD88F868C0460590F91D7E
+:10675000093106AB00207E78002E0DDD40C940C314
+:1067600040C940C340C940C340C940C301300004D0
+:10677000000C7E788642F1DC201C05A92B1CFFF75B
+:1067800021FC002805D001A800784023184301AB64
+:10679000187007490098FFF706FC002101A8FFF7D1
+:1067A0004FFD012047B0F0BC08BC18472402FFFF92
+:1067B0003C02FFFF00B5FFF71BFE08BC1847F0B511
+:1067C000C6B0071CFC88254D6868013069466860C2
+:1067D000381CFFF7F5FD102C08D300A800784023E3
+:1067E000184300AB18700220D88017E07878820038
+:1067F000FB1D09330020B968002A15D940CB0F1CB6
+:106800000131BE420DD000AA127840231A4300ABDA
+:106810001A700422DA800290039104336846002142
+:1068200015E001309042E9D300AB5C7002946968D6
+:10683000C0460391A20000201033002A05D90F1C86
+:1068400080C3013001319042F9D3684604A9FFF7B3
+:10685000F7FC012046B0F0BC08BC18479C03008040
+:1068600090B4234800680121420900D30021002789
+:106870003A1C430B00D2022211431E4A2024D36843
+:10688000012B2ED1800A00D200240C43201C1B2394
+:10689000DB01D118898B090B00D204273843D16F53
+:1068A0000968090A07D2D11D793109680968090AFE
+:1068B00001D308231843E3231B01D1188979032945
+:1068C00002D1FF23013318430B49096A10224B0AF6
+:1068D00000D2002210438907890F8901084390BC28
+:1068E0007047400C00D200240C43201CECE7000051
+:1068F00000001040680E0080C0001840F0B53A4C0F
+:10690000201C04F007FA3948E3231B01C718B979A2
+:10691000374EC51D7935062962D202A35B5C5B0048
+:106920009F44001C030E1E374E550120B8710020F5
+:10693000B060FFF795FF0523984300F06FF80CE077
+:10694000FFF78EFFC00806D3B068411CB1600A286B
+:1069500003D9042000E00220B8716422201C2BE03F
+:10696000061CC06F80230168194301600320B871C1
+:10697000201C204A002104F099F9F06F04230168DB
+:10698000994301602868016819430160F0BC08BCA4
+:1069900018470521B971296804230A689A430A60D7
+:1069A000C06F016819430160FFF75AFF08231843BD
+:1069B00000F034F8201C104A002104F077F9E5E7D4
+:1069C000FFF74EFF0423184300F028F8DEE700200D
+:1069D000296860230A689A430A60FFF7E3FAD5E75B
+:1069E0000620B871D2E70000A9792140680E008026
+:1069F0009C030080307500001027000000B50020C7
+:106A00000449C046887104480122002104F04EF96F
+:106A100008BC1847981C0080A979214090B5071C34
+:106A200031480068790803D31023011C994301E021
+:106A3000102101432D4CE268012A05D12279002A58
+:106A400002D001239B021943814202D0012000059C
+:106A50000160E068012820D11B23DB01E018808B56
+:106A6000F90804D30123DB02011C994301E0012151
+:106A7000C902814202D0002002F01AFB380907D374
+:106A8000E06F8023016899430160E018006800E02E
+:106A9000E06F80230168194301601548016A780995
+:106AA00003D3FF200130084303E0FF23081C013318
+:106AB000984380088000BA099207920F10438842D9
+:106AC00002D00C49C0460862E168012908D1790A60
+:106AD00006D3FF2304331840032801D1FFF78EFFAC
+:106AE00090BC08BC1847000000001040680E0080F1
+:106AF000C0001840C000180080B5FFF7B1FE800943
+:106B00001BD20F48E3231B01C1184A79002A14D174
+:106B100001224A7100278030006860230168994390
+:106B20000160084806E0022002F08CFC072002F019
+:106B30005BFC381CFFF736FAF5E780BC08BC184749
+:106B4000680E0080F401FFFF00B584B06946FFF7CE
+:106B500037FCFFF785FE01AB588008480068C04647
+:106B600002900748006AC046039068460021FFF77C
+:106B700067FB012004B008BC18470000000010406B
+:106B8000C000184080B584B0071C6946381CFFF768
+:106B900017FCF888FFF742FFFFF762FE01AB588051
+:106BA00068460021FFF74CFB012004B080BC08BC04
+:106BB0001847B0B5C6B0C7886946FFF701FC012485
+:106BC0001A4B9F420AD900A800784023184300AB13
+:106BD00018700220D8806846002120E01448FFF792
+:106BE000E1F9051C134A381C04A9FFF7DDF9124925
+:106BF000281CFFF7D8F9012F06D10CA9002000228C
+:106C00000A6001301028FBD3102000AB58700498A4
+:106C1000C04602900598C0460390684606A9FFF753
+:106C20000FFB201C46B0B0BC08BC1847FF01000099
+:106C30002402FFFF9DAF21403C02FFFFF0B5C6B02C
+:106C4000071C6946381CFFF7BBFBFC8878780125D8
+:106C5000102801D1192C09D900A800784023184325
+:106C600000AB18700220D880043327E0B868C04613
+:106C70000490F868C046059006AAFB1D0933002160
+:106C8000787800280DDD002040CB40C201300004A0
+:106C9000000C0428F8DB481C0104090C78788842B1
+:106CA000F1DC0B48FFF77EF9071C0A4A201C04A9F7
+:106CB000FFF77AF90849381CFFF775F96846002193
+:106CC000FFF7BEFA281C46B0F0BC08BC184700000D
+:106CD0002402FFFFC5AF21403C02FFFFF0B584B0A6
+:106CE000041C0027E688A26847490879002808D0D4
+:106CF000002E01D0012E01D1012701E0042E00D188
+:106D0000032601254148052E66D202A39B5D5B0048
+:106D10009F44001C0306080C10000580002303E0BC
+:106D2000058005E000230380438006E00023038004
+:106D3000458002E0FF2301330380CB1D79339E8918
+:106D400001235B029E4202DBD207D20F00E0012248
+:106D50006D235B01C9188988FF23E133994301231F
+:106D600019430688FF339E420DD1FF20E1300843CE
+:106D7000002A04D101239B029843011C20E0012139
+:106D8000890201431CE0012E0AD14088012804D168
+:106D900060231943002A13D00CE0202319430FE08D
+:106DA000002E0DD14088012808D1FF2381331943DB
+:106DB000002A05D001239B02194301E080231943D7
+:106DC000042002F075F909214902002002F070F94F
+:106DD000002F02D1002012E0FFE76946201CFFF7D8
+:106DE000EFFA00A800784023184300AB1870022087
+:106DF000D880684600210433FFF722FA281C04B02B
+:106E0000F0BC08BC18470000680E0080881C008099
+:106E1000C0885121890308620020704780B5164F51
+:106E2000F868012807D137239B01F818408A802190
+:106E300001431B2007E06D235B01F818808B0121C3
+:106E400049030143102002F033F9012071235B0153
+:106E5000F918088048801B23DB01F818808B012378
+:106E60001B039843412109020143002002F020F94D
+:106E7000002080BC08BC1847680E008080B5174F02
+:106E8000F868012808D137239B01F818408A80232D
+:106E90009843011C1B2008E06D235B01F818808BD0
+:106EA00001235B039843011C102002F001F9FF202D
+:106EB00071235B01F918013008801B23DB01F818EE
+:106EC000808B41231B029843092149020143002082
+:106ED00002F0EEF8002080BC08BC1847680E008065
+:106EE00080B584B00849CF6A6946FFF769FAB805EA
+:106EF000800D01AB588068460021FFF7A1F9012001
+:106F000004B080BC08BC184740001440C0889F23D0
+:106F100018400549C96A1B235B011940084303490E
+:106F2000C046C86200207047400014404000140072
+:106F300080B584B00D490F6A012F01D1FF0307E02E
+:106F4000022F01D13F0303E0002F01D10127FF02EF
+:106F50006946FFF735FA01AB5F8068460021FFF70D
+:106F60006FF9012004B080BC08BC18470020144011
+:106F7000C288A1204003002101235B039A4201D172
+:106F8000022204E00123DB039A4202D101220262C1
+:106F900000E00162081C704790B584B0071C02F045
+:106FA0009FF86946041C381CFFF70AFA01AB5C80A5
+:106FB000094FF86DC046029068460021FFF740F97E
+:106FC000F86DC007C00F0549C046C862012004B073
+:106FD00090BC08BC18470000A42A0080681C0080F0
+:106FE000C0880249C04648610020704780001400F4
+:106FF00000B584B06946FFF7E3F90648C06801AB05
+:10700000588068460021FFF71BF9012004B008BC36
+:107010001847000080001440C0880249C046C8607C
+:10702000002070478000140080B584B069468768EE
+:10703000FFF7C6F9202F07D278000C4940181B2310
+:10704000DB01C018808B06E000A8007840231843BD
+:1070500000AB1870022001AB588068460021FFF792
+:10706000EFF8012004B080BC08BC1847680E00800F
+:1070700000B584B0C1888268202A04D2101C02F0B6
+:1070800017F8002010E06946FFF79AF900A8007889
+:107090004023184300AB18700220D88068460021B6
+:1070A0000433FFF7CDF8012004B008BC184790B5B1
+:1070B00084B0C7886946FFF783F91048FEF772FF6E
+:1070C0000220391C02F0F2FF002806D00220391CF1
+:1070D00002F036FF01AB588002E0452000AB18708B
+:1070E0000749201CFEF75FFF68460021FFF7A8F85C
+:1070F000012004B090BC08BC184700002402FFFF28
+:107100003C02FFFFB0B584B0C78869468468FFF7CA
+:1071100057F91048FEF746FF0F4A0220391CFEF7C8
+:1071200043FF002806D00D4B0220391C221CFEF71D
+:107130003CFF02E0452000AB18700949281CFEF70F
+:1071400032FF68460021FFF77BF8012004B0B0BC95
+:1071500008BC18472402FFFF59B1214059B0214013
+:107160003C02FFFF00B5FFF743F908BC18470020B9
+:10717000704780B4C288194BA1214903002A03D16A
+:10718000186B1023984304E0012A04D1186B1023D4
+:10719000184348611FE0022A1DD1C2688768002099
+:1071A0003B1CC340DB07DB0F9B0203430B61013039
+:1071B0000004000C2028F3DB0020131CC340DB0775
+:1071C000DB0F9B02C71D19373B430B6101300004E5
+:1071D000000C2028F1DB002080BC704780001440A8
+:1071E00080B4C28881681002120A10430204120C93
+:1071F0000C48C04602600C4BC0461A800A0C1702AD
+:1072000012123A431204120C42605A800904090C0B
+:107210000A02090A11430904090C816099800020BF
+:1072200080BC704740001400281B0080B0B584B0BB
+:1072300013490A681204120C1302121213434A680B
+:107240001204120C1F1C1302121213438968090442
+:10725000090C0A02091211430C04240C69461D1C76
+:10726000FFF7AEF801AB5F80280420430290684628
+:107270000021FEF7E5FF012004B0B0BC08BC1847B0
+:1072800040001440C18882680802090A08430004CB
+:10729000000C0A49C046C860100C030200121843D3
+:1072A0000004000C08611004000C0202000A1043E4
+:1072B0000004000C486100207047000040001400EA
+:1072C00090B584B0164BD9680904090C0A0209125A
+:1072D00011431A691204120C170212123A435B6925
+:1072E0001B041B0C1F021B123B431F043F0C0523F6
+:1072F0000093848801AB1C800024043B5C704088B0
+:1073000000AB5880D980100438430290039468463B
+:107310000021FEF795FF012004B090BC08BC18477F
+:107320004000144000B584B00B498A6A05210091E1
+:10733000818801AB19800021043B5970408800AB63
+:107340005880DA80029103916846FEF779FF0120A8
+:1073500004B008BC18470000C0001440C0880249AF
+:10736000C046886200207047C000140000B584B099
+:107370000B490A6A05210091818801AB198000211F
+:10738000043B5970408800AB5880DA800291039129
+:107390006846FEF755FF012004B008BC18470000FE
+:1073A000C0001440C0880249C046086200207047EF
+:1073B000C000140000B5C0880249FEF7F4FD0020AB
+:1073C00008BC18477502FFFF00B584B06946FEF798
+:1073D000F7FF0648006B01AB588068460021FEF7B6
+:1073E0002FFF012004B008BC18470000680E008081
+:1073F00000B5FEF7FDFF08BC184700B5FEF7F8FF23
+:1074000008BC184700B5FEF7F3FF08BC184780B565
+:10741000071C1048FEF7C6FD01204002A1214903C8
+:10742000886000210C48C04601710C480268520C6B
+:1074300005D20268120C06D10068800A03D30848FE
+:10744000C046C76002E00748C0460764081C80BC0D
+:1074500008BC1847D5942140280F00800000104038
+:10746000400118000000008000B501200349C0461B
+:1074700008721220FFF7CBFF08BC1847881C008059
+:1074800000B501200349C04648721520FFF7BFFF31
+:1074900008BC1847881C008000B501F0F9FF0120E6
+:1074A00008BC184780B584B0071CF88802F0FEF8C5
+:1074B00000280CD16946381CFEF782FF064801AB54
+:1074C000588068460021FEF7BBFE012000E0002046
+:1074D00004B080BC08BC1847FFFF000080B584B032
+:1074E0006946FEF76DFF012701AB5F80094881897E
+:1074F0000904C2891143029181880904C0880843A4
+:10750000039068460021FEF79BFE381C04B080BC47
+:1075100008BC18474C2A008000B5FEF769FF08BC7C
+:10752000184700B5FEF764FF08BC184700B5FEF722
+:107530005FFF08BC184700B5FEF75AFF08BC1847A4
+:1075400000B5FEF755FF08BC184700B5FEF750FF21
+:1075500008BC184700B5FEF74BFF08BC184700B53C
+:10756000FEF746FF08BC184700B5FEF741FF08BC10
+:10757000184700B5FEF73CFF08BC184700B5FEF7FA
+:1075800037FF08BC184700B5FEF732FF08BC1847A4
+:1075900000B58CB008A9FEF713FF694608A802F0F1
+:1075A000A9FF022008AB5870694608A8FEF748FEFC
+:1075B00001200CB008BC184700B5FEF719FF08BC45
+:1075C000184790B584B0071C6946381CFEF7F8FED2
+:1075D000FA8812490124C81D8930002A0FD004708E
+:1075E0004470B868000C8031C882B868C04608830F
+:1075F000F868000C4883F868C046888302E00021E0
+:1076000001704170064801AB588068460021FEF7C2
+:1076100017FE201C04B090BC08BC1847680E008000
+:10762000FFFF000000B5FEF7E3FE08BC184700B5F9
+:10763000FEF7DEFE08BC184700B5FEF7D9FE08BC11
+:10764000184700B5FEF7D4FE08BC184700B5FEF792
+:10765000CFFE08BC184790B584B0071C6946381C9B
+:10766000FEF7AEFEF8880324E40404430323DB049E
+:107670009C4202D30F4B9C4206D90F4801AB588065
+:1076800068460021FEF7DCFD0120800720430068EA
+:10769000002100AB5970FA88C046DA80029003914D
+:1076A00068460433FEF7CCFD012004B090BC08BC52
+:1076B00018470000E0001800FFFF000080B584B00C
+:1076C000071C6946381CFEF77BFEF8880323DB04A1
+:1076D0001843984202D30A4B984208D9094801AB93
+:1076E000588068460021FEF7ABFD012003E0B96831
+:1076F000C0460160002004B080BC08BC18470000F0
+:10770000E0001800FFFF000080B586B002A9FEF778
+:1077100057FE012702AB5F700020D8800A484168FD
+:10772000C04604918168C0460591C168C046009179
+:107730004069C0460190694602A8FEF781FD381CE9
+:1077400006B080BC08BC18476819008000B5C16845
+:107750008068FEF747FB002008BC184700207047F0
+:1077600090B584B0041C0F1C68465021FEF736FE0D
+:1077700001AB5C80029768460021FEF761FD04B012
+:1077800090BC08BC184780B584B0071C68465121DE
+:10779000FEF724FE01AB5F8068460021FEF750FD36
+:1077A00004B080BC08BC1847002070470020704718
+:1077B00090B584B0002712490968124A126B102351
+:1077C0001A400124002A00D001278A0C03D33A046E
+:1077D000120C02271743C90C03D33904090C0427E0
+:1077E0000F436946FEF7ECFD01AB5F806846002160
+:1077F000FEF726FD201C04B090BC08BC1847000012
+:1078000000001040C000184000B584B06946FEF783
+:10781000D7FD0648C06D01AB588068460021FEF7D1
+:107820000FFD012004B008BC18470000A42A008006
+:1078300000B5FEF7DDFD08BC184770470020704713
+:1078400000207047002070470020704700207047DC
+:107850000020704700B5FEF7CBFD08BC18470000BC
+:1078600080B585B001A9FEF7ABFD002001AB5870D3
+:107870000C49C9680127012902D10397049701E047
+:1078800003970490684601F033FD02AB0098C046B0
+:107890005880002101A8FEF7D3FC381C05B080BC3D
+:1078A00008BC1847680E0080704704490020002279
+:1078B0000A70013001316828FAD37047A082204055
+:1078C0000022884203D3401A01328842FBD2101CA6
+:1078D0007047884202D3401A8842FCD2704790B465
+:1078E000011CFF27042927DA0020144A43001B1833
+:1078F000DB00D458630C1AD24B005918C9005758F2
+:1079000043001B18DB00D75089189A184F68C046EF
+:1079100057608B68C04693600B69C04613614B6922
+:10792000C0465361C968C046D16090BC7047013001
+:107930000006000E0428D9DB381CF6E740AB2040D7
+:10794000F7B5C4B0041C0020469A112111406ED036
+:1079500000277900C919C900574A5158490C03D268
+:1079600001300006000E04E0791C0F063F0E042FC4
+:10797000EFDB00285BD0002600220092402300218C
+:10798000002002AA00F088FA04A9002082008A5888
+:107990001206120EA24203D1721C1606360E04E025
+:1079A00001300006000E1028F0DB002E3DD0042C24
+:1079B0003ED1800008584001800D00220092102323
+:1079C000002102AA00F068FA0021019102A805999D
+:1079D000490C890529D0C1680A06120E459B9A42B6
+:1079E00011D1C0684001860D002200920C230021B5
+:1079F000301C02AA00F050FA0199029D481C0106B1
+:107A0000090E01910EE04801860D0022009210231C
+:107A10000021301C02AA00F03FFA02A80599490C87
+:107A20008905D8D1019900290FD1FF203DE040E020
+:107A3000800008584001860D002200920C2300218E
+:107A4000301C02AA00F028FA029D01200004469A88
+:107A500010437900C919C900174AC0465050301C5C
+:107A60008E1870601020042C00D00C20041CB06014
+:107A700000202021469A1140202900D0281C306186
+:107A80002819FF21FF3008300931FFF719FF4301A2
+:107A90001818C000001B706100205021469A114048
+:107AA000502900D1281CF060381C47B0F0BC08BC3D
+:107AB0001847FF20F9E7000040AB204080B40023C6
+:107AC0000022002906D9875C7B401B061B0E013271
+:107AD0008A42F8D3D8430006000E80BC7047F0B548
+:107AE000C6B0042807DA41000918C9004591414A87
+:107AF00051584B0C02D20020C04376E001235B04B6
+:107B0000194043001818C0003A4A1418002961D0DF
+:107B1000002102912069A168451830D0FF21681E1C
+:107B20000931FFF7CDFE616840180190019881424C
+:107B300002D1A668AF1B09E00026FF21281C0931ED
+:107B4000FFF7C7FE071C01D1FF270937002200926B
+:107B50000198311C03AA3B1C00F09EF903A8391CB4
+:107B6000FFF7ACFFC043029948400106090E02919D
+:107B7000ED1BA068A84200D10025002DCED80299A7
+:107B8000CF43002200920C230021606803AA00F07A
+:107B900083F92069C04603900598000A000239065F
+:107BA000090E08430590FF231B02984305900C2102
+:107BB00003A8FFF783FFFF231B02059999430006E3
+:107BC000000E0002084305900C230021606803AA00
+:107BD00000F0CAF900204599064AC0465050C143FA
+:107BE0006160A160E1602161616146B0F0BC08BCE8
+:107BF0001847000040AB2040B0B44C42002900DBE5
+:107C00000C1C0027FF43042821DA124D43001818EA
+:107C1000C0004019012A05D0022A09D0032A16D132
+:107C200001690BE0002912DB02698A420FD305E0EB
+:107C3000002907DAC168A14209D3091BC160C068E5
+:107C4000B0BC7047C168091902699142F6D9381C65
+:107C5000F6E7000040AB2040F0B584B0171C0D1CC7
+:107C60000021029142001218D2002C498B581B06A9
+:107C70001B0E01930023DB43042802DA019840081D
+:107C800001D2181C46E05418E068C21921698A42E2
+:107C900000D90F1A002F3CD9A068E1684018FF21D5
+:107CA0000931FFF70DFE61684618A068E1684018C9
+:107CB000FF210931FFF70DFEC219FF2109318A4268
+:107CC00014D9019AC04600920B1A0393011C301C70
+:107CD0002A1C00F0E1F8E068039BC018E060039BF9
+:107CE0005D19FF1A02981818029010E0019AC04618
+:107CF0000092011C301C2A1C3B1C00F0CDF8E068EF
+:107D0000C019ED19E0600298C01902900027002FF9
+:107D1000C2D8029804B0F0BC08BC184740AB204061
+:107D2000F0B583B0171C0D1C002101914200121800
+:107D3000D200029230498A581206120E0024E443FF
+:107D4000042801DA500901D2201C51E0029A54188B
+:107D5000E068C2196069824201D92269871A002F3E
+:107D600045D9254EA068E1684018FF210931FFF789
+:107D7000A7FD616840180090A068E1684018FF21E5
+:107D80000931FFF7A6FD029AB15801235B0419439C
+:107D9000B150C119FF220932914213D9131A011CA3
+:107DA00000982A1C1E1C00F0DFF8E0688019751985
+:107DB000E0602169884200D92061BF1B019830181A
+:107DC000019012E0011C009E301C2A1C3B1C00F09C
+:107DD000CBF8E068C019ED19E0602169884200D94C
+:107DE00020610198C01901900027002FB9D801988F
+:107DF00003B0F0BC08BC184740AB2040B0B5C3B0DE
+:107E00000C1C0027FA43042806DA41000918C900AF
+:107E1000144845586B0C04D2101C43B0B0BC08BCCD
+:107E2000184762091BD300220092081840680C23EF
+:107E3000002101AA00F030F8112C0DD0122C0DD029
+:107E4000132C05D0142C0AD103980004070E06E069
+:107E5000039807063F0E02E0019F00E0029F381CD6
+:107E6000DBE7000040AB20400349002000220A5419
+:107E700001306028FBD3704740AB204000B502F0D2
+:107E80006FFA572002F0CCF902F040F9000AFBD358
+:107E900002F04EFA08BC1847F0B582B0079D141CDA
+:107EA0001F1C304AD26F202316689E431660331C75
+:107EB000FF2201322A4040020843050A061C000C3A
+:107EC0000190002A20D002F04BFA532002F0A8F9CA
+:107ED0000198C046009002F0A3F9281C02F0A0F916
+:107EE000301C02F09DF902F023FAFFF7C7FF02F001
+:107EF00037FA542002F094F9009802F091F9281C06
+:107F000002F08EF9301C14E002F02AFA522002F03E
+:107F100087F9019802F084F9281C02F081F9301CDD
+:107F200002F07EF9002002F07BF9002002F078F9DF
+:107F3000002002F075F9002002F072F9002F05D937
+:107F400002F0E4F820700134013FF9D102F0F0F9B9
+:107F5000044AD06F202301681943016002B0F0BCCD
+:107F600008BC1847680E0080F0B582B0141C1F1CB6
+:107F700042020A43151C012854D02C49C86F202303
+:107F800002689A430260C86F402301681943016088
+:107F900002F0E6F9532002F043F9280C061C02F027
+:107FA0003FF9280A0190009002F03AF9281C02F0EB
+:107FB00037F902F0BDF9FFF761FF02F0D1F9842033
+:107FC00002F02EF9301C02F02BF9009802F028F98B
+:107FD000281C02F025F9002F05D92078013402F081
+:107FE0001FF9013FF9D102F0A3F902F0B9F983209A
+:107FF00002F016F9301C02F013F9019802F010F9A2
+:10800000281C02F00DF902F093F9FFF737FF07493A
+:10801000C86F402302689A430260C86F202301683A
+:108020001943016002B0F0BC08BC1847680E00801C
+:108030007047000080B501F08FF8064FC046F86029
+:1080400001F0F2F8788001F0B1F8387180BC08BC1A
+:1080500018470000680E008000B501F005F90249DC
+:10806000C046088008BC1847680E00800B48C168ED
+:10807000012911D1C16F02230A681A430A60C16F36
+:1080800080230A681A430A60C118086882230268BC
+:108090001A4302600020088170470000680E0080CB
+:1080A000F0B44A49CA1D9D32002000278300D750F2
+:1080B00001301728FAD3464C00208200A750013027
+:1080C0002028FAD3434A00208300D75001302028CB
+:1080D000FAD3A76197614F658F653F4DC0462F600A
+:1080E0006F60AF60AF61EF602F616F610020C10012
+:1080F00009184901354BC9188600CB1DF933344C9A
+:108100003419E36311235B01CB1863630D239B01D7
+:10811000CB18B418E36323235B01C91861630130F2
+:108120000228E4DB2948C11DF931294CC046A1626F
+:10813000616B0D239B01E162C1189162516BC046D6
+:10814000D1620821E1642549C046216524490B69B3
+:10815000C0466365C31D4D33E36525668B68C04625
+:108160006366CB68C046A3661E4BC046E3662767BE
+:108170000B23DB01C318A36767670126E31D69337F
+:108180006661E7611F730223D364174BC046136512
+:10819000CB69C0465365C31D5133D3652B1D136690
+:1081A0004B69C04653668969C04691660F49C0460F
+:1081B000D16616670F23DB01C01890675667D76139
+:1081C000D01D693056610773F0BC7047680E00809F
+:1081D000E42C0080642D008090EE204030011800D7
+:1081E0007C2900800055FFFF380118001055FFFF63
+:1081F00090B400211E4ABB231B01D718F973192321
+:10820000DB01D0180124CD231B01D318C1611C70E0
+:1082100033239B01D3189960B97359612F239B01B4
+:10822000D3181960134B5127BF0303633B60846964
+:10823000E4184463043C7C600124E40284630E4C33
+:10824000C046BC60046BC04644628469E4180B4BB2
+:10825000E318FB60036BC0468362436AC046036257
+:10826000C16351649164D165D16690BC70470000D0
+:10827000680E008000002040FC070000FCF7FFFFB4
+:1082800090B400221B49C9231B01C81802710120A8
+:10829000BB231B01CB1858731748031C0027DC1D98
+:1082A000C1341C65231C01373F2FF8D31A651923ED
+:1082B000DB01CF1833239B01CB183A619861402032
+:1082C000F860DA611A62CA640A660C48C046C26085
+:1082D0000B48006BC006C00EF8630A480168C04630
+:1082E00019804168C04659808068C046988090BC1B
+:1082F00070470000680E008090BC204090EE204047
+:10830000800014404000144000200A49C046087311
+:10831000CB1DFF333A338861C8611870064AC046E6
+:1083200010655066906608705870BB231B01D11809
+:108330000873704728050080680E0080F0B42F494C
+:108340002F4AC046116101239B02C81850612D4875
+:10835000C0461062DB00C3185362002313635363EB
+:10836000294A2A4FD41DFF34FA3414C7083F3B6111
+:108370001C1F7C61264FC0463960B8617961F86284
+:108380003B637B64BA64FA65224FFE1DF936224DC9
+:10839000EC1D793426625126B6033761246AC04643
+:1083A00074612F671D4D09277F04EC1D75347C60B7
+:1083B0003D601B4CC0463C61E61D75367E61194F21
+:1083C000C0467C603D600F1C0021FF2401341D1C51
+:1083D0008B00FD500131A142FAD3011C002001277E
+:1083E000FF028300CD500130B842FAD30020810053
+:1083F000555001308028FAD3F0BC704724A32040A8
+:10840000400118002483204024A920408001180046
+:10841000A803008024A72040680E008024A82040E4
+:10842000A4A8204008040080B8B52C48FDF7BAFD88
+:1084300001202B490A68520C06D20A68120C02D19C
+:108440000A68920A00D200200406240E254AD71D8D
+:108450000D37002300209D0078510133042BFAD3FF
+:1084600001273F055061F860D061F8610023DB43CC
+:1084700093613B6113623B6200271B4B8D68C046D2
+:1084800000958D69C0460095002C0BD0DD6BC04671
+:1084900000959D6BC04600955D6BC04600951D6BB9
+:1084A000C04600950137402FE8D300276C460123D2
+:1084B0005B071C4301E0206001370D682B0902D2E5
+:1084C000802FF8D301E0802F03D308494B6E01338E
+:1084D0004B66D062B8BC08BC18470000F401FFFF2F
+:1084E00000001040680E008000011840A08220406B
+:1084F00090B400210E4F0F4A00204C01641AA400D2
+:10850000A318586098601864586410535880CC00C1
+:10851000E4199867DC6201310329EED30649C046AD
+:10852000086048608860C860086190BC70470000BF
+:10853000AC6621405C2B0080D02C00806421054873
+:10854000C04601630021C943416381630021C163C7
+:1085500001647047680E008080B4012040020A491F
+:10856000C04608603C20486088600848C046C86033
+:108570000020074A8700CB68C046DA510130102836
+:10858000F8D380BC70470000E42D0080F42D0080FB
+:108590005D4CFFFF1249134867239B01CA1806C0B0
+:1085A0000838114BCA18C160826001610F49104838
+:1085B000A7239B01CA1806C008380E4BCA18C16011
+:1085C000826001610C480D4967239B01C21805C1F7
+:1085D0000839054BC218C8608A60086170470000FE
+:1085E000AC1E2140482E0080FC1F0000ACEE204055
+:1085F000342E0080FC2F0000AC3E21405C2E008019
+:1086000090B40021404C00200A0112191923DB010B
+:10861000D218D06210635063906301310329F3D301
+:108620003A49C04608634863886320600121E31D1E
+:108630005933606019711872987198725971587233
+:10864000D871D872E21D4932117319709073987005
+:1086500051735970D073D8701171117290719072FA
+:1086600050715072D071D07218730222E71D6937B1
+:108670003A709973BA7058737870D873F87039710A
+:108680003A72B971B97278717A72F971F972397393
+:10869000E31D79331A70B973997078735A70F9734E
+:1086A000D9701A711A7299719A7258715A72D97175
+:1086B000DA721973E71D89373A709973B970587374
+:1086C0007A70D973F97039713A72B971B972787177
+:1086D0007A72F971F9723A73E31D99331A70B973AA
+:1086E0009A7078735A70F973DA7019711A729971F5
+:1086F000997258715A72D971D9722061E0606061C3
+:10870000A06090BC70470000A01C0080E8190080A9
+:10871000812000020149C04688627047C0001400F1
+:1087200009490A4BC818043BC91808600021C21D3A
+:108730002932C261101C01310829F8D3C11F29391F
+:108740000020C86170470000680E008084090000A6
+:1087500006480749C0460880488000208880C880B5
+:1087600088600449C046486188617047FFFF000087
+:108770004C2A00806C06008000210648C21D193278
+:10878000C1600161C16101621171FF30013041625C
+:10879000704700006C06008009480A4BC04618600C
+:1087A0000021C21D4D32C260101C01311429F8D3C2
+:1087B000C11F4D390020C8605860986070470000A4
+:1087C000D80700806C06008000B50B490B48FDF708
+:1087D000EAFB0B48006A0123DB0398430949C046C2
+:1087E00008620948C168012904D1C06F802301686B
+:1087F0001943016008BC1847C1BD2140759821404C
+:10880000C0001840C0001800680E008000B50F4876
+:10881000C168012904D1C06F8023016899430160B8
+:108820000B4B0C480C4A0021FDF7BFFB0B48418D58
+:10883000013141850021C1850948006A0123DB031C
+:1088400018430849C046086208BC1847680E0080F3
+:1088500059BD214075982140B80B000000000080F0
+:10886000C0001840C0001800F0B51B4C1026E0688E
+:10887000012808D16088002805D12079002802D17C
+:108880001920A06700E0A667002007235B02E51817
+:10889000C143E86169625908A1277F0379600F210C
+:1088A0007960E11DB93108710120B8604002B860FB
+:1088B00000F04CFA00F0F0FA0420B860072078616C
+:1088C0007E601B23DB01E018C08B04231840E862A4
+:1088D000F0BC08BC18470000680E008090B4021C71
+:1088E0000020FF2301339A4208D0012900D1012042
+:1088F000002A01D10223184390BC70471B4AD76855
+:108900001A4B19791C1C37239B01E318012F0DD139
+:108910005788002F0AD100290AD1598B0A0900D3A0
+:1089200002204909E8D301231843E5E7002903D0D1
+:10893000988A8007800FDFE76D235B01D1188A8852
+:10894000FF27013717400A49C98803D04B0A01D3D2
+:108950000320D1E7130A03D30B0A01D30220CBE78C
+:10896000D209C9D3C909C7D30120C5E7680E008061
+:10897000081C0080F0B5C1B0012000075249C04674
+:10898000086052484269400DA1214903486050489F
+:10899000C06A504B1843002103031B0B4E4C276F3A
+:1089A0003D032D0BE71D7937AB421CD0E31D793316
+:1089B0001B6AC046409301239B0703431B68CC00FE
+:1089C0006E46335101239B07061D33431B686C44DD
+:1089D000636008300131409B834200D83F48030365
+:1089E0001B0BAB42E7D1002001231B0313403C4C7F
+:1089F00003D0636A0133636209E0130B03D3236A74
+:108A00000133236203E0374B5C6D01345C65002960
+:108A100009D0031CDC00231C6B445C680130230D6F
+:108A200001D28842F5D1304C25686B0C05D2236801
+:108A30001B0C08D12468A30A05D320242B4BC04665
+:108A40005C6200245C62254B234C5126B6032367ED
+:108A500033613D6AC04675610225A12676037560C3
+:108A60000125B560E61DB9363571884221D0251C37
+:108A7000C3006C46E4582E6F6B4434605B682C6F07
+:108A8000C04663602B6F08332B673C6AA34202D356
+:108A9000124BC0462B67031CDB006B445C68013043
+:108AA000230D04D35124A4032B6FC046A361884235
+:108AB000DED1100B03D30E490120FDF774FA41B04B
+:108AC000F0BC08BC18470000000000B000011440D2
+:108AD0000040144000002040680E008024A7204081
+:108AE000A42A0080A082204000001040C00018008E
+:108AF000C94FFFFFF0B40021002307220624474F8F
+:108B0000C0463C613A610133202BF9D304253D6115
+:108B100005233B613C613A613C613A613D613B61E7
+:108B20003F4DAB6FDE0802231E40042333433B61FD
+:108B3000052333433B61AB6F9E0802231E40042391
+:108B400033433B61052333433B61AB6F5E08022334
+:108B50001E40042333433B61052333433B6102231F
+:108B6000AE6F1E40042333433B61052333433B6117
+:108B7000AB6F5D0002231D4004232B433B610523A3
+:108B80002B433B61C50802231D4004232B433B615B
+:108B900005232B433B61850802231D4004232B43FF
+:108BA0003B6105232B433B61450802231D40042301
+:108BB0002B433B6105232B433B61022505400423E6
+:108BC0002B433B6105232B433B61400002231840AC
+:108BD000042303433B6105231843386100253D61AD
+:108BE00001233B613D613B6100203D610D4B1B69F1
+:108BF00049001E1C02233340194301233B6101300D
+:108C00001028F2D302203861032038613C613A61B8
+:108C10003C613A6138614808F0BC7047800014003C
+:108C2000680E008080001440F0B40024072306275B
+:108C3000444AC046176113610134202CF9D304263D
+:108C4000166105241461176107231361166114610D
+:108C5000176113613C4B9B6FDD0802231D402B1CE9
+:108C60003343136125431561374B9B6F9D080223E6
+:108C70001D402B1C3343136125431561324B9B6F01
+:108C80005D0802231D402B1C3343136125431561EE
+:108C90002D4B9D6F02231D402B1C33431361254335
+:108CA0001561294B9B6F5D0002231D402B1C334334
+:108CB000136125431561C50802231D402B1C334356
+:108CC000136125431561850802231D402B1C334386
+:108CD000136125431561450802231D402B1C3343B6
+:108CE000136125431561022505402B1C3343136195
+:108CF00025431561400002231840031C33431361D0
+:108D000020431061176107231361166114614C0041
+:108D100000200F21251CCD4002231D4004232B439E
+:108D2000136105232B431361013001391028F1D35E
+:108D30001761072313611761136103201061F0BCF1
+:108D40007047000080001400680E0080F0B54F4DA1
+:108D5000082102202A1CFDF727F94D4C71235B01E5
+:108D6000E71838801A2102202A1CFDF71DF97880A7
+:108D7000207900280BD000203880E068012810D12D
+:108D80004448006801239B02184399020860E06888
+:108D9000012806D16088002803D1F9211220FFF7AD
+:108DA00043FF0121C9030020FFF73EFF00257D2678
+:108DB000F60000E001350020FFF79CFE000C01D317
+:108DC000B542F7D3002505E0032109030020FFF792
+:108DD0002BFF01350020FFF78DFE400B01D2B5427D
+:108DE000F2D30420FFF786FEFF23E13398430121ED
+:108DF00001433888FF230133984203D12F235B01BD
+:108E0000194316E0012809D17888012803D12323CA
+:108E10005B0119430DE0202319430AE0002808D123
+:108E20007888012803D10B23DB01194301E080235B
+:108E300019430420FFF7F8FE092149020020FFF73B
+:108E4000F3FEE06800280CD100211B20FFF7ECFEA8
+:108E50001A20FFF74FFE0121C90301431A20FFF733
+:108E6000E3FE002703E0082F01D30F2F08D9381C99
+:108E7000FFF740FE790009191B23DB01C91888831D
+:108E80000137202FEFD3F0BC08BC1847EDAF2140CD
+:108E9000680E00800000104081B013480168C04691
+:108EA00000914168C04600918168C0460091C16848
+:108EB000C04600910169C04600914169C0460091D9
+:108EC0008169C0460091C169C0460091016AC046EF
+:108ED0000091416AC0460091816AC0460091C06A13
+:108EE000C046009001B0704700081440F0B583B050
+:108EF000684D1B23DB01EF18F88B0422024002921D
+:108F000071235B01E8180188C04601914088C04682
+:108F10000090002403E0082C01D30F2C08D9201C5A
+:108F2000FFF7E8FD610049191B23DB01C91888839D
+:108F30000134202CEFD3584CE069002815D0574E4F
+:108F40002025013D5349E06930400BD068004018AE
+:108F500037239B01C018818B281CFFF765FEE06951
+:108F6000B043E0617608002DEBD10120FFF7C2FD90
+:108F70004849C046F883F88BC20825D3CA68012A3D
+:108F800013D10A79002A1FD1498800291CD10199DF
+:108F9000434A002905D0012916D1518BC90813D2A3
+:108FA0000FE0518B09090FD20BE00A79002A0BD18F
+:108FB0006D235B01C9188A88C988114049090907CE
+:108FC00002D104239843F883F88B04210140029ACC
+:108FD0001FD0B98B4A0B27D3800925D3FF230198D3
+:108FE0000133984220D000250098012800D10502C5
+:108FF0000198002802D101235B031D43A94213D02D
+:109000000020291CFFF710FEBD830020C0436062D2
+:109010000AE0B88B400B07D2092149020020FFF774
+:1090200003FE09204002B883F88BC0082DD31D48E9
+:10903000C76A01980099FFF751FCC207D20F1A497D
+:1090400003D00423CD6D2B4303E00423CD6D9D435A
+:109050002B1CCB65830803D30223CD6D2B4303E088
+:109060000223CD6D9D432B1CCB65616A81420CD0E0
+:1090700060620E48002A03D0FF212131394303E00A
+:10908000FF2321339F43391CC16203B0F0BC08BCED
+:1090900018470000680E0080681C008000000080F7
+:1090A000281C008040001440A42A008040001400C6
+:1090B00090B4012220280FD243000F1C07495C18EE
+:1090C00037239B01E3189F83824007235B02C91863
+:1090D000101CCA691043C86190BC7047680E0080BC
+:1090E0000B4840690B49C98B04220A400A4906D043
+:1090F0000123DB0298430123CA6D1A4305E00123D3
+:10910000DB021843CA6D52085200CA65704700005E
+:1091100080001440E81B0080A42A008000B584B0C1
+:10912000FFF7DEFF011C05200090002001AB188036
+:10913000043B58701B2200AB5A80D9800549C96D89
+:10914000C0460291039068460021FDF779F804B00B
+:1091500008BC1847A42A00800F480168490C05D2B2
+:109160000168090C06D10068800A03D30B48006827
+:10917000000C01E00A48806C0004000C094B984286
+:1091800005D00233984202D0074B984201D101200A
+:1091900070470020FCE7000000001040000018406D
+:1091A00000000080049900000799000090B4012499
+:1091B000211C18480268520C06D20268120C02D117
+:1091C0000068800A00D200210906090E124F134AD6
+:1091D00002D03868000C00E0906C0004000C104BCA
+:1091E000984208D00233984205D00E4B984202D0E4
+:1091F000023B98420CD1002902D0F86A000C00E032
+:10920000D06C400A00D200242006000E90BC7047AB
+:109210000020FBE700001040000018400000008024
+:1092200004990000079900000C480168490C05D218
+:109230000168090C05D10068800A02D308488068DB
+:1092400001E00848406C0004000C0021032803D012
+:10925000400801D301207047081CFCE700001040C3
+:109260000000184000000080F0B501271A4C256866
+:10927000FFF772FF031C194A022101261848012B2F
+:109280001BD1CB041E605523036000234360066896
+:10929000552E1BD1AA26066043600368AA2B15D160
+:1092A0000923036005230F4FC0463B6003230E4F85
+:1092B000C0463B601160076808E008232360042370
+:1092C0000A4FC0463B60116006602768C0462560B3
+:1092D000381CF0BC08BC18470000204000002440A7
+:1092E0000000224000002A400000264000002840E4
+:1092F00080B5071CFFF730FF012805D11948006829
+:109300001949496B084022E018480168490C05D208
+:109310000168090C06D10068800A03D3144800686C
+:10932000000C01E01348806C0004000C124BC018C4
+:1093300008280BD201A31B5C5B009F4405030703B5
+:1093400007070503032002E0012000E000200121BF
+:109350003860800700D100210806000E80BC08BCE0
+:1093600018470000346E21400000114000001040FA
+:109370000000184000000080FE66FFFFF0B582B0DC
+:10938000071C01200190FFF7E7FE012813D1382FB9
+:1093900001D0A82F07D10026F643341CA82F02D1F4
+:1093A000301C0096351C1120000406624462856260
+:1093B0000099C046C16200210848C0460160382FAC
+:1093C00001D0A82F05D101210160A82F01D10321CF
+:1093D0000160019802B0F0BC08BC1847346E21400F
+:1093E000704700007047000090B5071C124C2168C0
+:1093F000124881420BD00023211CE21DC13200E043
+:1094000008C19142FCD32060C820A0806772380157
+:1094100000F018F827720A48C046E060092F00DB08
+:109420000027E019017D01310175E0880130E080FD
+:10943000012090BC08BC184700000080EEFFC0D09F
+:109440000810000380B4084AD11D89310B7A202B03
+:1094500001D300230B72071C087A431C0B7280187F
+:109460009030477280BC704700000080074901229D
+:109470001204086802400120002A06D10A68120C72
+:1094800002D10968890A00D200207047000010400C
+:1094900090B5071C094C381C211CFCF791FF381CA7
+:1094A00000F00EF80123D84201D1000CE080002129
+:1094B000201CFCF7C5FE90BC08BC1847C4662140C0
+:1094C000F8B5071C797A76480023764C01295DD1DE
+:1094D000A288C0460092A1898A4274DAFA7A002AE8
+:1094E00015D07A6C002A12D08A4210D8009A511CEA
+:1094F000A180A188C0464181786C6B4EC046F08047
+:10950000A06A5823796C59434018C11A28E0228870
+:1095100001321204120C22808A4200DB23800022D6
+:10952000002969DD5F4CA46A5E4B1D8858236B439C
+:10953000E318DE1D013601239B0733431B681B061E
+:1095400015D15849009A01328A808A88C0464281E2
+:1095500008880130544EC046F080582068432118D6
+:10956000381C00F039FBF0880004001495E04D4BE6
+:1095700001352D042D0C1D808D4201DB00251D8041
+:109580000132120412149142CEDC81E0E188E289BA
+:10959000914218DAF97A00292FD0796C4904490CE4
+:1095A00079642AD0E289914227D8E1880131E180AB
+:1095B000E188C04681810123DB03786C18433A4E71
+:1095C000C046F08000E063E0E06A796C4B00591817
+:1095D00049014018C11F5939381C00F00FFBE06ADF
+:1095E000796C4A0052185201801801390904090C9B
+:1095F000603800F089FBB6E74AE061880131090470
+:10960000090C6180E289914200DB63800021002A1D
+:109610003EDD244CE46A234B5D886B005B195B01E3
+:10962000E318DE1D013601239B0733431B681B062D
+:1096300020D11C4EF1880131F180F188C046818132
+:1096400070880123DB03013018431749C046C880E6
+:109650006800401940012118381C00F0CFFA7188C9
+:109660004A0052185201F06A801800F04DFB0E4972
+:10967000C88879E70B4B01352D042D0C5D80954290
+:1096800001DB00255D800131090409148A42C2DC36
+:109690000189013101810020C043F8BC08BC184792
+:1096A0004C2B00804C2A0080C4662140F0B4061C7C
+:1096B0000123DB0333400124444F0020444A454D3D
+:1096C000D11D3931002B41D0E303F31A73D0EE8959
+:1096D0009E4271D3EE88002E6DD0ED6A5E1E73003F
+:1096E0009B195B01ED18AE683606360E032E02D0CC
+:1096F000CE890136CE814035AD8BAD00354E766AD0
+:10970000C0467051558901355581324EF26AD218E2
+:109710009060F26AD2189063F26AD218D063F26A4B
+:10972000D2181064F26AD2185064F26AD2189064A7
+:10973000F26AD218D064F0880138F080F088C04610
+:1097400088812449002839D14F8037E0002E38D94C
+:10975000AB89B34230D3AB88002B2CD05389013373
+:1097600053812A1CAD6A5823013E7343ED18AE683D
+:109770003606360E032E02D0CE890136CE81A86081
+:10978000956AED18A863956AED18E863956AED1877
+:109790002864956AED186864956AED18A864956A5E
+:1097A000EB18D8649088013890809088C046488132
+:1097B000002803D101E004E003E01780201CF0BC86
+:1097C0007047CA890132CA81F9E70000FFFF000033
+:1097D0000C2B00804C2A008000B50021416010490C
+:1097E0004A68002A10D1CA68002A04D0CA1D19325A
+:1097F0001279002A08D04A69002A0BD18861486191
+:1098000000F010F808BC18474A69002A02D18861A4
+:109810004861F7E78A69C04650608861F2E7000056
+:109820006C060080B0B52A48406900284CD0082258
+:10983000C1680A400027274BD91DB931002A11D031
+:109840000422254CC0460C61244CC0464C62244C7A
+:10985000C0468C62234CC046CC62234CC0460C638D
+:109860004F6312E00522214CC0460C61204CC046DB
+:109870004C62204CC0468C621F4CC046CC621F4CD0
+:10988000C0460C631E4CC0464C634024CC824F83C0
+:109890001C4F0021002A0CD98C0005196D6A7D40EF
+:1098A000E418FF340134656201319142F4D3102988
+:1098B00007D28A00D218FF320132576201311029D3
+:1098C000F7D3114900F022F8B0BC08BC18470000DB
+:1098D0006C060080ACAB20402801400001234567A6
+:1098E00089ABCDEFFEDCBA987654321020014000EF
+:1098F00067452301EFCDAB8998BADCFE1032547670
+:10990000C3D2E1F03636363630802040B0B50F1C79
+:10991000154DE91DC931154C231C154A0020FCF7D3
+:1099200044FBE91DFF311E31231C0D1C114A01208F
+:10993000FCF73BFB291C231C0E4A0020FCF735FBDF
+:10994000391C231C0C4A0120FCF72FFB00210B487B
+:10995000C21D193251710121FF3001304162081CD2
+:10996000B0BC08BC18470000ACAB20407508FFFF36
+:109970002800030040000200140007006C0600806D
+:10998000F0B5374A506901239B0708301843006837
+:109990000106090E334B012949D11F68191C324BAE
+:1099A0009F4204D1FFF73EFFF0BC08BC18470023DC
+:1099B0009F00CC595569EF193C610133052BF7D352
+:1099C000000A0002022318435369C0469860506998
+:1099D0000823C2681340254FFA1DB932002B02D06C
+:1099E0000423234C01E00523224CC046146140248B
+:1099F000D48200245483204C0022002B0CD99500E3
+:109A00004619766A6640ED19FF3501356E620132FE
+:109A10009A42F4D3102A07D29300DB19FF330133A3
+:109A20005C620132102AF7D3FFF770FFBCE7002118
+:109A30008F00DC595569EF197C6201310529F7D394
+:109A4000000A0002032318435169C0468860506928
+:109A50004068C04650610948FCF7A4FAA4E700003A
+:109A60006C0600803080204067452301ACAB20406D
+:109A700028014000200140005C5C5C5C1131FFFF6C
+:109A8000F0B5071C3B483C4C08212060A180002019
+:109A90002081E18060813948C046E0603848C04696
+:109AA00020613848C04660613748C046A0613748E9
+:109AB000C046E0613648C04620623648C046606213
+:109AC0003548C046A0623548C046E0623448C046CA
+:109AD00020633448C04660633348C046A0633348BF
+:109AE000C046E0633248C04620643248C0466064E5
+:109AF0003148C046A0643148C046E0643048C046A2
+:109B000020653049C868020489694A40E31D7933F9
+:109B10000904C943C0434840E11DB931DA63086014
+:109B2000294D211C2B1C294A0020FCF73EFA284A0B
+:109B3000E11DB53101202B1C0E1CFCF736FA244A1E
+:109B40000020311C2B1CFCF730FAE11D4D312B1C81
+:109B5000204A0120FCF729FAE01D5D300168002948
+:109B6000FCD0606DC0463865206EC0467865F0BC9C
+:109B700008BC1847800008008CB92040818148BD8E
+:109B80007956238C930C82951D0E12CF9B3BC0E916
+:109B9000E6557C8299F67802D1D72573728C331002
+:109BA000F703F1426C9B4AA7828E23A990B1828E63
+:109BB000DC3FFB2900622245882BF1851261D173BD
+:109BC0006EB11116088320407508FFFF5400030092
+:109BD000080002001400030080B50F1C391C00F0BF
+:109BE00033F8381CFFF74CFF03480189013101812C
+:109BF00080BC08BC184700000C2B008090B5041CEA
+:109C00000F1C201C391C00F01FF8E068010EFF2219
+:109C100012040240120A1143FF22120202401202F1
+:109C200011430006084338652069C04678656069BD
+:109C3000C046B865034801890131018190BC08BC68
+:109C4000184700000C2B008090B5002293001F18CD
+:109C5000BF695B185F620132052AF7D3077AFB08F8
+:109C600003D30023920052181362076BC0468F6320
+:109C7000C76AC046CF63876BC0460F64476BC04658
+:109C80004F64076CC0468F64C26BC046CA64C2880A
+:109C9000C0460A80827A1206037A1B041A43C388DC
+:109CA0001B021A43437ADB071A438A60171C837A24
+:109CB0005A0805D314221C1CA30802D2152200E066
+:109CC0000022007A430810D3C00802D38820104332
+:109CD00001E0802010433A0A120201231A43C860AF
+:109CE0008A60081CFFF778FD05E0380A00020323AC
+:109CF00018438860CA60034801890131018190BC22
+:109D000008BC18470C2B0080F0B4026D144C151CD5
+:109D1000E769BD40131C266AF3405D402E1C456D6B
+:109D2000BD406E402B1C351CFD402F1CBB00656ADE
+:109D3000EB58002B08D0236901379F4200D300273E
+:109D4000BE00AE59002EF7D1A469A2401143054BC5
+:109D50001943BA00A95040308783F0BC7047000017
+:109D60004C2A00800000008080B4002200230029DB
+:109D700005D907787A40013001338B42F9D3D043BB
+:109D80000006000E80BC7047F0B5071C0024FF26BB
+:109D90000936201C00F09AF800F0B8F9051C00F014
+:109DA000C7FA3D70281C01370134B442F1D3F0BC2E
+:109DB00008BC184780B500F093F800F0A7F9071C1D
+:109DC00000F0B6FA380AF6D380BC08BC1847F3B5E1
+:109DD00082B002984102532000F064F800F0A8FA23
+:109DE000FFF7E8FF0024002001902E2000900025BE
+:109DF00000270298012804D10098844201D300264C
+:109E000009E00198411C019100F060F800F07EF932
+:109E1000061C00F08DFAF800864035430134013706
+:109E2000042FE6D3039920C10391FF2309339C42F9
+:109E3000DDD304B0F0BC08BC1847F0B5041C0F1CFF
+:109E4000012C2AD01648C06F4023016819430160D5
+:109E5000002620CFB100842000F024F8281C00F058
+:109E6000DFF9280A00F0DCF9280C00F0D9F9280EF7
+:109E700000F0D6F900F05CFA0136422EE9D3610217
+:109E8000832000F00FF800F053FAFFF793FF044827
+:109E9000C06F4023016899430160F0BC08BC1847BB
+:109EA000680E008090B5041C0F1C00F059FA201CAD
+:109EB00000F0B6F9380C00F0B3F9380A00F0B0F948
+:109EC000381C00F0ADF990BC08BC184700B5011C67
+:109ED0005420FFF7E7FF002000F0A2F908BC184764
+:109EE00000B500F03DFA572000F09AF908BC184779
+:109EF00090B5084FFA6F202314689C431460231C0C
+:109F0000FFF765FFF86F202301681943016090BCDB
+:109F100008BC1847680E008090B5084FFA6F2023E0
+:109F200014689C431460231CFFF787FFF86F2023FD
+:109F300001681943016090BC08BC1847680E008096
+:109F4000F0B5041C0F1C184EF06F202301689943D4
+:109F5000016061025320FFF7A5FF00F0E9F9FFF768
+:109F600029FFF81D0530012C03D1222F01D3002732
+:109F70000FE0441CFFF7AAFF00F0C8F8071C00F030
+:109F8000D7F9201CFFF7A2FF00F0C0F8051C00F075
+:109F9000CFF9F06F2023016819430160280238438C
+:109FA000F0BC08BC18470000680E0080F0B5C2B0D5
+:109FB000141C0D1C071C012F2FD07902194EF06FB5
+:109FC000202302689A4302605320FFF76BFF00F0E2
+:109FD000AFF9FFF7EFFE6846FFF7D6FE6A46E81DC9
+:109FE00005301454210A68444170684600990C30C9
+:109FF000FFF7BAFE02AB18700020587068460C21BB
+:10A00000FFF7B2FE02AB58706946381CFFF715FF28
+:10A01000F06F202301681943016042B0F0BC08BC16
+:10A0200018470000680E0080FFB5C2B0071C012F62
+:10A0300001D1012036E06B460020C44310C301303B
+:10A040004228FBD368460C30031C0024002A0AD99E
+:10A050000E88C04606700E883612467002300231F5
+:10A0600002349442F4D30092181C111CFFF77CFEBA
+:10A07000041C0020019002AB1C7058709D70684653
+:10A080000C21FFF771FE02AB5870459B1D062D0E8B
+:10A09000AC4203D16946381CFFF73EFF0120AC42B9
+:10A0A00000D1002046B0F0BC08BC1847B0B5C2B023
+:10A0B0000F1C4102144CE06F202302689A43026097
+:10A0C0005320FFF7EFFE00F033F9FFF773FE684609
+:10A0D000FFF75AFEE06F20230168194302AD0160CB
+:10A0E0006D78002402AB5C7068460C21FFF73CFEE3
+:10A0F000A84202D10098874201D3201C00E0012031
+:10A1000042B0B0BC08BC1847680E0080FC466047EF
+:10A110000000A0E3B4229FE5B4329FE50110A0E364
+:10A12000001082E5001082E50010A0E3001082E537
+:10A13000001082E5001093E5810380E10110A0E3A7
+:10A14000001082E5001082E50010A0E3001082E517
+:10A15000001082E5001093E5010380E10110A0E307
+:10A16000001082E5001082E50010A0E3001082E5F7
+:10A17000001082E5001093E5810280E10110A0E368
+:10A18000001082E5001082E50010A0E3001082E5D7
+:10A19000001082E5001093E5010280E10110A0E3C8
+:10A1A000001082E5001082E50010A0E3001082E5B7
+:10A1B000001082E5001093E5810180E10110A0E329
+:10A1C000001082E5001082E50010A0E3001082E597
+:10A1D000001082E5001093E5010180E10110A0E389
+:10A1E000001082E5001082E50010A0E3001082E577
+:10A1F000001082E5001093E5810080E10110A0E3EA
+:10A20000001082E5001082E50010A0E3001082E556
+:10A21000001082E5001093E5010080E11EFF2FE1B0
+:10A22000FC466047A4219FE5A8319FE5A013A0E16B
+:10A23000001083E50110A0E3001082E5001082E524
+:10A240000010A0E3001082E5001082E52013A0E1D9
+:10A25000001083E50110A0E3001082E5001082E504
+:10A260000010A0E3001082E5001082E5A012A0E13A
+:10A27000001083E50110A0E3001082E5001082E5E4
+:10A280000010A0E3001082E5001082E52012A0E19A
+:10A29000001083E50110A0E3001082E5001082E5C4
+:10A2A0000010A0E3001082E5001082E5A011A0E1FB
+:10A2B000001083E50110A0E3001082E5001082E5A4
+:10A2C0000010A0E3001082E5001082E52011A0E15B
+:10A2D000001083E50110A0E3001082E5001082E584
+:10A2E0000010A0E3001082E5001082E5A010A0E1BC
+:10A2F000001083E50110A0E3001082E5001082E564
+:10A300000010A0E3001082E5001082E50010A0E13B
+:10A31000001083E50110A0E3001082E5001082E543
+:10A320000010A0E3001082E5001082E51EFF2FE17F
+:10A33000FC466047A0309FE50110A0E3001083E5D4
+:10A34000001083E5001083E5001083E5001083E52D
+:10A35000001083E5001083E5001083E51EFF2FE168
+:10A36000FC46604770309FE50010A0E3001083E5D5
+:10A37000001083E5001083E5001083E5001083E5FD
+:10A38000001083E5001083E5001083E51EFF2FE138
+:10A39000FC46604734209FE53C309FE50010A0E379
+:10A3A000001082E5001082E50110A0E3001083E5B3
+:10A3B000001083E5001083E5001083E5001083E5BD
+:10A3C000001083E5001083E5001083E51EFF2FE1F8
+:10A3D000F80018400401184000011840FC00184023
+:10A3E00080B500F00CF80027381C00F047F8781C06
+:10A3F00007043F0C0C2FF7DD80BC08BC18471D4834
+:10A4000002681D498B69D218026002668A6A436835
+:10A410009B184360934202D2826801328260C26814
+:10A420000B6AD218C2604269CB68D2184261C26915
+:10A430008B68D218C26102690B69D2180261826905
+:10A440000B68D2188261026BCB69D21802634A6A28
+:10A45000436B9B184363934202D2826B0132826347
+:10A46000C26B4B69D218C263026CC96A511801648D
+:10A4700070470000A42A00800008144088B569468F
+:10A4800000F017F881080AD00020002907D900221F
+:10A490008300009FC046FA5001308842F8D388BC40
+:10A4A00008BC184700B500F004F80004000C08BC14
+:10A4B0001847002200280AD001280AD002280CD010
+:10A4C000032802D107481C220860101C7047064868
+:10A4D00004E0064850220860F7E705486822086053
+:10A4E000F3E7000008832040A42A00800C2B0080A2
+:10A4F000A082204080B40322C280154AC0468260F8
+:10A50000144A12880132C2600020134A135CC0460C
+:10A510000B70013001310828F8D320220A70013174
+:10A5200000200E4B1F5CC0460F700130013108281F
+:10A53000F8D30A7001310020094A135CC0460B7041
+:10A54000013001310828F8D30020087080BC704722
+:10A5500008100003680E00807C04008085040080E1
+:10A560008E04008000B501230A48C11D89314B705B
+:10A5700000220A7064218030C182018343837D21DF
+:10A58000C9008183C28304480122002100F08EFBB0
+:10A5900008BC1847680E0080B522FFFF00B5FFF722
+:10A5A000E1FF13480222002100F080FB0123D84282
+:10A5B0000AD11048C11D3931CA880132CA80817957
+:10A5C00001318171FDF770F90B48C068012805D190
+:10A5D0000A487D22D200002100F068FB0848FBF702
+:10A5E000E1FC08482822002100F060FB08BC184765
+:10A5F0007921FFFFA0822040680E0080A57B2140CA
+:10A60000952CFFFF5903FFFF00B510200F49C046EE
+:10A6100008600F4A0F486421FBF7C6FC0E48012270
+:10A62000120401680A400821002A05D10268120CB0
+:10A6300007D10068800A04D30848C046C16008BC3E
+:10A6400018470748C0460164F9E70000000000B061
+:10A65000A555FFFF7C290080000010404001180034
+:10A6600000000080F8B527480122120401680A4062
+:10A670000721002A05D10268120C06D10068800A61
+:10A6800003D32148C046C16002E02048C0460164AF
+:10A690001F48FBF787FC1F48C16BFF29FCD1816B6A
+:10A6A000426B161C0F1C1C4C102360691843606120
+:10A6B000A16999431D04A161E860A069C0462861B1
+:10A6C000164A17496420FBF76FFC164AC0460092F1
+:10A6D000154B0020391C321CFBF76EFC1348C16877
+:10A6E0000829FCD11248FBF75DFC102360699843F0
+:10A6F0006061E8600120E3231B01E118C871F8BC28
+:10A7000008BC1847000010404001180000000080FD
+:10A710000402FFFF00011840680E00802055FFFF73
+:10A72000B5B621406400300244802040400118400A
+:10A73000F401FFFF00B5FDF701FF0648FBF732FC0F
+:10A74000FDF7D6FEFEF704F8FEF716F8FEF724F83C
+:10A7500008BC18479103FFFF90B5FDF76BFC344F21
+:10A760000024F968F81D793001290FD13149C0461C
+:10A77000F9673149C04601603049C0460C604C6001
+:10A780008C60CC600C614C618C6104E0F91D7D3102
+:10A79000F96712C008380068602301681943016036
+:10A7A000F86F2023016819430160F86F40230168A6
+:10A7B0009943016000F054F8FDF74EFC00F05EF99B
+:10A7C000FDF773F8FFF70CFEFDF72EFEFDF7B6FD63
+:10A7D000FDF7C2FEFDF754FDFDF70AFDFDF794FD00
+:10A7E00000F01AFAFDF79CFFFDF70AFFFDF7D2FE15
+:10A7F000FDF73CFCFBF7DCFAFFF79CFF71235B01E4
+:10A80000F8180472447207235B02F8180463F868AE
+:10A81000012802D1A820FEF7B1FD0948C0464462D4
+:10A8200000F018FA0748FBF7BDFB90BC08BC1847BE
+:10A83000680E008000011140040111400001110068
+:10A84000C0001800158F214000B50448FBF7AAFB93
+:10A85000FDF75EFFFDF724FC08BC18471599214061
+:10A86000FA210348C046416240214162704700001E
+:10A87000C000180007484169074B194341618269CC
+:10A880009A43826101221205D1608069C04610613D
+:10A8900070470000680E0080FEAF9A1000B50248B5
+:10A8A000FBF780FB08BC1847C857FFFFF0B5244CE6
+:10A8B00001210904206801400920224E224D00296F
+:10A8C00005D12168090C04D12168890A01D3F060FF
+:10A8D00000E028641D48FBF765FB1D4F1D49886992
+:10A8E00001308861387A002802D1787A00281FD098
+:10A8F0001948FBF757FB1948FBF754FB0028FAD11E
+:10A90000387A002802D01648FBF74CFB01210904D5
+:10A91000206801401420002905D12168090C04D1C8
+:10A920002168890A01D3F06001E02864FFE7FEE7AF
+:10A93000FFF765FD0B48FBF735FBFFF7AFFFCDE7F2
+:10A940000000104040011800000000800402FFFFDA
+:10A95000881C008008832040F401FFFFB507FFFF3B
+:10A960000000FFFF999F21400020074A01210905AF
+:10A970005061C860D061C8610323DB04034A012130
+:10A98000D1635860FCE70000680E0080C00018002A
+:10A9900080B5C0B0012200210A20FCF7D1FF071CBE
+:10A9A000FF2F28D06946FF22381C0132FDF754F9E9
+:10A9B000FF23013398421BD10D98000918D3381C8E
+:10A9C000FDF78DF80E4901221204086802400D4877
+:10A9D00005D10A68120C06D10968890A03D30A490D
+:10A9E000C046C86002E00949C0460864FFF7BCFFE2
+:10A9F000381CFDF774F840B080BC08BC1847000054
+:10AA00000000104007800000400118000000008096
+:10AA100000B5174901221204086802400620002AE6
+:10AA200005D10A68120C06D10968890A03D31149B5
+:10AA3000C046C86002E01049C04608640320FEF723
+:10AA4000D3FCFBF70DFF01231843FBF7E7FFFFF7EC
+:10AA500083FEFFF79DFFFFF705FEFFF7F5FEFFF70B
+:10AA600009FFFFF79BFDFFF721FF08BC1847000017
+:10AA7000000010404001180000000080F0B4464A79
+:10AA80000121C903454D1923DB01EC18A161288878
+:10AA90004004434BC018871A0420AF60414EC046A3
+:10AAA000B0610820C8234343BB4221D941003D4E39
+:10AAB000C0463161B66920239B1B3A4EC046F36104
+:10AAC000103B33628B00FF1A4008814217D3B82332
+:10AAD0004343BB4208D9411E324BC0469981D981BC
+:10AAE0004000023858610AE001308142EFD206E0AE
+:10AAF0002C4EB3690133B36140008842D2D92A4950
+:10AB00000020A3699B0807D0284B8700CB51A76979
+:10AB1000BF0801308742F8D82249C0468A628C8932
+:10AB200058206043871800200022002C0ADD58239B
+:10AB300043438C6AE31801300004000C9A608B894F
+:10AB40008342F4DCCF62CC89600000194001C71950
+:10AB50000020002C0BDD43001B185B01CC6AE318BE
+:10AB600001300004000C9A60CB898342F3DC4F6211
+:10AB700000200B69002B07D987004B6AC046DA51C9
+:10AB80000B6901308342F7D8496A800008180438FD
+:10AB90002861F0BC70470000B0BE2140680E008004
+:10ABA000000020404C2A00800000204000ADDE0064
+:10ABB0000A4801231B06416999431A094161D16082
+:10ABC0000021A122520391611B23DB01C018816186
+:10ABD000012000065905086070470000680E0080DB
+:10ABE00080B4021C0B481B23DB01C3189A610123AC
+:10ABF0001B0642691A43426187699F4301231B0573
+:10AC00008761DA608069C0461861A12040038161D4
+:10AC100080BC7047680E008080B5FFF7C9FF002038
+:10AC200000F020F800200949002203015F183323B7
+:10AC30009B01FB189A6201300B28F6D304480122CD
+:10AC4000002100F033F880BC08BC1847680E008073
+:10AC50001D3EFFFF00B5024800F004F808BC18478D
+:10AC6000A861000080B4012212050F4BA121490305
+:10AC700000280ED0C861181C59695301194341615D
+:10AC800087699F438761D1608069C046106180BC3D
+:10AC90007047181C5F6901235B069F434761D760BB
+:10ACA0000020C861F3E70000680E0080B0B4071C04
+:10ACB0000020174C03011D1933239B01EB189D6ADB
+:10ACC000BD4205D11D6B954202D1DB6A8B421CD07F
+:10ACD00001300B28EED3002003011D1933239B0103
+:10ACE000EB189B6A002B09D103011C1933239B012C
+:10ACF000E3181A63D9625A639F6202E001300B289D
+:10AD0000EAD30B2801D10020C043B0BC704700003B
+:10AD1000680E008090B4011C00220120164F01E053
+:10AD2000002A07D10301DC1933239B01E3189B6937
+:10AD30008B4211D10201D21933239B01D218936A9D
+:10AD4000C0469361D36AC046D361136BC046136299
+:10AD5000536BC0465362012201300B28E0D3074BEE
+:10AD6000002A02D19A688A4203D10021996090BCDE
+:10AD700070470020C043FAE7680E0080E81B00809F
+:10AD80000B2817DA0C4901235B068A691343012259
+:10AD900012058B6113610001401833239B01C01819
+:10ADA000036BC0464363530188699843886110610F
+:10ADB000012070470020FCE7680E008090B4084A2C
+:10ADC000D0690021074FD369834202D9FC1A2018A9
+:10ADD00000E0C01A0918181CB942F4D990BC704799
+:10ADE00000201440A861000090B5071C0024002F2B
+:10ADF00004D3FFF7E3FF0134BC42FAD990BC08BC8E
+:04AE000018470000EF
+:00000001FF
+/* ver 03.001.008 */
+/*
+ * Copyright 1999-2004 3Com Corporation. All Rights Reserved.
+ *
+ * Redistribution and use in source and binary forms of the 3c990img.h
+ * microcode software are permitted provided that the following conditions
+ * are met:
+ * 1. Redistribution of source code must retain the above copyright
+ * notice, this list of conditions and the following disclaimer.
+ * 2. Redistribution in binary form must reproduce the above copyright
+ * notice, this list of conditions and the following disclaimer in the
+ * documentation and/or other materials provided with the distribution.
+ * 3. The name of 3Com may not be used to endorse or promote products
+ * derived from this software without specific prior written permission
+ *
+ * THIS SOFTWARE IS PROVIDED BY 3COM ``AS IS'' AND ANY EXPRESS OR
+ * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
+ * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
+ * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT,
+ * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT
+ * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
+ * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
+ * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
+ * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+ *
+ * USER ACKNOWLEDGES AND AGREES THAT PURCHASE OR USE OF THE 3c990img.h
+ * MICROCODE SOFTWARE WILL NOT CREATE OR GIVE GROUNDS FOR A LICENSE BY
+ * IMPLICATION, ESTOPPEL, OR OTHERWISE IN ANY INTELLECTUAL PROPERTY RIGHTS
+ * (PATENT, COPYRIGHT, TRADE SECRET, MASK WORK, OR OTHER PROPRIETARY RIGHT)
+ * EMBODIED IN ANY OTHER 3COM HARDWARE OR SOFTWARE EITHER SOLELY OR IN
+ * COMBINATION WITH THE 3c990img.h MICROCODE SOFTWARE
+ */
diff --git a/firmware/Makefile b/firmware/Makefile
index 466106f..1e7fd4d 100644
--- a/firmware/Makefile
+++ b/firmware/Makefile
@@ -26,19 +26,31 @@ fw-shipped- += acenic/tg1.bin
else
acenic-objs := acenic/tg1.bin acenic/tg2.bin
endif
+fw-shipped-$(CONFIG_3C359) += 3com/3C359.bin
fw-shipped-$(CONFIG_ACENIC) += $(acenic-objs)
fw-shipped-$(CONFIG_ADAPTEC_STARFIRE) += adaptec/starfire_rx.bin \
adaptec/starfire_tx.bin
fw-shipped-$(CONFIG_ATARI_DSP56K) += dsp56k/bootstrap.bin
fw-shipped-$(CONFIG_ATM_AMBASSADOR) += atmsar11.fw
+fw-shipped-$(CONFIG_BNX2) += bnx2/bnx2-mips-09-4.6.17.fw \
+ bnx2/bnx2-rv2p-09-4.6.15.fw \
+ bnx2/bnx2-mips-06-4.6.16.fw \
+ bnx2/bnx2-rv2p-06-4.6.16.fw
fw-shipped-$(CONFIG_CASSINI) += sun/cassini.bin
fw-shipped-$(CONFIG_COMPUTONE) += intelliport2.bin
fw-shipped-$(CONFIG_CHELSIO_T3) += cxgb3/t3b_psram-1.1.0.bin \
cxgb3/t3c_psram-1.1.0.bin \
- cxgb3/t3fw-7.0.0.bin
+ cxgb3/t3fw-7.1.0.bin
fw-shipped-$(CONFIG_DVB_TTUSB_BUDGET) += ttusb-budget/dspbootcode.bin
fw-shipped-$(CONFIG_E100) += e100/d101m_ucode.bin e100/d101s_ucode.bin \
e100/d102e_ucode.bin
+fw-shipped-$(CONFIG_MYRI_SBUS) += myricom/lanai.bin
+fw-shipped-$(CONFIG_PCMCIA_SMC91C92) += ositech/Xilinx7OD.bin
+fw-shipped-$(CONFIG_SCSI_ADVANSYS) += advansys/mcode.bin advansys/38C1600.bin \
+ advansys/3550.bin advansys/38C0800.bin
+fw-shipped-$(CONFIG_SCSI_QLOGIC_1280) += qlogic/1040.bin qlogic/1280.bin \
+ qlogic/12160.bin
+fw-shipped-$(CONFIG_SCSI_QLOGICPTI) += qlogic/isp1000.bin
fw-shipped-$(CONFIG_SMCTR) += tr_smctr.bin
fw-shipped-$(CONFIG_SND_KORG1212) += korg/k1212.dsp
fw-shipped-$(CONFIG_SND_MAESTRO3) += ess/maestro3_assp_kernel.fw \
@@ -47,10 +59,18 @@ fw-shipped-$(CONFIG_SND_SB16_CSP) += sb16/mulaw_main.csp sb16/alaw_main.csp \
sb16/ima_adpcm_init.csp \
sb16/ima_adpcm_playback.csp \
sb16/ima_adpcm_capture.csp
+fw-shipped-$(CONFIG_SLICOSS) += slicoss/gbdownload.sys slicoss/gbrcvucode.sys \
+ slicoss/oasisdbgdownload.sys \
+ slicoss/oasisdownload.sys \
+ slicoss/oasisrcvucode.sys
+fw-shipped-$(CONFIG_SXG) += sxg/saharadownloadB.sys \
+ sxg/saharadbgdownloadB.sys
fw-shipped-$(CONFIG_SND_YMFPCI) += yamaha/ds1_ctrl.fw yamaha/ds1_dsp.fw \
yamaha/ds1e_ctrl.fw
+fw-shipped-$(CONFIG_TEHUTI) += tehuti/bdx.bin
fw-shipped-$(CONFIG_TIGON3) += tigon/tg3.bin tigon/tg3_tso.bin \
tigon/tg3_tso5.bin
+fw-shipped-$(CONFIG_TYPHOON) += 3com/typhoon.bin
fw-shipped-$(CONFIG_USB_DABUSB) += dabusb/firmware.fw dabusb/bitstream.bin
fw-shipped-$(CONFIG_USB_EMI26) += emi26/loader.fw emi26/firmware.fw \
emi26/bitstream.fw
@@ -89,6 +109,7 @@ fw-shipped-$(CONFIG_USB_SERIAL_KEYSPAN_PDA) += keyspan_pda/keyspan_pda.fw
fw-shipped-$(CONFIG_USB_SERIAL_XIRCOM) += keyspan_pda/xircom_pgs.fw
fw-shipped-$(CONFIG_USB_VICAM) += vicam/firmware.fw
fw-shipped-$(CONFIG_VIDEO_CPIA2) += cpia2/stv0672_vp4.bin
+fw-shipped-$(CONFIG_YAM) += yam/1200.bin yam/9600.bin
fw-shipped-all := $(fw-shipped-y) $(fw-shipped-m) $(fw-shipped-)
diff --git a/firmware/WHENCE b/firmware/WHENCE
index 524113f..e4deb51 100644
--- a/firmware/WHENCE
+++ b/firmware/WHENCE
@@ -45,6 +45,32 @@ Found alsa-firmware package in hex form, with the following comment:
--------------------------------------------------------------------------
+Driver: SCSI_ADVANSYS - AdvanSys SCSI
+
+File: advansys/mcode.bin
+File: advansys/3550.bin
+File: advansys/38C0800.bin
+File: advansys/38C1600.bin
+
+Licence: BSD, no source available.
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: SCSI_QLOGIC_1280 - Qlogic QLA 1240/1x80/1x160 SCSI support
+
+File: qlogic/1040.bin
+File: qlogic/1280.bin
+File: qlogic/12160.bin
+
+Licence: Allegedly GPLv2+, but no source visible. Marked:
+
+ QLOGIC LINUX SOFTWARE
+ QLogic ISP1280/ device driver for Linux 2.2.x and 2.4.x
+ Copyright (C) 2001 Qlogic Corporation (www.qlogic.com)
+
+--------------------------------------------------------------------------
Driver: smctr -- SMC ISA/MCA Token Ring adapter
File: tr_smctr.bin
@@ -364,11 +390,64 @@ Found in hex form in kernel source.
--------------------------------------------------------------------------
+Driver: SLICOSS - Alacritech IS-NIC products
+
+File: slicoss/gbdownload.sys.ihex
+File: slicoss/gbrcvucode.sys.ihex
+File: slicoss/oasisdbgdownload.sys.ihex
+File: slicoss/oasisdownload.sys.ihex
+File: slicoss/oasisrcvucode.sys.ihex
+
+Licence:
+ Copyright (C) 1999-2009 Alacritech, Inc.
+
+ as an unpublished work. This notice does not imply unrestricted or
+ public access to the source code from which this firmware image is
+ derived. Except as noted below this firmware image may not be
+ reproduced, used, sold or transferred to any third party without
+ Alacritech's prior written consent. All Rights Reserved.
+
+ Permission is hereby granted for the distribution of this firmware
+ image as part of a Linux or other Open Source operating system kernel
+ in text or binary form as required.
+
+ This firmware may not be modified and may only be used with
+ Alacritech hardware.
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: SXG - Alacritech IS-NIC products
+
+File: sxg/saharadownloadB.sys.ihex
+File: sxg/saharadbgdownloadB.sys.ihex
+
+Licence:
+ Copyright (C) 1999-2009 Alacritech, Inc.
+
+ as an unpublished work. This notice does not imply unrestricted or
+ public access to the source code from which this firmware image is
+ derived. Except as noted below this firmware image may not be
+ reproduced, used, sold or transferred to any third party without
+ Alacritech's prior written consent. All Rights Reserved.
+
+ Permission is hereby granted for the distribution of this firmware
+ image as part of a Linux or other Open Source operating system kernel
+ in text or binary form as required.
+
+ This firmware may not be modified and may only be used with
+ Alacritech hardware.
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
Driver: cxgb3 - Chelsio Terminator 3 1G/10G Ethernet adapter
File: cxgb3/t3b_psram-1.1.0.bin.ihex
File: cxgb3/t3c_psram-1.1.0.bin.ihex
-file: cxgb3/t3fw-7.0.0.bin.ihex
+file: cxgb3/t3fw-7.1.0.bin.ihex
License: GPLv2 or OpenIB.org BSD license, no source visible
@@ -435,3 +514,151 @@ Found in hex form in kernel source, with the following notice:
ARISING OUT OF THE USE OR INABILITY TO USE THE PROGRAM.
--------------------------------------------------------------------------
+
+Driver: TEHUTI - Tehuti Networks 10G Ethernet
+
+File: tehuti/bdx.bin
+
+Licence:
+
+ Copyright (C) 2007 Tehuti Networks Ltd.
+
+ Permission is hereby granted for the distribution of this firmware data
+ in hexadecimal or equivalent format, provided this copyright notice is
+ accompanying it.
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: TYPHOON - 3cr990 series Typhoon
+
+File: 3com/typhoon.bin
+
+Licence:
+/*
+ * Copyright 1999-2004 3Com Corporation. All Rights Reserved.
+ *
+ * Redistribution and use in source and binary forms of the 3c990img.h
+ * microcode software are permitted provided that the following conditions
+ * are met:
+ * 1. Redistribution of source code must retain the above copyright
+ * notice, this list of conditions and the following disclaimer.
+ * 2. Redistribution in binary form must reproduce the above copyright
+ * notice, this list of conditions and the following disclaimer in the
+ * documentation and/or other materials provided with the distribution.
+ * 3. The name of 3Com may not be used to endorse or promote products
+ * derived from this software without specific prior written permission
+ *
+ * THIS SOFTWARE IS PROVIDED BY 3COM ``AS IS'' AND ANY EXPRESS OR
+ * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
+ * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
+ * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT,
+ * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT
+ * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
+ * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
+ * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
+ * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+ *
+ * USER ACKNOWLEDGES AND AGREES THAT PURCHASE OR USE OF THE 3c990img.h
+ * MICROCODE SOFTWARE WILL NOT CREATE OR GIVE GROUNDS FOR A LICENSE BY
+ * IMPLICATION, ESTOPPEL, OR OTHERWISE IN ANY INTELLECTUAL PROPERTY RIGHTS
+ * (PATENT, COPYRIGHT, TRADE SECRET, MASK WORK, OR OTHER PROPRIETARY RIGHT)
+ * EMBODIED IN ANY OTHER 3COM HARDWARE OR SOFTWARE EITHER SOLELY OR IN
+ * COMBINATION WITH THE 3c990img.h MICROCODE SOFTWARE
+ */
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: YAM - YAM driver for AX.25
+
+File: yam/1200.bin
+File: yam/9600.bin
+
+Licence:
+ * (C) F6FBB 1998
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: 3C359 - 3Com 3C359 Token Link Velocity XL adapter
+
+File: 3com/3C359.bin
+
+Licence:
+/*
+ * The firmware this driver downloads into the tokenring card is a
+ * separate program and is not GPL'd source code, even though the Linux
+ * side driver and the routine that loads this data into the card are.
+ *
+ * This firmware is licensed to you strictly for use in conjunction
+ * with the use of 3Com 3C359 TokenRing adapters. There is no
+ * waranty expressed or implied about its fitness for any purpose.
+ */
+/* 3c359_microcode.mac: 3Com 3C359 Tokenring microcode.
+ *
+ * Notes:
+ * - Loaded from xl_init upon adapter initialization.
+ *
+ * Available from 3Com as part of their standard 3C359 driver.
+ */
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: PCMCIA_SMC91C92 - SMC 91Cxx PCMCIA
+
+File: ositech/Xilinx7OD.bin
+
+Licence: Allegedly GPL, but no source visible. Marked:
+ This file contains the firmware of Seven of Diamonds from OSITECH.
+ (Special thanks to Kevin MacPherson of OSITECH)
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: SCSI_QLOGICPTI - PTI Qlogic, ISP Driver
+
+File: qlogic/isp1000.bin
+
+Licence: Unknown
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: MYRI_SBUS - MyriCOM Gigabit Ethernet
+
+File: myricom/lanai.bin
+
+Licence: Unknown
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
+
+Driver: BNX2 - Broadcom NetXtremeII
+
+File: bnx2/bnx2-mips-06-4.6.16.fw
+File: bnx2/bnx2-rv2p-06-4.6.16.fw
+File: bnx2/bnx2-mips-09-4.6.17.fw
+File: bnx2/bnx2-rv2p-09-4.6.15.fw
+
+Licence:
+
+ This file contains firmware data derived from proprietary unpublished
+ source code, Copyright (c) 2004 - 2009 Broadcom Corporation.
+
+ Permission is hereby granted for the distribution of this firmware data
+ in hexadecimal or equivalent format, provided this copyright notice is
+ accompanying it.
+
+Found in hex form in kernel source.
+
+--------------------------------------------------------------------------
diff --git a/firmware/advansys/3550.bin.ihex b/firmware/advansys/3550.bin.ihex
new file mode 100644
index 0000000..6809b0d
--- /dev/null
+++ b/firmware/advansys/3550.bin.ihex
@@ -0,0 +1,317 @@
+:10000000DD2DD504000000F200F0001618E400FC1D
+:10001000010048E4BE18188003F6020000FAFFFF52
+:10002000280E9EE7FF0082E700EA00F601E609E7F6
+:1000300055F001F601FA08000300040018F410005E
+:1000400000EC85F0BC00D5F08E0C385400E61EF0B4
+:1000500086F0B4009857D0010C1C3E1C0C00BB006D
+:10006000AA18028032F001FC880CC6120213184054
+:10007000005701EA3C006C016E0104123E570080FB
+:1000800003E6B600C00001013E01DA0F221008129B
+:10009000024AB95403581B8030E44BE4200032007C
+:1000A0003E00800024013C0168016A017001720178
+:1000B000740176017801620A920C2C102E1006133E
+:1000C0004C1CBB553C5604804AE402EE5BF0B1F098
+:1000D00003F706F703FC0F004000BE000001B00864
+:1000E00030136415321C381C4E1C10440248004C5E
+:1000F00004EA5DF004F602FC0500340036009800C6
+:10010000CC0020014E014E0B1E0E0C100A120413DF
+:100110004013301C004EBD56068300DC05F009F08C
+:1001200059F0A7F0B8F00EF70600190033009B0055
+:10013000A400B500BA00D000E100E700DE03560AD3
+:10014000140E021004100A1036100A131213521360
+:1001500010151415AC16201C341C361C08443844E9
+:1001600091440A454846014868548355B0570158A0
+:10017000835905E60BF00CF05CF04BF404F805F83D
+:1001800002FA03FA04FC05FC07000A000D001C003B
+:100190009E00A800AA00B900E00022012601790112
+:1001A0007A01C001C2017C025A03EA04E807680828
+:1001B0006908BA08E909060B3A0E00101A10ED108A
+:1001C000F11006120C1316131E1382134214D614C8
+:1001D0008A15C617D2176B18121C461C9C32004099
+:1001E0000E47484741488948804C00544455E555DE
+:1001F00014567757BF57405C0680089003A1FE9CB9
+:10020000F02902FEB80CFF100000D0FECC1800CF81
+:10021000FE8001FF030000FE9315FE0F05FF38006E
+:1002200000FE572400FE48004FFF04000010FF09A5
+:100230000000FF080101FF08FFFFFF270000FF107B
+:10024000FFFFFF0F0000FE7856FE3412FF21000072
+:10025000FE04F7CF2A670B01FECE0EFE04F7CF6730
+:100260000B3C2AFE3DF0FE0202FE20F09CFE91F0C7
+:10027000FEF001FE90F0FEF001FE8FF09C05513B78
+:1002800002FED40C01FE440DFEDD12FEFC10FE2821
+:100290001C05FEA600FED3124718FEA600B5FE48B8
+:1002A000F0FE8602FE49F0FEA002FE4AF0FEBE020B
+:1002B000FE46F0FE5002FE47F0FE5602FE43F0FE00
+:1002C0004402FE44F0FE4802FE45F0FE4C02170BCD
+:1002D000A0170618960229FE001CDEFE021CDDFE99
+:1002E0001E1CFEE91001FE2017FEE710FE06FCC7EB
+:1002F0000A6B019E0229144D379701FE640F0A6BA9
+:100300000182FEBD100A6B0182FEAD10FE161CFEBE
+:10031000581C170618962A2529FE3DF0FE020221D8
+:10032000FE9402FE5A1CEAFE141C14FE300037979D
+:1003300001FE540F1706189602D01E20071034FE37
+:10034000691017061896FE04EC20463D1220FE05A3
+:10035000F6C701FE5216094A4C35112D3C8A01E6BA
+:1003600002290A40010E07005D016FFE1810FE41D0
+:10037000580A99010EFEC85464FE0C0301E60229D6
+:100380002A46FE02E827F8FE9E43F7FE27F0FEDC31
+:1003900001FE074BFE20F09CFE401C25D2FE26F0FD
+:1003A000FE5603FEA0F0FE4403FE11F09CFEEF108B
+:1003B000FE9FF0FE6403EB0FFE1100025A2AFE4876
+:1003C0001CEB09041DFE1813231E98AC12980A405A
+:1003D000010EAC7501FEBC1511CA25D2FE01F0D28A
+:1003E000FE82F0FE9203EC11FEE40065FEA40325FC
+:1003F000321FFEB4030143FE06F0FEC4038D81FEEE
+:100400000AF0FE7A060222056B2816FEF604142C6A
+:1004100001338FFE660202D1EB2A671AFE671BF8D2
+:10042000F7FE481C70016E870A40010E070016D3C4
+:100430000ACA010E7460597627056B28FE10121443
+:100440002C01338FFE660202D1BC7DBD7F25226563
+:10045000FE3C041FFE380468FEA000FE9B57FE4EC3
+:10046000122BFF02001001081FFEE0042B01081FE1
+:1004700022302ED5FE4C44FE4C1260FE4448132C14
+:10048000FE4C5464D3467627FAEFFE621309041D2E
+:10049000FE2A132F077EA5FE2010132CFE4C546459
+:1004A000D3FAEF8609041DFE08132F077E6E090498
+:1004B0001DFE1C1214920904063B14C401338FFE66
+:1004C000700C02222B11FEE600FE1C90F903149220
+:1004D00001330229FE425B671AFE4659F8F7FE8790
+:1004E00080FE31E44F09040BFE7813FE2080071ACA
+:1004F000FE7012490406FE601305FEA2002816FED7
+:100500008005FE31E46A49040BFE4A1305FEA00093
+:1005100028FE42125E01082532F1010826FE9805E8
+:1005200011FEE3002349FE4AF0FE6A05FE49F0FE93
+:1005300064058324FE2100A124FE2200A0244CFE99
+:100540000948010826FE9805FEE2084904C53B015A
+:1005500086240612CC37FE270109041DFE2212470D
+:1005600001A714920904063B14C401338FFE700CDA
+:10057000022205FE9C0028FE3E12055028FE36137E
+:100580004701A726FE08060A06490419FE02125F63
+:1005900001FEAA141FFEFE05119A014311FEE5009B
+:1005A0000550B40C5005C628FE6212053F28FE5ABD
+:1005B0001301FE141801FE6618FE4348B719136CA8
+:1005C000FF020057488B1C3D85B7694701A726FEEF
+:1005D000720649041BDF890A4D01FED8141FFE680C
+:1005E00006119A014311FEE500053FB40C3F1706C2
+:1005F00001A7EC7270016E8711FEE200010825323E
+:10060000FE0AF0FEA6068CFE5C07FE06F0FE6407FE
+:100610008D81022209040BFE2E12151A0108150005
+:1006200001081500010815000108FE99A40108152C
+:100630000002FE320861041BFE381209041B6E150D
+:10064000FE1B000108150001081500010815000136
+:100650000815060108150002D9664CFE3A555FFEE2
+:100660009A814B1DBAFE32070A1DFE096FAFFECA02
+:1006700045FE3212622C85667B01082532FE0AF0A7
+:10068000FE32078D818CFE5C070222014302FE8A46
+:1006900006151902FE8A06FE9CF7D4FE2C90FEAECB
+:1006A0009077FECA070C541855094A6A351E200770
+:1006B00010FE0E1274FE808037206327FE0610FEA7
+:1006C00083E7C4A1FE0340094A4F3501A8ADFE1FD0
+:1006D00040125801A5FE0850FE8A50FE4451FEC645
+:1006E0005183FBFE8A900C521853FE0C90FE8E90A4
+:1006F000FE4050FEC2500C39183AFE4A1009046AF6
+:10070000FE2A12FE2C90FEAE900C54185509044F90
+:100710008501A8FE1F801258FE4490FEC6900C561C
+:100720001857FBFE8A900C521853FE4090FEC29060
+:100730000C39183A0C38184E094A19352A13FE4E4E
+:100740001165FE4808FE9EF0FE5C08B116322A7361
+:10075000DDB8FE8008B9FE9E088CFE7408FE06F027
+:10076000FE7A088D8102220143FEC9101519FEC9C7
+:1007700010610406FE101261040B4509040BFE68AB
+:1007800012FE2E1C02FE240A6104064561040BFEC3
+:100790005212FE2C1CFEAAF0FE1E09FEACF0FEBE9C
+:1007A00008FE8A10AAFEF310FEADF0FECA0802FE93
+:1007B000240AABFEE710FE2BF09DE91CFE00FEFEB6
+:1007C0001C12B5FED2F09DFE76181C1A169D05CBA4
+:1007D0001C06169DB86DB96DAAABFEB110705E2BEC
+:1007E000149201330FFE3500FE01F05A0F7C025ABD
+:1007F000FE74181CFE00F8166D671B01FE440D3BCD
+:1008000001E61E2774671A026D09040B21FE060A11
+:1008100009046AFE8212090419FE66131E58ACFC14
+:10082000FE8380FEC844FE2E13FE0491FE86916373
+:1008300027FE4059FEC15977D7055431550C7B1816
+:100840007CBE54BF5501A8AD63271258C038C14EB5
+:1008500079566857F4F5FE04FA38FE05FA4E01A5FC
+:10086000A2230C7B0C7C79566857FE1210090419E0
+:1008700016D77939683A0904FEF700350552315325
+:10088000FE1058FE9158FE1459FE9559026D090448
+:100890001916D70904FEF70035FE3A55FE19815F97
+:1008A000FE1090FE9290FED7102F079B16FEC608F2
+:1008B000119B09040BFE14130539313A77FEC60863
+:1008C000FE0C58FE8D58026D2347FE1980DE090488
+:1008D0000BFE1A12FE6C19FE1941E9B5FED1F0D9D2
+:1008E000147A01330FFE4400FE8E10FE6C19BE39DF
+:1008F000FEED19BF3AFE0C51FE8E51E91CFE00FFC1
+:1009000034FE7410B5FED2F0FEB20AFE76181C1A40
+:100910008405CB1C06FE08130FFE1600025AFED1FA
+:10092000F0FEC40A147A01330FFE1700FE4210FED7
+:10093000CEF0FECA0AFE3C10FECDF0FED60A0FFE37
+:100940002200025AFECBF0FEE20A0FFE2400025AF9
+:10095000FED0F0FEEC0A0F93DCFECFF0FEF60A0F9D
+:100960004CFE1010FECCF0D96104193B0FFE1200B2
+:100970002A13FE4E1165FE0C0BFE9EF0FE200BB1FD
+:1009800016322A73DDB822B9222AEC65FE2C0B251B
+:10099000328CFE480B8D81B8D4B9D402220143FEBB
+:1009A000DB1011FEE800AAAB70BC7DBD7FFE89F0B4
+:1009B00022302ED8BC7DBD7F01081F22302ED6B13B
+:1009C000450FFE4200025A7806FE814916FE380C99
+:1009D00009040BFE44130F004B0BFE54124BFE2870
+:1009E0000021FEA60C0A40010E07005D3EFE280015
+:1009F000FEE21001E701E80A9901FE320E59112DBD
+:100A0000016F02290FFE44004B0BDF3E0BFEB410BA
+:100A100001863E0BFEAA100186FE1982FE3446A313
+:100A20003E0B0FFE4300FE9610094A0B3501E7010D
+:100A3000E859112D016F670B593C8A02FE2A030900
+:100A4000040B843E0B0F00FE5C1061041BFE581269
+:100A500009041BFE5013FE1C1CFE9DF0FE5C0CFEE8
+:100A60001C1CFE9DF0FE620C094A1B35FEA9100FEE
+:100A7000FE1500FE04E60B5F5C0FFE1300FE101077
+:100A80000FFE4700A10FFE4100A00FFE240087AA21
+:100A9000AB70056B2821D15FFE04E61BFE9D41FE75
+:100AA0001C425901DA0229EA140B3795A914FE31C8
+:100AB00000379701FE540F02D03CFE06ECC9EE3E13
+:100AC0001DFECE45343CFE06EAC9FE474B89FE7545
+:100AD000570551FE9856FE38120A42010EFE444850
+:100AE0004609041DFE1A130A40010E47FE41580A2A
+:100AF00099010EFE49548EFE2A0D02FE2A030A5168
+:100B0000FEEE14EE3E1DFECE45343CFECE47FEAD5D
+:100B10001302291E200710FE9E1223124D1294125A
+:100B2000CE1E2D47372DB1E0FEBCF0FEEC0D1306B6
+:100B3000124D01FEE21505FE380131FE3A0177FE45
+:100B4000F00DFE02ECCE62005DFE04EC2046FE05D8
+:100B5000F6FE340101FE5216FBFE48F40DFE18139A
+:100B6000AFFE02EACE627AFEC513141B3795A95C6C
+:100B700005FE38011CFEF0FF0CFE600105FE3A0187
+:100B80000CFE62013D12202406122D112D8A13063F
+:100B90000323031E4DFEF7121E94AC1294077AFE37
+:100BA0007113FE241C141A3795A9FED910B6FE0342
+:100BB000DCFE7357FE805D03B6FE03DCFE5B57FE72
+:100BC000805D03FE0357B623FE00CC03FE0357B639
+:100BD000750309044CFE2213FE1C800706FE1A133F
+:100BE000FE1E80E1FE1D80A4FE0C90FE0E13FE0E84
+:100BF00090A3FE3C90FE30F40BFE3C50A001FE8220
+:100C0000162F072DE001FEBC1509041D4501E70163
+:100C1000E811FEE90009044CFE2C1301FE1416FE37
+:100C20001E1CFE1490FE96900CFE640118FE6601D8
+:100C300009044FFE1212FE038074FE01EC20FE80B8
+:100C4000401220632711C8591E20ED762003FE08AC
+:100C50001C05FEAC00FE065805FEAE00FE0758055A
+:100C6000FEB000FE085805FEB200FE0958FE0A1C40
+:100C7000246912C9230C500C3F1340485F171DFE16
+:100C8000904DFE915421FE080F3E10134248174C20
+:100C9000FE904DFE915421FE1E0F24101220782C40
+:100CA000461E20ED762011C8F6FED6F0FE320FEA81
+:100CB00070FE141CFE101CFE181C033CFE0C14EEEF
+:100CC000FE07E61DFECE47FEF513030186782C468F
+:100CD000FAEFFE42132F072DFE34130A42010EB025
+:100CE000FE3612F0FE454801E3FE00CCB0FEF313E1
+:100CF0003D750710A30A80010EFE805C016FFE0E99
+:100D000010077E45F6FED6F0FE6C0F03FE445874C5
+:100D1000FE01EC97FE9E40FE9DE700FE9CE71B76E1
+:100D20002701DAFEDD102ABC7DBD7F302ED5071BE2
+:100D3000FE4812070BFE5612071AFE301207C216A3
+:100D4000FE3E1107FE230016FE4A11070616FEA8F6
+:100D5000110719FE12120700162214C201339F2B2D
+:100D600001088C43032BFE62080ACA01FE320E11F1
+:100D70007E02292B2F079BFED9137939683A77FE1B
+:100D8000FC1009046AFE7212C038C14EF4F58EFEE2
+:100D9000C6101E58FE2613057B317C77FE820C0C94
+:100DA000541855230C7B0C7C01A82469731258013C
+:100DB000A5C038C14EFE0455FEA555FE04FA38FE06
+:100DC00005FA4EFE911005563157FE4056FEE1568B
+:100DD0000C56185783C038C14EF4F505523153FEF6
+:100DE0000056FEA1560C52185309046AFE1E121E2C
+:100DF00058FE1F4005543155FE2C50FEAE5005568E
+:100E00003157FE4450FEC65005523153FE0850FE85
+:100E10008A500539313AFE4050FEC250025C240629
+:100E200012CD025B2B01081F44302ED5070621444A
+:100E30002F079B215B016E1C3D164409040BE279D0
+:100E400039683AFE0A5534FE8B55BE39BF3AFE0C5E
+:100E500051FE8E51025BFE1981AFFE1941025B2BE0
+:100E6000010825321FA2302ED84B1AFEA6124B0BBA
+:100E70003B0244010825321FA2302ED6071A214416
+:100E800001081FA2302EFEE809FEC2496005FE9C43
+:100E9000002884490419349FFEBB454B00453E069B
+:100EA000783DFEDA14016E87FE4B45E22F079AE18A
+:100EB00005C62884053F28345E025BFEC05DFEF84F
+:100EC00014FE03170550B40C505E2B0108265C017C
+:100ED000FEAA14025C010825321F44302ED60706F4
+:100EE000214401FE8E13FE4258FE8214FEA4148794
+:100EF000FE4AF40B1644FE4AF406FE0C122F079A23
+:100F000085025B053FB40C3F5E2B0108265C01FEA9
+:100F1000D814025C130665FECA1226FEE01272F1B6
+:100F200001082372038FFEDC1225FEDC121FFECAAD
+:100F3000125E2B0108FED510136CFF020057488B80
+:100F40001CFEFF7FFE3056FE005C03136CFF0200A8
+:100F500057488B1C3DFE3056FE005C03136CFF02AD
+:100F60000057488B03136CFF020057488BFE0B5849
+:100F7000030A5001820A3F018203FC1C10FF030098
+:100F800054FE00F41948FE007DFE017DFE027DFE48
+:100F9000037C63270C521853BE56BF5703FE6208EA
+:100FA000FE824AFEE11AFE835A740301FE1418FE03
+:100FB00042485F608901081FFEA214302ED8010844
+:100FC0001FFEA214302EFEE80AFEC15905C628FEF7
+:100FD000CC1249041BFEC41323621BE24BC364FE04
+:100FE000E8133B130617C378DBFE7810FF02835526
+:100FF000A1FF028355621AA4BBFE30008EE4172CB9
+:101000001306FE5610620BE1BBFE64008EE40AFE7E
+:10101000640017931306FE28106206FE6013BBFEE1
+:10102000C8008EE40AFEC800174D130683BBFE906D
+:1010300001BAFE4E1489FE1210FE43F494FE56F0DF
+:10104000FE6014FE04F46CFE43F493FEF310F90109
+:10105000FE22131C3DFE1013FE0017FE4DE469BA7C
+:10106000FE9C14B769FE1C10FE0017FE4DE419BA71
+:10107000FE9C14B719836023FE4DF400DF8913062C
+:10108000FEB456FEC3580360130B03150601082671
+:10109000E5150B010826E5151A010826E572FE89FB
+:1010A000490108031506010826A6151A010826A6F7
+:1010B0001506010826A6FE8949010826A672FE89A2
+:1010C0004A01080360031ECC0706FE4413AD12CC90
+:1010D000FE49F4003B729F5EFE01ECFE2701F10128
+:1010E000082F07FEE300FE20131FFE5A152312CD22
+:1010F00001431ECD070645094A0635030A42010E83
+:10110000ED880710A40A80010E880A51019E030A87
+:1011100080010E88FE80E710071084FE455801E329
+:1011200088030A42010E880A51019E030A42010EF9
+:10113000FE8080F2FE49E410A40A80010EF20A51FA
+:1011400001820317107166FE6001FE18DFFE19DED2
+:10115000FE241CFE1DF71D90FEF61501FEFC16E098
+:10116000911D66FE2C01FE2F1903AE21FEE615FE31
+:10117000DA1017107105FE6401FE00F419FE18580C
+:1011800005FE6601FE19589119FE3C90FE30F406EA
+:10119000FE3C5066FE3800FE0F79FE1CF71990FEEB
+:1011A0004016FEB6143403AE21FE1816FE9C10172E
+:1011B0001071FE835AFE18DFFE19DEFE1DF738900F
+:1011C000FE6216FE9414FE10139138661BFEAF19D2
+:1011D000FE98E70003AE21FE5616FE6C1017107144
+:1011E000FE30BCFEB2BC91C5661BFE0F79FE1CF73B
+:1011F000C590FE9A16FE5C143403AE21FE8616FEE0
+:101200004210FE02F61071FE18FE54FE19FE55FC47
+:10121000FE1DF74F90FEC016FE3614FE1C13914FB4
+:1012200047FE8358FEAF19FE80E710FE81E71011DC
+:10123000FEDD006327036327FE124521FEB016146E
+:10124000063795A90229FE39F0FE04172303FE7E16
+:10125000181C1A5D130D037105CB1C06FEEF12FE60
+:10126000E110782C462F072DFE3C13FE8214FE421F
+:10127000133C8A0A42010EB0FE3E12F0FE454801C0
+:10128000E3FE00CCB0FEF3133D750710A30A800106
+:101290000EF2016FFE1610077E85FE4014FE24122A
+:1012A000F6FED6F0FE2417170B03FE9CE70B0FFE8D
+:1012B000150059762701DA1706033C8A094A1D35BD
+:1012C000112D016F170603FE3890FEBA9079C7689A
+:1012D000C8FE485534FEC955031E98731298030A78
+:1012E00099010EF00A40010EFE494416FEF01773F4
+:1012F00075030A42010E0710450A51019E0A40017A
+:101300000E737503FE4EE41A64FE241805FE900069
+:10131000FE3A455BFE4EE4C264FE361805FE9200BE
+:10132000FE02E61BDCFE4EE4FE0B0064FE481805E0
+:10133000FE9400FE02E619FE081005FE9600FE026D
+:10134000E62CFE4E45FE0C12AFFF046854DE1C690D
+:1013500003077AFE5AF0FE741824FE0900FE3410CA
+:10136000071BFE5AF0FE821824C3FE2610071A5DE2
+:10137000242CDC070B5D2493FE0E1007065D244D24
+:101380009FAD0314FE09000133FE04FE7D057FF9C5
+:101390000325FECA18FE14F00865FEC61803FF1ADE
+:0213A00000004B
+:00000001FF
+/* Microcode buffer is kept after initialization for error recovery. */
diff --git a/firmware/advansys/38C0800.bin.ihex b/firmware/advansys/38C0800.bin.ihex
new file mode 100644
index 0000000..a60b447
--- /dev/null
+++ b/firmware/advansys/38C0800.bin.ihex
@@ -0,0 +1,336 @@
+:10000000D83F0D05000000F200F000FC001618E4D7
+:10001000010048E4188003F60200CE1900FAFFFF41
+:100020001C0F00F69EE7FF0082E700EA01FA01E6F6
+:1000300009E755F001F60300040010001EF085F0FA
+:1000400018F40800BC00385400ECD5F0820D00E62E
+:1000500086F0B1F0985701FCB400D4010C1C3E1C92
+:100060003C00BB000010BA19028032F07C0D021374
+:10007000BA131840005701EA02FC03FC3E006C0171
+:100080006E0174017601B9543E57008003E6B60054
+:10009000C00001013E017A01CA08CE1016110412F7
+:1000A0000812024ABB553C5603581B8030E44BE40F
+:1000B0005DF002FA200032004000800024013C0183
+:1000C00068016A017001720178017C01620A860D83
+:1000D00006134C1C04804AE402EE5BF003F70C00AC
+:1000E0000F004700BE00000120115C16321C381CB6
+:1000F0004E1C1044004C04EA5CF0A7F004F603FA2E
+:100100000500340036009800CC0020014E014A0B57
+:10011000420C120F0C1022110A120413301C024858
+:10012000004E42544455BD56068300DC05F009F0EC
+:1001300059F0B8F04BF406F70EF704FC05FC060086
+:10014000190033009B00A400B500BA00D000E10004
+:10015000E700E203080F021004100A100A130C1340
+:1001600012132414341404160816A417201C341C6B
+:10017000361C0844384491440A45484601486854AE
+:100180003A558355E555B0570158835905E60BF0AC
+:100190000CF004F805F807000A001C001E009E0081
+:1001A000A800AA00B900E0002201260179017E0121
+:1001B000C401C60180025E03EE049A06F8076208D5
+:1001C00068086908D608E909FA0B2E0F12101A10F0
+:1001D000ED10F1102A1106120C123E121013161314
+:1001E0001E134614761482143615CA156B18BE18E1
+:1001F000CA18E619121C461C9C3200400E47FE9C91
+:10020000F02B02FEAC0DFF100000D7FEE81900D65F
+:10021000FE8401FF030000FE9315FE0F05FF38006A
+:1002200000FE572400FE4C005BFF04000011FF0994
+:100230000000FF080101FF08FFFFFF270000FF107B
+:10024000FFFFFF110000FE7856FE3412FF21000070
+:10025000FE04F7D62C990A01FEC20FFE04F7D699C8
+:100260000A422CFE3DF0FE0602FE20F0A7FE91F0B1
+:10027000FEF401FE90F0FEF401FE8FF0A7035D4D49
+:1002800002FEC80D01FE380EFEDD12FEFC10FE2837
+:100290001C03FEA600FED3124114FEA600C2FE48B7
+:1002A000F0FE8A02FE49F0FEA402FE4AF0FEC202FF
+:1002B000FE46F0FE5402FE47F0FE5A02FE43F0FEF8
+:1002C0004802FE44F0FE4C02FE45F0FE5002180AC1
+:1002D000AA180614A1022BFE001CE7FE021CE6FE73
+:1002E0001E1CFEE91001FE1818FEE710FE06FCCEEB
+:1002F000097001A8022B155939A201FE5810097086
+:100300000187FEBD1009700187FEAD10FE161CFEB0
+:10031000581C180614A12C1C2BFE3DF0FE060223CF
+:10032000FE9802FE5A1CF8FE141C15FE300039A27D
+:1003300001FE4810180614A102D72220071135FE2D
+:100340006910180614A1FE04EC204F431320FE058B
+:10035000F6CE01FE4A1708545837122F429201FE7A
+:100360008216022B0946010E0700660173FE181063
+:10037000FE415809A4010EFEC8546BFE100301FE95
+:100380008216022B2C4FFE02E82AFEBF57FE9E4328
+:10039000FE7757FE27F0FEE001FE074BFE20F0A798
+:1003A000FE401C1CD9FE26F0FE5A03FEA0F0FE48BB
+:1003B00003FE11F0A7FEEF10FE9FF0FE6803F91098
+:1003C000FE110002652CFE481CF908051BFE1813DF
+:1003D0002122A3B713A30946010EB77801FEB41674
+:1003E00012D11CD9FE01F0D9FE82F0FE9603FA125A
+:1003F000FEE40027FEA8031C341DFEB803014BFEDB
+:1004000006F0FEC8039586FE0AF0FE8A0602240363
+:10041000702817FEFA04156D01367BFE6A0202D8B9
+:10042000F92C9919FE671BFEBF57FE7757FE481C33
+:100430007401AF8C0946010E070017DA09D1010ECD
+:100440008D5164792A037028FE1012156D01367BD8
+:10045000FE6A0202D8C781C8831C2427FE40041DFF
+:10046000FE3C043BFEA000FE9B57FE4E122DFF02F9
+:100470000010010B1DFEE4042D010B1D243331DEA1
+:10048000FE4C44FE4C1251FE44480F6FFE4C546B20
+:10049000DA4F792AFE0680FE4847FE621308051BE4
+:1004A000FE2A13320782FE5213FE20100F6FFE4CFD
+:1004B000546BDAFE0680FE4847FE401308051BFE1B
+:1004C0000813320782FE301308051BFE1C12159D0F
+:1004D0000805064D15FE0D0001367BFE640D022455
+:1004E0002D12FEE600FE1C90FE405C04159D0136B8
+:1004F000022BFE425B9919FE4659FEBF57FE775705
+:10050000FE8780FE31E45B08050AFE8413FE20802E
+:100510000719FE7C12530506FE6C1303FEA2002889
+:1005200017FE9005FE31E45A53050AFE561303FEEA
+:10053000A00028FE4E1267FF02001027FE48051C8F
+:1005400034FE8948FF02001027FE560526FEA80546
+:1005500012FEE3002153FE4AF0FE7605FE49F0FE4E
+:1005600070058825FE2100AB25FE2200AA2558FE35
+:100570000948FF02001027FE860526FEA805FEE2B8
+:10058000085305CB4D01B0250613D339FE270108CA
+:10059000051BFE22124101B2159D0805064D15FEF0
+:1005A0000D0001367BFE640D022403FE9C0028EB47
+:1005B000035C28FE36134101B226FE1806090653D5
+:1005C000051FFE02125001FE9E151DFE0E0612A50D
+:1005D000014B12FEE500035CC10C5C03CD28FE62FA
+:1005E00012034528FE5A1301FE0C1901FE7619FE6E
+:1005F0004348C4CC0F71FF02005752931E438BC473
+:100600006E4101B226FE820653051AE9910959018D
+:10061000FECC151DFE780612A5014B12FEE5000367
+:1006200045C10C45180601B2FA767401AF8C12FE72
+:10063000E20027DB1C34FE0AF0FEB60694FE6C07CF
+:10064000FE06F0FE74079586022408050AFE2E12A7
+:100650001619010B1600010B1600010B1600010BF9
+:10066000FE99A4010B160002FE420868051AFE3826
+:100670001208051AFE301316FE1B00010B160001AE
+:100680000B1600010B1600010B1606010B160002DB
+:10069000E26C58BE50FE9A81551B7AFE4207091B38
+:1006A000FE096FBAFECA45FE3212696D8B6C7F2758
+:1006B000FE54071C34FE0AF0FE4207958694FE6C39
+:1006C000070224014B02DB161F02DBFE9CF7DCFE57
+:1006D0002C90FEAE9056FEDA070C60146108545A56
+:1006E0003722200711FE0E128DFE808039206A2AE3
+:1006F000FE0610FE83E7FE4800ABFE034008545B95
+:100700003701B3B8FE1F40136201EFFE0850FE8AA6
+:1007100050FE4451FEC65188FE0890FE8A900C5E41
+:10072000145FFE0C90FE8E90FE4050FEC2500C3DB9
+:10073000143EFE4A1008055AFE2A12FE2C90FEAE08
+:10074000900C60146108055B8B01B3FE1F8013627F
+:10075000FE4490FEC6900C3F1440FE0890FE8A9026
+:100760000C5E145FFE4090FEC2900C3D143E0C2EB9
+:10077000143C210C490C6308541F372C0FFE4E11FA
+:1007800027DDFE9EF0FE7608BC17342C77E6C5FE0A
+:100790009A08C6FEB80894FE8E08FE06F0FE94087D
+:1007A00095860224014BFEC910161FFEC91068056C
+:1007B00006FE101268050A4E08050AFE9012FE2E6B
+:1007C0001C02FE180B6805064E68050AFE7A12FE2A
+:1007D0002C1CFEAAF0FED209FEACF0FE000902FEBF
+:1007E000DE09FEB7F0FEFC08FE02F61A50FE701895
+:1007F000FEF118FE4055FEE155FE1058FE9158FEE0
+:100800001459FE95591C85FE8CF0FEFC08FEACF0D8
+:10081000FEF008B5FECB10FEADF0FE0C0902FE188E
+:100820000BB6FEBF10FE2BF085F41EFE00FEFE1C74
+:1008300012C2FED2F085FE76181E19178503D21E4D
+:10084000061785C54AC64AB5B6FE891074672D15C8
+:100850009D013610FE3500FE01F06510800265FE38
+:100860009880FE19E40AFE1A1251FE1982FE6C18D5
+:10087000FE4454BEFE1981FE74188F9017FECE08F8
+:10088000024A08055AEC032E293C0C3F14409B2ECB
+:100890009C3CFE6C18FEED18FE4454FEE5543A3FB5
+:1008A0003B40034929638FFEE354FE7418FEF5189C
+:1008B0008FFEE35490C056FECE08024AFE37F0FE8B
+:1008C000DA09FE8BF0FE6009024A08050A23FEFAE7
+:1008D0000A3A493B6356FE3E0A0FFEC007419800A4
+:1008E000ADFE0159FE52F0FE0C0A8F7AFE240A3A40
+:1008F000498FFEE35457497D63FE1458FE95580214
+:100900004A3A493B63FE1459FE9559BE574957630D
+:10091000024A08055AFE821208051FFE661322626B
+:10092000B7FE03A1FE8380FEC844FE2E13FE049191
+:10093000FE86916A2AFE4059FEC15956E00360299D
+:10094000610C7F148057607D6101B3B86A2A13621D
+:100950009B2E9C3C3A3F3B4090C0FE04FA2EFE0585
+:10096000FA3C01EFFE3610210C7F0C803A3F3B40F1
+:10097000E408051F17E03A3D3B3E0805FEF7003747
+:10098000035E295FFE1058FE915857497D6302FEB1
+:10099000F40908051F17E00805FEF70037BEFE1929
+:1009A0008150FE1090FE9290FED3103207A617FEE3
+:1009B000080912A608050AFE1413033D293E56FE37
+:1009C0000809FE0C58FE8D58024A2141FE1980E7A5
+:1009D00008050AFE1A12FE6C19FE1941F4C2FED176
+:1009E000F0E2157E013610FE4400FE8E10FE6C19FA
+:1009F000573DFEED197D3EFE0C51FE8E51F41EFE5C
+:100A000000FF35FE7410C2FED2F0FEA60BFE761873
+:100A10001E198A03D21E06FE081310FE1600026578
+:100A2000FED1F0FEB80B157E013610FE1700FE4217
+:100A300010FECEF0FEBE0BFE3C10FECDF0FECA0B4B
+:100A400010FE22000265FECBF0FED60B10FE240045
+:100A50000265FED0F0FEE00B109EE5FECFF0FEEA50
+:100A60000B1058FE1010FECCF0E268051F4D10FE72
+:100A700012002C0FFE4E1127FE000CFE9EF0FE14FD
+:100A80000CBC17342C77E6C524C6242CFA27FE208C
+:100A90000C1C3494FE3C0C9586C5DCC6DC0224019B
+:100AA0004BFEDB1012FEE800B5B674C781C883FEAA
+:100AB00089F0243331E1C781C88327FE660C1D24E9
+:100AC0003331DFBC4E10FE420002657C06FE8149D8
+:100AD00017FE2C0D08050AFE44131000550AFE549B
+:100AE0001255FE280023FE9A0D0946010E070066E6
+:100AF00044FE2800FEE21001F501F609A401FE26DD
+:100B00000F64122F0173022B10FE4400550AE944B2
+:100B10000AFEB41001B0440AFEAA1001B0FE198208
+:100B2000FE3446AC440A10FE4300FE961008540AF8
+:100B30003701F501F664122F0173990A644292029B
+:100B4000FE2E0308050A8A440A1000FE5C106805A0
+:100B50001AFE581208051AFE5013FE1C1CFE9DF0CA
+:100B6000FE500DFE1C1CFE9DF0FE560D08541A375B
+:100B7000FEA91010FE1500FE04E60A50FE2E10100D
+:100B8000FE1300FE1010106FAB10FE4100AA10FE05
+:100B900024008CB5B67403702823D850FE04E61ADE
+:100BA000FE9D41FE1C426401E3022BF8150A39A0A8
+:100BB000B415FE310039A201FE481002D742FE06EC
+:100BC000ECD0FC441BFECE453542FE06EAD0FE4783
+:100BD0004B91FE7557035DFE9856FE381209480189
+:100BE0000EFE44484F08051BFE1A130946010E412C
+:100BF000FE415809A4010EFE495496FE1E0E02FE47
+:100C00002E03095DFEEE14FC441BFECE453542FE6C
+:100C1000CE47FEAD13022B22200711FE9E12211398
+:100C200059139F13D5222F41392FBCADFEBCF0FEC6
+:100C3000E00E0F06135901FEDA1603FE380129FEF5
+:100C40003A0156FEE40EFE02ECD5690066FE04ECA5
+:100C5000204FFE05F6FE340101FE4A17FE0890FE05
+:100C600048F40DFE1813BAFE02EAD5697EFEC513DC
+:100C7000151A39A0B4FE2E1003FE38011EFEF0FF37
+:100C80000CFE600103FE3A010CFE620143132025B5
+:100C900006132F122F920F060421042259FEF71279
+:100CA000229FB7139F077EFE7113FE241C1519396E
+:100CB000A0B4FED910C3FE03DCFE7357FE805D04B2
+:100CC000C3FE03DCFE5B57FE805D04FE0357C321B9
+:100CD000FE00CC04FE0357C37804080558FE221317
+:100CE000FE1C800706FE1A13FE1E80EDFE1D80AE60
+:100CF000FE0C90FE0E13FE0E90ACFE3C90FE30F407
+:100D00000AFE3C50AA01FE7A1732072FAD01FEB44D
+:100D10001608051B4E01F501F612FEE900080558FC
+:100D2000FE2C1301FE0C17FE1E1CFE1490FE969066
+:100D30000CFE640114FE660108055BFE1212FE0340
+:100D4000808DFE01EC20FE804013206A2A12CF64C1
+:100D50002220FB792004FE081C03FEAC00FE06588E
+:100D600003FEAE00FE075803FEB000FE085803FE67
+:100D7000B200FE0958FE0A1C256E13D0210C5C0C33
+:100D8000450F465250181BFE904DFE915423FEFC19
+:100D90000F44110F48521858FE904DFE915423E411
+:100DA000251113207C6F4F2220FB792012CFFE14D7
+:100DB00056FED6F0FE2610F874FE141CFE101CFE23
+:100DC000181C0442FE0C14FCFE07E61BFECE47FE78
+:100DD000F5130401B07C6F4FFE0680FE4847FE42CB
+:100DE0001332072FFE34130948010EBBFE3612FEE4
+:100DF0004148FE454801F0FE00CCBBFEF3134378AA
+:100E00000711AC0984010EFE805C0173FE0E100711
+:100E1000824EFE1456FED6F0FE601004FE44588D3D
+:100E2000FE01ECA2FE9E40FE9DE700FE9CE71A79C3
+:100E30002A01E3FEDD102CC781C8833331DE071A97
+:100E4000FE4812070AFE56120719FE301207C9178C
+:100E5000FE321207FE230017EB070617FE9C12074F
+:100E60001FFE12120700172415C90136A92D010B08
+:100E7000944B042DDD09D101FE260F1282022B2D89
+:100E80003207A6FED9133A3D3B3E56FEF011080547
+:100E90005AFE72129B2E9C3C90C096FEBA112262A2
+:100EA000FE2613037F298056FE760D0C6014612107
+:100EB0000C7F0C8001B3256E77136201EF9B2E9C93
+:100EC0003CFE0455FEA555FE04FA2EFE05FA3CFE36
+:100ED0009110033F2940FE4056FEE1560C3F14405E
+:100EE000889B2E9C3C90C0035E295FFE0056FEA1AD
+:100EF000560C5E145F08055AFE1E122262FE1F4049
+:100F000003602961FE2C50FEAE50033F2940FE4491
+:100F100050FEC650035E295FFE0850FE8A50033D16
+:100F2000293EFE4050FEC2500289250613D40272AB
+:100F30002D010B1D4C3331DE0706234C3207A6234F
+:100F40007201AF1E43174C08050AEE3A3D3B3EFEC8
+:100F50000A5535FE8B55573D7D3EFE0C51FE8E5198
+:100F60000272FE1981BAFE194102722D010B1C3466
+:100F70001DE83331E15519FEA612550A4D024C0108
+:100F80000B1C341DE83331DF0719234C010B1DE81E
+:100F90003331FEE809FEC2495103FE9C00288A5302
+:100FA000051F35A9FEBB4555004E44067C43FEDABD
+:100FB0001401AF8CFE4B45EE3207A5ED03CD288A18
+:100FC00003452835670272FEC05DFEF814FE031764
+:100FD000035CC10C5C672D010B268901FE9E150286
+:100FE00089010B1C341D4C3331DF0706234C01F102
+:100FF000FE4258F1FEA4148CFE4AF40A174CFE4A35
+:10100000F406EA3207A58B02720345C10C45672D31
+:10101000010B268901FECC1502890F0627FEBE139F
+:1010200026FED41376FE8948010B2176047BFED080
+:10103000131CFED0131DFEBE13672D010BFED51031
+:101040000F71FF02005752931EFEFF7FFE3056FEC7
+:10105000005C040F71FF02005752931E43FE30568E
+:10106000FE005C040F71FF0200575293040F71FFE2
+:101070000200575293FE0B5804095C018709450191
+:101080008704FE03A11E11FF030054FE00F41F524B
+:10109000FE007DFE017DFE027DFE037C6A2A0C5E61
+:1010A000145F573F7D4004DDFE824AFEE11AFE8355
+:1010B0005A8D0401FE0C19FE4248505191010B1D3E
+:1010C000FE96153331E1010B1DFE96153331FEE816
+:1010D0000AFEC15903CD28FECC1253051AFEC413D3
+:1010E00021691AEE55CA6BFEDC144D0F0618CA7C36
+:1010F00030FE7810FF028355ABFF0283556919AEAD
+:1011000098FE300096F2186D0F06FE5610690AED33
+:1011100098FE640096F209FE6400189E0F06FE28F1
+:10112000106906FE601398FEC80096F209FEC8001A
+:1011300018590F068898FE90017AFE421591E4FE38
+:1011400043F49FFE56F0FE5415FE04F471FE43F482
+:101150009EFEF310FE405C01FE16141E43ECFE00E2
+:1011600017FE4DE46E7AFE9015C46EFE1C10FE0054
+:1011700017FE4DE4CC7AFE9015C4CC885121FE4D6B
+:10118000F400E9910F06FEB456FEC35804510F0A4D
+:10119000041606010B26F3160A010B26F316190195
+:1011A0000B26F376FE8949010B041606010B26B1C6
+:1011B0001619010B26B11606010B26B1FE8949014D
+:1011C0000B26B176FE894A010B04510422D307068F
+:1011D000FE4813B813D3FE49F4004D76A967FE010B
+:1011E000ECFE2701FE8948FF02001027FE2E163272
+:1011F00007FEE300FE20131DFE52162113D4014BFF
+:1012000022D407064E08540637040948010EFB8E07
+:101210000711AE0984010E8E095D01A8040984013D
+:101220000E8EFE80E71107118AFE455801F08E04EC
+:101230000948010E8E095D01A8040948010EFE80CF
+:1012400080FE804CFE49E411AE0984010EFE804C04
+:10125000095D0187041811756CFE6001FE18DFFE40
+:1012600019DEFE241CFE1DF71B97FEEE1601FEF490
+:1012700017AD9A1B6CFE2C01FE2F1904B923FEDE5C
+:1012800016FEDA1018117503FE6401FE00F41FFE4D
+:10129000185803FE6601FE19589A1FFE3C90FE3056
+:1012A000F406FE3C506CFE3800FE0F79FE1CF71F62
+:1012B00097FE3817FEB6143504B923FE1017FE9CAE
+:1012C00010181175FE835AFE18DFFE19DEFE1DF799
+:1012D0002E97FE5A17FE9414EC9A2E6C1AFEAF1934
+:1012E000FE98E70004B923FE4E17FE6C1018117526
+:1012F000FE30BCFEB2BC9ACB6C1AFE0F79FE1CF716
+:10130000CB97FE9217FE5C143504B923FE7E17FEC0
+:101310004210FE02F61175FE18FE60FE19FE61FE17
+:1013200003A1FE1DF75B97FEB817FE3614FE1C13D3
+:101330009A5B41FE8358FEAF19FE80E711FE81E7FC
+:101340001112FEDD006A2A046A2AFE124523FEA855
+:1013500017150639A0B4022BFE39F0FEFC17210444
+:10136000FE7E181E19660F0D047503D21E06FEEFD1
+:1013700012FEE1107C6F4F32072FFE3C13F1FE424C
+:101380001342920948010EBBEBFE4148FE4548015D
+:10139000F0FE00CCBBFEF31343780711AC098401C7
+:1013A0000EFE804C0173FE161007828BFE4014FE69
+:1013B0002412FE1456FED6F0FE1C18180A04FE9CD9
+:1013C000E70A10FE150064792A01E3180604429228
+:1013D00008541B37122F0173180604FE3890FEBA0A
+:1013E000903ACE3BCFFE485535FEC9550422A3772F
+:1013F00013A30409A4010EFE41480946010EFE494B
+:101400004417FEE8187778040948010E07114E09C1
+:101410005D01A80946010E777804FE4EE4196BFEC3
+:101420001C1903FE9000FE3A45FE2C10FE4EE4C946
+:101430006BFE2E1903FE9200FE02E61AE5FE4EE454
+:10144000FE0B006BFE401903FE9400FE02E61FFE39
+:10145000081003FE9600FE02E66DFE4E45EABAFF56
+:10146000046854E71E6EFE081CFE6719FE0A1CFE87
+:101470001AF4FE0004EAFE48F4197AFE74190F19F2
+:1014800004077EFE5AF0FE841925FE0900FE341082
+:10149000071AFE5AF0FE921925CAFE261007196691
+:1014A000256DE5070A66259EFE0E1007066625597E
+:1014B000A9B80415FE09000136FE04FE810383FE6F
+:1014C000405C041CF7FE14F00B27FED6191CF77BBA
+:0C14D000F7FE82F0FEDA1904FFCC0000E9
+:00000001FF
+/* Microcode buffer is kept after initialization for error recovery. */
diff --git a/firmware/advansys/38C1600.bin.ihex b/firmware/advansys/38C1600.bin.ihex
new file mode 100644
index 0000000..18c7c48
--- /dev/null
+++ b/firmware/advansys/38C1600.bin.ihex
@@ -0,0 +1,398 @@
+:1000000077EF0406000000F2001600FC001000F07C
+:1000100018E40100041E48E403F6F7132E1E020044
+:100020000717C05F00FAFFFF040000F609E782E748
+:1000300085F086F04E109EE7FF0055F001F60300B4
+:10004000985701E600EA00EC01FA18F40800F01DE8
+:10005000385432F01000C20E1EF0D5F0BC004BE454
+:1000600000E6B1F0B40002133E1CC8473E00D801C0
+:1000700006130C1C5E1E0057C85701FCBC0EA212D2
+:10008000B9540080620A5A12C8153E1E1840BD5667
+:1000900003E601EA5CF00F0020006C016E0104121F
+:1000A0000413BB553C563E5703584AE44000B60083
+:1000B000BB00C000000101013E01580A44100A12B1
+:1000C0004C1C4E1C024A30E405E60C003C0080004B
+:1000D00024013C0168016A0170017201740176011A
+:1000E00078017C01C60E0C10AC12AE12161A321C2E
+:1000F0006E1E02483A55C95702EE5BF003F706F749
+:1001000003FC06001E00BE00E1000C12181A701A53
+:10011000301C381C1044004CB057405C4DE404EADD
+:100120005DF0A7F004F602FC05000900190032009A
+:1001300033003400360098009E00CC0020014E01B0
+:1001400079013C09680D021004103A1008120A13D4
+:100150004016501600174A19004E0054015800DC92
+:1001600005F009F059F0B8F048F40EF70A009B00CA
+:100170009C00A400B500BA00D000E700F0036908B5
+:10018000E9095C0CB612BC19D81B201C341C361CA7
+:10019000421D0844384491440A45484689486854F9
+:1001A0008355835931E402E607F008F00BF00CF0B8
+:1001B0004BF404F805F802FA03FA04FC05FC070006
+:1001C000A800AA00B900E000E500220126016001B4
+:1001D0007A018201C801CA0186026A031805B207C2
+:1001E0006808100D06100A100E1012106010ED10A5
+:1001F000F310061210121E120C130E131013FE9C95
+:10020000F03505FEEC0EFF100000E9FE341F00E89B
+:10021000FE8801FF030000FE9315FE0F05FF380066
+:1002200000FE572400FE4C0065FF0400001AFF0981
+:100230000000FF080101FF08FFFFFF270000FF107B
+:10024000FFFFFF130000FE7856FE3412FF2100006E
+:10025000FE04F7E8377D0D01FE4A11FE04F7E87D44
+:100260000D5137FE3DF0FE0C02FE20F0BCFE91F079
+:10027000FEF801FE90F0FEF801FE8FF0BC03674D22
+:1002800005FE080F01FE780FFEDD1205FE0E03FECF
+:10029000281C03FEA600FED1123E22FEA600ACFEE4
+:1002A00048F0FE9002FE49F0FEAA02FE4AF0FEC8A7
+:1002B00002FE46F0FE5A02FE47F0FE6002FE43F0E8
+:1002C000FE4E02FE44F0FE5202FE45F0FE56021CB7
+:1002D0000DA21C0722B70535FE001CFEF110FE0220
+:1002E0001CF5FE1E1CFEE910015FFEE710FE06FC79
+:1002F000DE0A8101A305351F9547B801FEE4110A06
+:1003000081015CFEBD100A81015CFEAD10FE161C71
+:10031000FE581C1C0722B7372A35FE3DF0FE0C02A2
+:100320002BFE9E02FE5A1CFE121CFE141C1FFE30E9
+:100330000047B801FED4111C0722B705E9212C099A
+:100340001A31FE69101C0722B7FE04EC2C6001FE76
+:100350001E1E202CFE05F6DE01FE621B010C614A0A
+:100360004415565101FE9E1E01FE961A05350A5788
+:1003700001180900360185FE1810FE41580ABA011D
+:1003800018FEC8547BFE1C0301FE961A0535376023
+:10039000FE02E830FEBF57FE9E43FE7757FE27F071
+:1003A000FEE401FE074BFE20F0BCFE401C2AEBFEE3
+:1003B00026F0FE6603FEA0F0FE5403FE11F0BCFE24
+:1003C000EF10FE9FF0FE7403FE461C19FE1100059F
+:1003D0007037FE481CFE461C010C0628FE1813262A
+:1003E00021B9C720B90A570118C78901FEC81A15D3
+:1003F000E12AEBFE01F0EBFE82F0FEA403FE9C324C
+:1004000015FEE4002FFEB6032A3C16FEC60301418A
+:10041000FE06F0FED603AFA0FE0AF0FEA2070529F5
+:1004200003811E1BFE24051F6301428FFE7002051F
+:10043000EAFE461C377D1DFE671BFEBF57FE775741
+:10044000FE481C7501A6860A57011809001BEC0A14
+:10045000E101187750408D3003811EF81F6301427F
+:100460008FFE700205EAD799D89C2A292FFE4E04E8
+:1004700016FE4A047EFEA000FE9B57FE541232FF79
+:10048000020010010816FE02053201081629272570
+:10049000EEFE4C44FE581250FE44481334FE4C54B9
+:1004A0007BEC608D3001FE4E1EFE4847FE7C130142
+:1004B0000C0628FE32130143099BFE6813FE26102A
+:1004C0001334FE4C547BEC01FE4E1EFE4847FE5496
+:1004D00013010C0628A50143099BFE4013010C06DD
+:1004E00028F91F7F010C06074D1FFE0D0001428FEA
+:1004F000FEA40E05293215FEE6000FFE1C9004FE38
+:100500009C933A0B0E8B021F7F01420535FE425B26
+:100510007D1DFE4659FEBF57FE77570FFE878004AC
+:10052000FE8783FEC9470B0ED065010C060DFE98B1
+:10053000130FFE208004FEA083330B0E091DFE84E2
+:100540001201380607FE701303FEA2001E1BFEDA1E
+:1005500005D0540138060DFE581303FEA0001EFE00
+:1005600050125EFF0200102FFE90052A3CCCFF02C5
+:1005700000102FFE9E0517FEF40515FEE300260170
+:1005800038FE4AF0FEC005FE49F0FEBA05712EFEA7
+:100590002100F12EFE2200A22E4AFE0948FF020091
+:1005A000102FFED00517FEF405FEE208013806FE06
+:1005B0001C004D01A72E0720E447FE2701010C0671
+:1005C00028FE24123E01841F7F010C06074D1FFEEA
+:1005D0000D0001428FFEA40E052903E61EFECA137C
+:1005E00003B61EFE401203661EFE38133E0184173A
+:1005F000FE72060A0701380624FE02124F01FE565B
+:100600001916FE68061582014115E203668A106616
+:10061000039A1EFE701203551EFE681301C60912CE
+:1006200048FE92062E1201FEAC1DFE434862801366
+:1006300058FF02005752AD233F4E62493E018417D6
+:10064000FEEA0601380612F7450A9501FE841916DE
+:10065000FEE0061582014115E203558A10551C077C
+:100660000184FEAE10036F1EFE9E133E0184039AAA
+:100670001EFE1A1201380612FC01C601FEAC1DFE58
+:1006800043486280F0450A9503B61EF801380624F7
+:1006900036FE02F60771788C004D62493E2D934E6E
+:1006A000D00D17FE9A0701FEC01916FE90072620EE
+:1006B0009E1582014115E2219E0907FB03E6FE58C3
+:1006C0005710E605FE2A06036F8A106F1C07018487
+:1006D000FE9C325F7501A68615FEE2002FED2A3CD6
+:1006E000FE0AF0FECE07AEFE9608FE06F0FE9E085D
+:1006F000AFA00529010C060DFE2E12141D010814D1
+:100700000001081400010814000108FE99A4010862
+:10071000140005FEC60901760612FE3A12010C0607
+:1007200012FE301314FE1B0001081400010814000F
+:1007300001081400010814070108140005EF7C4AA1
+:10074000784F0FFE9A8104FE9A83FECB470B0E2D45
+:100750002848FE6C080A28FE096FCAFECA45FE3208
+:100760001253634E7C972FFE7E082A3CFE0AF0FE51
+:100770006C08AFA0AEFE96080529014105ED1424D2
+:1007800005EDFE9CF79F01FEAE1EFE185801FEBE51
+:100790001EFE9958FE7818FEF9188EFE1609106A8A
+:1007A000226B010C615444212C091AF87701FE7E5A
+:1007B0001E472C7A30F0FE83E7FE3F0071FE0340B7
+:1007C000010C61654401C2C8FE1F40206E01FE6A33
+:1007D00016FE0850FE8A50FE4451FEC651FE10100F
+:1007E00001FECE1E01FEDE1E1068226901FEEE1E15
+:1007F00001FEFE1EFE4050FEC250104B224CFE8AEF
+:1008000010010C0654FE501201FEAE1E01FEBE1E6B
+:10081000106A226B010C06654E01C20FFE1F800498
+:10082000FE9F83330B0E206E0FFE449004FEC49394
+:100830003A0BFEC69004FEC693790B0E106C226D27
+:1008400001FECE1E01FEDE1E106822690FFE4090E2
+:1008500004FEC0933A0BFEC29004FEC293790B0EC5
+:10086000104B224C10642234010C6124443713FED7
+:100870004E112FFEDE09FE9EF0FEF209FE01481B1E
+:100880003C3788F5D4FE1E0AD5FE420AD2FE1E0A67
+:10089000D3FE420AAEFE120AFE06F0FE180AAFA010
+:1008A00005290141FEC1101424FEC110017606077E
+:1008B000FE14120176060D5D010C060DFE7412FE8B
+:1008C0002E1C05FE1A0C017606075D0176060D4109
+:1008D000FE2C1CFEAAF0FECE0AFEACF0FE660AFE5E
+:1008E0009210C4F6FEADF0FE720A05FE1A0CC5FEAB
+:1008F000E710FE2BF0BFFE6B1823FE00FEFE1C125D
+:10090000ACFED2F0BFFE7618231D1BBF03E3230706
+:100910001BBFD45BD55BD25BD35BC4C5FEA910758E
+:100920005E321F7F014219FE3500FE01F0701998FA
+:100930000570FE741823FE00F81B5B7D1201FE7823
+:100940000F4D01FE961A2130777D1D055B010C06C7
+:100950000D2BFEE20B010C0654FEA612010C062420
+:10096000FE8813216EC701FE1E1F0FFE838004FE4A
+:100970008383FEC9470B0EFEC844FE42130FFE04DC
+:100980009104FE8493FECA570BFE869104FE869363
+:10099000FECB570B0E7A30FE4059FEC1598E4003F4
+:1009A0006A3B6B10972298D96ADA6B01C2C87A3019
+:1009B000206EDB64DC34916C7E6DFE4455FEE555A3
+:1009C000FE04FA64FE05FA3401FE6A16A3261097A7
+:1009D0001098916C7E6DFE1410010C06241B409142
+:1009E0004B7E4C010C06FEF7004403683B69FE1089
+:1009F00058FE9158FE1459FE9559055B010C0624CA
+:100A00001B40010C06FEF700447801FE8E1E4F0FBE
+:100A1000FE109004FE90933A0BFE929004FE929387
+:100A2000790B0EFEBD10014309BB1BFE6E0A15BB00
+:100A3000010C060DFE1413034B3B4C8EFE6E0AFE9A
+:100A40000C58FE8D58055B263E0FFE198004FE995A
+:100A500083330B0EFEE510010C060DFE1A12FE6C20
+:100A600019FE1941FE6B18ACFED1F0EF1F92014246
+:100A700019FE4400FE9010FE6C19D94BFEED19DAF8
+:100A80004CFE0C51FE8E51FE6B1823FE00FF31FE12
+:100A90007610ACFED2F0FEBA0CFE7618231D5D0374
+:100AA000E32307FE081319FE16000570FED1F0FEC1
+:100AB000CC0C1F92014219FE17005CFECEF0FED254
+:100AC0000CFE3E10FECDF0FEDE0C19FE220005707D
+:100AD000FECBF0FEEA0C19FE24000570FED0F0FEFD
+:100AE000F40C1994FE1C10FECFF0FEFE0C194AF314
+:100AF000FECCF0EF017606244D19FE12003713FEEE
+:100B00004E112FFE160DFE9EF0FE2A0DFE01481B13
+:100B10003C3788F5D429D529D229D32937FE9C32F0
+:100B20002FFE3E0D2A3CAEFE620DAFA0D49FD59F96
+:100B3000D29FD39F05290141FED31015FEE800C4C2
+:100B4000C575D799D89CFE89F0292725BED799D895
+:100B50009C2FFE8C0D16292725BDFE0148A419FEE9
+:100B6000420005709007FE81491BFE640E010C06D1
+:100B70000DFE441319002D0DFE54122DFE28002BDE
+:100B8000FEDA0E0A57011809003646FE2800FEFA62
+:100B90001001FEF41C01FE001D0ABA01FE581040AF
+:100BA00015560185053519FE44002D0DF7460DFE3D
+:100BB000CC1001A7460DFEC21001A70FFE1982043A
+:100BC000FE9983FECC470B0EFE3446A5460D19FE5A
+:100BD0004300FEA210010C610D4401FEF41C01FE55
+:100BE000001D40155601857D0D405101FE9E1E05DC
+:100BF000FE3A03010C060D5D460D1900FE62100160
+:100C0000760612FE5C12010C0612FE5213FE1C1C2C
+:100C1000FE9DF0FE8E0EFE1C1CFE9DF0FE940E014D
+:100C20000C611244FE9F1019FE1500FE04E60D4FE4
+:100C3000FE2E1019FE1300FE101019FE4700F119C8
+:100C4000FE4100A219FE240086C4C57503811E2B37
+:100C5000EA4FFE04E612FE9D41FE1C424001F405EF
+:100C600035FE121C1F0D47B5C31FFE310047B801EA
+:100C7000FED41105E951FE06ECE0FE0E474628FEC3
+:100C8000CE453151FE06EAE0FE474B45FE7557035F
+:100C900067FE9856FE38120A5A0118FE4448600151
+:100CA0000C0628FE18130A5701183EFE41580ABACE
+:100CB000FEFA14FE4954B0FE5E0F05FE3A030A67C1
+:100CC000FEE014FE0E474628FECE453151FECE47CB
+:100CD000FEAD130535212C091AFE98122620962008
+:100CE000E7FE081CFE7C19FEFD19FE0A1C03E5FE4A
+:100CF0004855A53BFE6201FEC95531FE741001FE48
+:100D0000F01A03FE38013BFE3A018EFE1E10FE0271
+:100D1000ECE7530036FE04EC2C60FE05F6FE3401D1
+:100D200001FE621B01FECE1EB211FE1813CAFE02A6
+:100D3000EAE75392FEC3131F1247B5C3FE2A1003FE
+:100D4000FE380123FEF0FF10E503FE3A0110FE62BB
+:100D50000101FE1E1E202C155601FE9E1E130702C9
+:100D600026022196C720960992FE79131F1D47B5CA
+:100D7000C3FEE110CFFE03DCFE7357FE805D02CFA1
+:100D8000FE03DCFE5B57FE805D02FE0357CF26FEAE
+:100D900000CC02FE0357CF8902010C064AFE4E1317
+:100DA0000FFE1C8004FE9C83330B0E0907FE3A13D2
+:100DB0000FFE1E8004FE9E83330B0EFE2A130FFED1
+:100DC0001D8004FE9D83FEF9130EFE1C1301FEEE32
+:100DD0001EACFE141301FEFE1EFE8158FA01FE0E2B
+:100DE0001FFE30F40DFE3C50A201FE921B01430990
+:100DF00056FB01FEC81A010C0628A401FEF41C01D2
+:100E0000FE001D15FEE900010C064AFE4E1301FE10
+:100E1000221BFE1E1C0FFE149004FE94933A0BFE40
+:100E2000969004FE9693790B0E10FE640122FE66E6
+:100E300001010C0665F90FFE038004FE8383330B6A
+:100E40000E77FE01EC2CFE8040202C7A3015DF401E
+:100E5000212CFE00408D2C02FE081C03FEAC00FE7F
+:100E6000065803FEAE00FE075803FEB000FE085809
+:100E700003FEB200FE0958FE0A1C2E4920E026108F
+:100E8000661055106F1357524F1C28FE904DFE915F
+:100E9000542BFE8811461A135A521C4AFE904DFEDE
+:100EA00091542BFE9E112E1A202C903460212CFE82
+:100EB00000408D2C15DFFE1456FED6F0FEB211FE5A
+:100EC000121C75FE141CFE101CFE181C0251FE0C98
+:100ED00014FE0E47FE07E628FECE47FEF51302017C
+:100EE000A7903460FE0680FE4847FE4213FE028053
+:100EF0000956FE34130A5A0118CBFE3612FE414839
+:100F0000FE454801FEB216FE00CCCBFEF3133F892E
+:100F1000091AA50A9D0118FE805C0185F2099BA4AF
+:100F2000FE1456FED6F0FEEC1102FE445877FE0188
+:100F3000ECB8FE9E40FE9DE700FE9CE7128D30015E
+:100F4000F4FEDD1037D799D89C2725EE0912FE480C
+:100F500012090DFE5612091DFE301209DD1BFEC4DA
+:100F60001309FE23001BFED01309071BFE341409CE
+:100F700024FE121209001B291FDD0142A1320108C3
+:100F8000AE410232FE62080AE101FE5810159B05CF
+:100F90003532014309BBFED713914B7E4C8EFE8048
+:100FA00013010C0654FE7212DB64DC34FE4455FE61
+:100FB000E555B0FE4A13216EFE261303973B988E2B
+:100FC000FEB60E106A226B261097109801C22E49A9
+:100FD00088206E01FE6A16DB64DC34FE0455FEA533
+:100FE00055FE04FA64FE05FA34FE8F10036C3B6D67
+:100FF000FE4056FEE156106C226D71DB64DC34FE5F
+:101000004455FEE55503683B69FE0056FEA15610A7
+:10101000682269010C0654F9216EFE1F40036A3BE9
+:101020006BFE2C50FEAE50036C3B6DFE4450FEC672
+:101030005003683B69FE0850FE8A50034B3B4CFE50
+:101040004050FEC25005732E07209E0572320108E3
+:10105000163D2725EE09072B3D014309BB2B7201E5
+:10106000A6233F1B3D010C060DFE1E13914B7E4C2B
+:10107000FE0A5531FE8B55D94BDA4CFE0C51FE8ED3
+:1010800051057201FE8E1ECAFE1941057232010819
+:101090002A3C16C02725BE2D1DC02D0D832D7F1B7C
+:1010A000FE6615053D01082A3C16C02725BD091D11
+:1010B0002B3D010816C02725FEE809FEC249500352
+:1010C000B61E830138062431A1FEBB452D00A4467F
+:1010D00007903F01FEF81501A686FE4B45FE201342
+:1010E00001430982FE1613039A1E5D03551E315EED
+:1010F0000572FEC05D01A7FE031703668A10665ED7
+:10110000320108177301FE5619057301082A3C16AF
+:101110003D2725BD09072B3D01FEBE16FE4258FEA8
+:10112000E81401A686FE4AF40D1B3DFE4AF407FEB4
+:101130000E12014309824E057203558A10555E3224
+:101140000108177301FE8419057301082A3C163D36
+:101150002725BD09122B3D01FEE8178BFEAA14FEC0
+:10116000B61486A8B20D1B3DB207FE0E120143094C
+:10117000824E0572036F8A106F5E32010817730189
+:10118000FEC019057313072FFECC1517FEE2155F7D
+:10119000CC0108265F028FFEDE152AFEDE1516FE44
+:1011A000CC155E320108FED5101358FF02005752CD
+:1011B000AD23FEFF7FFE3056FE005C021358FF0297
+:1011C000005752AD233FFE3056FE005C021358FF1D
+:1011D00002005752AD021358FF02005752FE005E44
+:1011E000021358FF02005752ADFE0B58020A660167
+:1011F0005C0A55015C0A6F015C0201FE1E1F231A86
+:10120000FF030054FE00F424520FFE007C04FE078E
+:101210007C3A0B0EFE0071FEF918FE7A19FEFB19DE
+:10122000FE1AF700FE1BF7007A3010682269D96CAD
+:10123000DA6D02FE6208FE824AFEE11AFE835A77E8
+:101240000201C6FE42484F5045010816FEE017272E
+:1012500025BE010816FEE0172725FEE80AFEC15943
+:10126000039A1EFEDA1201380612FED0132653121C
+:1012700048FE0817D1125312FE1E132DB47BFE2612
+:10128000174D13071CB49004FE7810FF028355F12C
+:10129000FF028355531DFE1213D6FE3000B0FE80B0
+:1012A000171C631307FE5610530DFE1613D6FE646B
+:1012B00000B0FE80170AFE64001C941307FE28107D
+:1012C0005307FE6013D6FEC800B0FE80170AFEC8A2
+:1012D000001C95130771D6FE900148FE8C1745F34C
+:1012E000FE43F496FE56F0FE9E17FE04F458FE43AD
+:1012F000F494F68B01FE2416233FFCA88C4948FE8B
+:10130000DA176249FE1C10A88C8048FEDA1762804A
+:10131000715026FE4DF400F7451307FEB456FEC388
+:10132000580250130D02503E784F45010816A92768
+:1013300025BEFE03EAFE7E01010816A92725FEE967
+:101340000A010816A92725FEE90AFE05EAFE7F0123
+:10135000010816A92725FE6909FE02EAFE8001019F
+:101360000816A92725FEE80847FE810103B61E835B
+:101370000138062431A278F2530736FE34F43FA137
+:1013800078039A1E830138061231F04F45FE901003
+:10139000FE405A233FFB8C4948FEAA186249718CD3
+:1013A0008048FEAA186280FEB456FE405D01C60168
+:1013B000FEAC1DFE0217FEC845FE5AF0FEC018FE28
+:1013C00043482D9336FE34F4FE0011FE40102DB438
+:1013D00036FE34F404FE34102DFE0B00364663FE58
+:1013E0002810FEC049FF020054B2FE900148FEFAE8
+:1013F0001845FE1CF43FF3FE40F496FE56F0FE0C3A
+:1014000019FE04F458FE40F494F63E2D934ED00D90
+:1014100021FE7F01FEC846FE24138C005D2621FEBE
+:101420007E01FEC845FE141321FE8001FE4845FAE8
+:1014300021FE8101FEC8444E260213070278455062
+:10144000130D021407010817FE8219140D01081765
+:10145000FE8219141D010817FE82195FFE894901D9
+:1014600008021407010817C1141D010817C1140749
+:10147000010817C1FE8949010817C15FFE894A01A9
+:1014800008025002140701081774147F010817742A
+:10149000141201081774FE89490108177414000119
+:1014A000081774FE894A01081774FE0949010817D4
+:1014B000745FCC01080221E40907FE4C13C820E444
+:1014C000FE49F4004D5FA15EFE01ECFE2701CCFF5A
+:1014D0000200102FFE3E1A014309FEE300FE221314
+:1014E00016FE641A26209E0141219E09075D010C0B
+:1014F000610744020A5A0118FE0040AA091AFE12A6
+:10150000130A9D0118AA0A6701A3020A9D0118AADD
+:10151000FE80E71A091A5DFE455801FEB216AA02BE
+:101520000A5A0118AA0A6701A3020A5A011801FE01
+:101530007E1EFE804CFE49E41AFE12130A9D01181D
+:10154000FE804C0A67015C021C1A877CE5FE18DFEE
+:10155000FE19DEFE241CFE1DF728B1FE041B01FE51
+:101560002A1CFAB3287CFE2C01FE2F1902C92BFE7F
+:10157000F41AFEFA101C1A8703FE6401FE00F4241C
+:10158000FE185803FE6601FE1958B32401FE0E1F13
+:10159000FE30F407FE3C507CFE3800FE0F79FE1C46
+:1015A000F724B1FE501BFED4143102C92BFE261BBA
+:1015B000FEBA101C1A87FE835AFE18DFFE19DEFEE3
+:1015C0001DF754B1FE721BFEB214FCB3547C12FE24
+:1015D000AF19FE98E70002C92BFE661BFE8A101C9D
+:1015E0001A878B0FFE309004FEB0933A0BFE18580A
+:1015F000FE329004FEB2933A0BFE19580EA8B34A7D
+:101600007C12FE0F79FE1CF74AB1FEC61BFE5E146B
+:101610003102C92BFE961B5CFE02F61A87FE18FEED
+:101620006AFE19FE6B01FE1E1FFE1DF765B1FEEE80
+:101630001BFE3614FE1C13B3653EFE8358FEAF1925
+:10164000FE80E71AFE81E71A15FEDD007A30027A85
+:1016500030FE12452BFEDC1B1F0747B5C30535FEC8
+:1016600039F0752602FE7E18231D361311028703FA
+:10167000E32307FEEF12FEE110903460FE028009C2
+:1016800056FE3C13FE8214FE421351FE06830A5A94
+:101690000118CBFE3E12FE4148FE454801FEB2163F
+:1016A000FE00CCCBFEF3133F89091AA50A9D011851
+:1016B000FE804C0185FE1610099B4EFE4014FE2450
+:1016C00012FE1456FED6F0FE521C1C0D02FE9CE7C4
+:1016D0000D19FE1500408D3001F41C070251FE0665
+:1016E00083FE1880612844155601851C0702FE38C8
+:1016F00090FEBA9091DE7EDFFE485531FEC955025C
+:1017000021B98820B9020ABA0118FE41480A5701D6
+:1017100018FE49441BFE1E1D8889020A5A01180939
+:101720001AA40A6701A30A570118888902FE4EE429
+:101730001D7BFE521D03FE9000FE3A45FE2C10FE5E
+:101740004EE4DD7BFE641D03FE9200D112FE1A10F2
+:10175000FE4EE4FE0B007BFE761D03FE9400D124BA
+:10176000FE081003FE9600D163FE4E4583CAFF04B7
+:101770006854FEF1102349FE081CFE6719FE0A1C7E
+:10178000FE1AF4FE000483B21D48FEAA1D131D02BA
+:101790000992FE5AF0FEBA1D2E93FE34100912FE75
+:1017A0005AF0FEC81D2EB4FE2610091D362E63FE0B
+:1017B0001A10090D362E94F20907362E95A1C8028B
+:1017C0001F930142FE04FE99039C8B022AFE1C1EFD
+:1017D000FE14F0082FFE0C1E2AFE1C1E8FFE1C1E7F
+:1017E000FE82F0FE101E020F3F04FE8083330B0EBC
+:1017F000020FFE188004FE9883330B0E020FFE02C8
+:101800008004FE8283330B0E020FFE068004FE86E8
+:1018100083330B0E020FFE1B8004FE9B83330B0EE3
+:10182000020FFE048004FE8483330B0E020FFE8041
+:101830008004FE8083FEC9470B0E020FFE1981044F
+:10184000FE9983FECA470B0E020FFE068304FE8636
+:1018500083FECE470B0E020FFE2C9004FEAC933A93
+:101860000B0E020FFEAE9004FEAE93790B0E020F2C
+:10187000FE089004FE88933A0B0E020FFE8A900435
+:10188000FE8A93790B0E020FFE0C9004FE8C933AA5
+:101890000B0E020FFE8E9004FE8E93790B0E020F3C
+:1018A000FE3C9004FEBC933A0B0E028B0FFE0380AD
+:0E18B00004FE8383330B770EA802FF66000050
+:00000001FF
+/* Microcode buffer is kept after initialization for error recovery. */
diff --git a/firmware/advansys/mcode.bin.ihex b/firmware/advansys/mcode.bin.ihex
new file mode 100644
index 0000000..cd160d9
--- /dev/null
+++ b/firmware/advansys/mcode.bin.ihex
@@ -0,0 +1,147 @@
+:100000003F452C01010301190F0000000000000012
+:10001000000000000F0F0F0F0F0F0F0F0000000068
+:1000200000000000000000000000000000000000D0
+:1000300000000000000000000000000000000000C0
+:100040000000000000000000C3120D0501000000C8
+:1000500000FF000000000000FF80FFFF0100000023
+:10006000000000000000002300000000000700FF67
+:1000700000000000FFFFFF00000000000000E48817
+:100080000000000080734804360000A2C2008073A4
+:1000900003233640B600360005D60CD212DA00A291
+:1000A000C20092801E985000F5004898DF23366009
+:1000B000B60092804F00F5004898EF233660B600F6
+:1000C000928080629280004615EE13EA020109D800
+:1000D000CD044D0000A3D600A6977F2304618401C0
+:1000E000E684D2C18073CD044D0000A3DA01A69747
+:1000F000C681C28880738077000101A1FE004F0095
+:10010000849707A6080100330300C288030301DEB9
+:10011000C288CE006960CE0002034A6000A2780166
+:10012000806307A62401788103038063E20007A6A9
+:10013000340100330400C2880307020104CA0D23FE
+:1001400068984D04048505D80D236898CD041523BF
+:10015000F888FB23026182018063020306A3620127
+:1001600000330A00C2884E0007A36E0100330B0063
+:10017000C288CD04362D00331A00C288500488810D
+:1001800006AB820188814E0007A39201500000A3B4
+:100190003C0100057C814697020105C60423A001AD
+:1001A0001523A101BE81FD23026182010ADA4A0002
+:1001B000066100A0B4018063CD04362D00331B001E
+:1001C000C28806236898CD04E684060100A2D40103
+:1001D000576000A0DA01E6848023A001E6848073E2
+:1001E0004B00066100A2000204010CDE020103CCF8
+:1001F0004F008497FC810823024182014F006297DF
+:1002000048048480F0970046560003C00123E800AC
+:1002100081730629034206E203EE6BEB1123F88893
+:100220000498F0808073807707A42A027C9506A644
+:10023000340203A64C044682040103D8B4986A969B
+:100240004682FE95806783038063B62D02A66C020A
+:1002500007A65A0206A65E0203A66202C2887C9521
+:100260004882609648820423A0011423A1013C84A3
+:1002700004010CDCE0232561EF0014014F04A80108
+:100280006F00A5010323A40106239C01242B1C015C
+:1002900002A6AA0207A65A0206A65E0203A6200428
+:1002A00001A6B40200A6B40200331200C288000EF8
+:1002B0008063004300A08C024D0404010BDCE723A3
+:1002C00004618401103112351401EC006C38003FD8
+:1002D0000000EA821823046118A0E2020401A2C807
+:1002E00000331F00C28808310A350C390E3D7E9854
+:1002F000B62D01A6140300A6140307A60C0306A638
+:10030000100303A6200402A66C0200333300C28847
+:100310007C95EE826096EE82829880427E9864E4BC
+:1003200004012DC83105070100A2540300438701D1
+:10033000050586987E9800A6160307A64C0303A61B
+:100340003C0406A6500301A6160300332500C2880C
+:100350007C95328360963283040110CE07C8050570
+:10036000EB0400330020C020816272830001050588
+:10037000FFA27A03B1010823B2012E8305051501FE
+:1003800000A29A03EC006E0095016C38003F00005B
+:1003900001A6960300A69603108480427E9801A6CB
+:1003A000A40300A6BC031084A898804201A6A4035D
+:1003B00007A6B203D4837C95A88300332F00C2889C
+:1003C000A898804200A6BC0307A6CA03D4837C95E4
+:1003D000C08300332600C288382B80328036042345
+:1003E000A0011223A101108407F006A4F403806B7E
+:1003F000806705238303806303A60E0407A6060413
+:1004000006A60A0400331700C2887C95F483609620
+:10041000F483208407F006A42004806B8067052302
+:1004200083038063B62D03A63C0407A6340406A606
+:10043000380400333000C2887C9520846096208484
+:100440001D0106CC00330084C0200023EA00816235
+:10045000A20D806307A65A0400331800C288030364
+:100460008063A30107A46404230100A286040AA0F8
+:100470007604E00000331D00C2880BA08204E00077
+:1004800000331E00C2884223F888002322A3E6041A
+:10049000082322A3A204282322A3AE04022322A31A
+:1004A000C4044223F8884A00066100A0AE04452334
+:1004B000F888049800A2C004B49800330082C020D9
+:1004C0008162E8814723F88804010BDE0498B49820
+:1004D00000330081C0208162140100A00002432388
+:1004E000F8880423A0014423A10180734D0003A3D5
+:1004F000F40400332700C288040104DC0223A201B3
+:100500000423A001049826954B00F6004F044F00E9
+:1005100000A3220500057600066100A21C050A85DD
+:100520004697CD04248548048480020103DA8023A1
+:10053000820134850223A0014A00066100A2400521
+:100540001D0104D6FF2386414B60CB00FF238001B1
+:1005500049008101040102C830018001F704030150
+:1005600049048001C90000050001FFA0600577046F
+:100570000123EA005D00FEC700620023EA00006379
+:1005800007A4F805030302A08E05F48500332D00AF
+:10059000C28804A0B80580630023DF004A0006611A
+:1005A00000A2A4051D0106D60223024182015000CB
+:1005B00062970485042302418201048508A0BE05D8
+:1005C000F48503A0C405F48501A0CE0588008063EE
+:1005D000CC8607A0EE055F00002BDF0800A2E60531
+:1005E0008067806301A27A067C8506236898482389
+:1005F000F88807238000068780637C850023DF005E
+:1006000000634A00066100A236061D0116D4C0230D
+:1006100007418303806306A61C0600333700C288A7
+:100620001D0101D620236360830380630223DF0062
+:1006300007A67C05EF046F0000634B000641CB006A
+:100640005200066100A24E061D0103CAC0230741E5
+:1006500000631D0104CC00330083C020816280232D
+:1006600007410063806708238303806300630123DD
+:10067000DF0006A6840607A67C058067806300333A
+:100680000040C020816200630000FE958303806308
+:1006900006A6940607A67C05000001A01407002BFF
+:1006A000400E8063010006A6AA0607A67C05400E40
+:1006B0008063004300A0A20606A6BC0607A67C0530
+:1006C0008067400E806307A67C050023DF0000637F
+:1006D00007A6D60600332A00C28803038063890078
+:1006E0000A2B07A6E80600332900C288004300A2AF
+:1006F000F406C00E8063DE86C00E00330080C0208A
+:100700008162040102DA80637C85807B806306A6B7
+:100710008C0600332C00C2880CA22E07FE958303A2
+:10072000806306A62C0707A67C0500333D00C2881F
+:1007300000008067830380630CA0440707A67C0544
+:10074000BF2304618401E6840063F0040101F10029
+:100750000001F20001058001720471008101700442
+:10076000800581050063F004F20072040101F100CC
+:1007700070008101700471008101720080017104B8
+:100780007000800170040063F004F2007204000144
+:10079000F10070008001700471008001720081011D
+:1007A000710470008101700400630023B3018305AC
+:1007B000A301A201A1010123A0010001C80003A11E
+:1007C000C40700330700C28880058105040111C8F1
+:1007D0004800B001B1010823B201050148040043FB
+:1007E00000A2E4070005DA870001C800FF238001AA
+:1007F00005050063F7041A09F6086E040002804339
+:100800007608800277040063F7041A09F6086E047C
+:10081000000200A0140816880043760880027704BE
+:100820000063F3040023F40074008043F400CF401D
+:1008300000A2440874040201F7C9F6D9000101A11D
+:10084000240804982695248873040063F30475042F
+:100850005A88020104D84697049826954A8875005C
+:1008600000A3640800054E8873040063807B8063E6
+:1008700006A6760800333E00C28880678303806343
+:100880000063382B9C88382B928832093105929866
+:100890000505B209006300320036003A003E0063ED
+:1008A00080328036803A803EB43D0063382B40323F
+:1008B0004036403A403E00635A20C94000A0B40888
+:1008C0005D00FEC300638073E6200223E8008273AC
+:1008D000FFFD80731323F8886620C0200423A00145
+:1008E000A123A1018162E28880738077680000A261
+:1008F000800003C2F1C74123F8881123A10104231A
+:04090000A001E684E8
+:00000001FF
+/* Microcode buffer is kept after initialization for error recovery. */
diff --git a/firmware/bnx2/bnx2-mips-06-4.6.16.fw.ihex b/firmware/bnx2/bnx2-mips-06-4.6.16.fw.ihex
new file mode 100644
index 0000000..0df10b5
--- /dev/null
+++ b/firmware/bnx2/bnx2-mips-06-4.6.16.fw.ihex
@@ -0,0 +1,5805 @@
+:10000000080000F80800000000004DA4000000C82F
+:1000100000000000000000000000000008004DA4E7
+:100020000000001400004E6C080000800800000072
+:10003000000056CC00004E800800582000000084CC
+:100040000000A54C080056CC000001340000A5D0EB
+:10005000080031D808000000000070DC0000A70490
+:10006000000000000000000000000000080070DC3C
+:1000700000000024000117E00800048808000400C4
+:100080000000175C000118040000000000000000E0
+:100090000000000000000000000000000000000060
+:1000A000080000980800000000003A7400012F606A
+:1000B0000000000000000000000000000000000040
+:0800C000000000000000000038
+:0800C8000A00003E00000000E8
+:1000D000000000000000000D636F6D342E362E31DD
+:1000E00036000000040610020000000000000003BB
+:1000F00000000014000000320000000300000000B7
+:1001000000000000000000000000000000000000EF
+:1001100000000010000001360000EA60000000014D
+:1001200000000000000000000000000000000008C7
+:1001300000000000000000000000000000000000BF
+:1001400000000000000000000000000000000000AF
+:10015000000000000000000000000000000000009F
+:10016000000000020000000000000000000000008D
+:10017000000000000000000000000000000000007F
+:10018000000000000000000000000010000000005F
+:10019000000000000000000000000000000000005F
+:1001A000000000000000000000000000000000004F
+:1001B000000000000000000000000000000000003F
+:1001C0000000000010000003000000000000000D0F
+:1001D0000000000D3C02080024424DE03C030800F2
+:1001E00024634ED4AC4000000043202B1480FFFD5C
+:1001F000244200043C1D080037BD7FFC03A0F02111
+:100200003C100800261000F83C1C0800279C4DE01C
+:100210000E0002AA000000000000000D3C03601068
+:100220008C6450002402FF7F3C1A8000008220244E
+:100230003484380C24020037AC645000AF4200080C
+:1002400024020C80AF4200243C1B80083C060800BE
+:1002500024C6079C3C02080024424E182404001BBC
+:100260002484FFFFAC4600000481FFFD244200040B
+:100270003C020800244203803C010800AC224E20CE
+:100280003C020800244206803C010800AC224E24B7
+:100290003C02080024420D803C010800AC224E6064
+:1002A0003C02080024420A103C03080024630908A9
+:1002B0003C040800248409443C05080024A53C248F
+:1002C0003C010800AC224E683C0208002442057044
+:1002D0003C010800AC264E643C010800AC254E747D
+:1002E0003C010800AC234E7C3C010800AC224E804F
+:1002F0003C010800AC244E843C010800AC234E1C99
+:100300003C010800AC204E283C010800AC204E2CDB
+:100310003C010800AC204E303C010800AC204E34BB
+:100320003C010800AC204E383C010800AC204E3C9B
+:100330003C010800AC204E403C010800AC244E4477
+:100340003C010800AC204E483C010800AC204E4C5B
+:100350003C010800AC204E503C010800AC204E543B
+:100360003C010800AC204E583C010800AC264E5C15
+:100370003C010800AC204E6C3C010800AC254E70DE
+:100380003C010800AC234E780A0006F4000000008F
+:100390003C0308008C6300208F8200081043000398
+:1003A000000000000A00052CAF83000803E00008ED
+:1003B0000000000027BDFFE8AFB00010AFBF001481
+:1003C00027500100920200091040001A2403000186
+:1003D0003C0208008C42002010400016000018214A
+:1003E0000E00056400000000960300083C060800AB
+:1003F00094C64E968E0400188F8200209605000C3D
+:1004000000031C0000661825AC440000AC45000445
+:1004100024040001AC400008AC40000CAC400010CB
+:10042000AC400014AC4000180E000589AC43001C21
+:10043000000018218FBF00148FB000100060102141
+:1004400003E0000827BD001827BDFFE8AFBF00107C
+:100450009742010830437000240220001062000A15
+:1004600028642001548000128FBF00102402400035
+:1004700010620008240260001062000A8FBF0010A2
+:100480000A0000FB000010218FBF00100A0000BB13
+:1004900027BD00180E0003F6000000000A0000FA55
+:1004A0008FBF00100E000FB6000000008FBF0010BD
+:1004B0000000102103E0000827BD00183C020800DE
+:1004C0008C42002027BDFFE810400027AFBF00107E
+:1004D0000E00056400000000974201089743010CDC
+:1004E0008F8500203042003E3063FFFF0002140081
+:1004F00000431025ACA200008F4201003C0608001A
+:1005000094C64E968FBF0010ACA20004974301160C
+:100510009744010E3C02200000031C003084FFFFC2
+:1005200000641825ACA3000800C2302597420110D2
+:100530009743011224040001000214003063FFFFFE
+:1005400000431025ACA2000C9742011427BD0018EF
+:100550003042FFFFACA20010ACA00014ACA0001809
+:100560000A000589ACA6001C8FBF001003E000083C
+:1005700027BD00183C0208008C42002027BDFFE880
+:100580001040002AAFBF00100E00056400000000FC
+:10059000974201089743010C8F8500203042003EAE
+:1005A0003063FFFF0002140000431025ACA20000DE
+:1005B0008F4201003C06080094C64E968FBF001083
+:1005C000ACA20004974301169744010E3C022000A0
+:1005D00000031C003084FFFF00641825ACA3000852
+:1005E00000C23025974201109743011224040001F4
+:1005F000000214003063FFFF00431025ACA2000C82
+:100600009742011427BD00183042FFFFACA2001032
+:100610008F420118ACA200149342010B304200FF3C
+:10062000ACA200180A000589ACA6001C8FBF001000
+:1006300003E0000827BD001827BDFFE0AFB00010A1
+:10064000AFBF0018AFB10014275001009203000B98
+:100650002402001A961100081462003500002021BF
+:100660003222000110400009000000008E0200004C
+:1006700096030014000211C200021040005A10211B
+:10068000A44300800A000179322200020E00012BEF
+:10069000000000003C0208008C420040244200019F
+:1006A0003C010800AC220040322200020002202B54
+:1006B0003C0208008C420044322300042442000122
+:1006C0003C010800AC2200441060001A8FBF0018E3
+:1006D0008F4202B804410008240400013C020800D3
+:1006E0008C420060244200013C010800AC22006002
+:1006F0000A00019C8FB100148E02002096030016A0
+:1007000000002021AF4202808E020004A743028431
+:10071000AF4202883C021000AF4202B83C0208001F
+:100720008C42005C244200013C010800AC22005CC9
+:100730008FBF00188FB100148FB0001000801021FF
+:1007400003E0000827BD002027BDFFE0AFB0001088
+:10075000AFBF0018AFB10014275001009203000B87
+:1007600024020003961100081462003500002021C5
+:100770003222000110400009000000008E0200003B
+:1007800096030014000211C200021040005A10210A
+:10079000A44300800A0001BD322200020E0000FDC9
+:1007A000000000003C0208008C420040244200018E
+:1007B0003C010800AC220040322200020002202B43
+:1007C0003C0208008C420044322300042442000111
+:1007D0003C010800AC2200441060001A8FBF0018D2
+:1007E0008F4202B804410008240400013C020800C2
+:1007F0008C420060244200013C010800AC220060F1
+:100800000A0001E08FB100148E020020960300164A
+:1008100000002021AF4202808E020004A743028420
+:10082000AF4202883C021000AF4202B83C0208000E
+:100830008C42005C244200013C010800AC22005CB8
+:100840008FBF00188FB100148FB0001000801021EE
+:1008500003E0000827BD00209362000003E00008C9
+:10086000AF80000403E000080000102127BDFFE86E
+:10087000AFBF0014AFB000108F420100AF420020A4
+:100880008F420104AF4200A89350010B0E0001E417
+:10089000321000FF3C02080024424E18001018805D
+:1008A0002E10001C16000004006210210E0001E74B
+:1008B0000A0001FF000000008C4200000040F8091F
+:1008C00000000000104000053C0240008F4301047E
+:1008D0003C026020AC4300143C024000AF420138AF
+:1008E0003C0208008C4200348FBF00148FB000100F
+:1008F000244200013C010800AC22003403E000085F
+:1009000027BD001827BDFFE8AFBF00108F42014090
+:100910000E0001E4AF4200200E0003800000000042
+:100920003C024000AF4201783C03080024630038D9
+:100930008C6200008FBF001027BD00182442000108
+:1009400003E00008AC62000027BDFFE8AFBF001065
+:100950008F4201800E0001E4AF4200208F430180EE
+:1009600024020F0014620005000000008F4201887D
+:10097000A742009C0A0002393C024000936200003A
+:1009800024030050304200FF144300083C024000A2
+:100990000E00036400000000144000043C0240000C
+:1009A0000E000E42000000003C024000AF4201B8C1
+:1009B0003C0208008C42003C8FBF00102442000122
+:1009C0003C010800AC22003C03E0000827BD0018F1
+:1009D00003E00008000010218F4301048F82001003
+:1009E00010430008000000003C0208008C42008414
+:1009F000244200013C010800AC2200848F42010423
+:100A0000AF82001003E000080000000027BDFFE8EF
+:100A1000AFBF001027440100948200083043000259
+:100A2000304200041040001B000000008F4202B85A
+:100A300004410008240500013C0208008C420060CB
+:100A4000244200013C010800AC2200600A0002813F
+:100A50008FBF00108C820020948300160000282194
+:100A6000AF4202808C820004A7430284AF42028816
+:100A70003C021000AF4202B83C0208008C42005C0D
+:100A8000244200013C010800AC22005C0A00028103
+:100A90008FBF001010600008240500013C02080010
+:100AA0008C420084244200013C010800AC220084F6
+:100AB0000A0002818FBF00108F4401000E00024423
+:100AC00000000000000028218FBF001000A01021AE
+:100AD00003E0000827BD00183C0208008C42008893
+:100AE000274301009465000C244200013C010800EA
+:100AF000AC2200888C64001803451021904540000A
+:100B0000AF4400388C62001C2403FFF800052E005F
+:100B10000043102434420004AF42003C3C02000574
+:100B2000AF420030000000000000000000000000A4
+:100B3000AF450404000000000000000000000000B9
+:100B40003C02000634420001AF42003000000000C9
+:100B500000000000000000008F4200003042001042
+:100B60001040FFFD0000102103E00008000000001D
+:100B700027BDFFE0AFBF0018AFB100140E00005555
+:100B8000AFB000103C028000344200708C42000084
+:100B9000AF8200140E0000B2000000003C02800092
+:100BA000344600703C0308008C6300A03C0208003F
+:100BB0008C4200A4104300048F8400143C01080000
+:100BC000AC2300A4A743009E8CCA00003C0308008D
+:100BD0008C6300BC3C0208008C4200B80144202316
+:100BE00000641821000040210064202B00481021DF
+:100BF000004410213C010800AC2300BC3C0108006B
+:100C0000AC2200B88F510000322200071040FFE1F3
+:100C1000AF8A00148CC600003C0508008CA500BCFF
+:100C20003C0408008C8400B800CA302300A62821A8
+:100C30000000102100A6302B0082202100862021F8
+:100C4000322700013C010800AC2500BC3C01080033
+:100C5000AC2400B810E00021322200028F420100D3
+:100C6000AF4200208F420104AF4200A89342010B23
+:100C70000E0001E4305000FF2E02001C544000041E
+:100C8000001010800E0001E70A0002F800000000CA
+:100C90003C03080024634E18004310218C420000DE
+:100CA0000040F80900000000104000053C02400030
+:100CB0008F4301043C026020AC4300143C0240001E
+:100CC000AF4201383C0208008C420034244200014B
+:100CD0003C010800AC220034322200021040000E19
+:100CE000322200048F4201400E0001E4AF42002096
+:100CF0000E000380000000003C024000AF4201787B
+:100D00003C0208008C420038244200013C010800EB
+:100D1000AC220038322200041040FF9B3C028000CD
+:100D20008F4201800E0001E4AF4200208F4301801A
+:100D300024020F0014620005000000008F420188A9
+:100D4000A742009C0A00032D3C0240009362000071
+:100D500024030050304200FF144300083C024000CE
+:100D60000E00036400000000544000043C024000F8
+:100D70000E000E42000000003C024000AF4201B8ED
+:100D80003C0208008C42003C244200013C01080067
+:100D9000AC22003C0A0002B03C0280003C02900001
+:100DA0003442000100822025AF4400208F42002001
+:100DB0000440FFFE0000000003E000080000000007
+:100DC0003C028000344200010082202503E000083C
+:100DD000AF44002027BDFFE0AFB10014AFB000105A
+:100DE00000808821AFBF00180E00033530B000FF2F
+:100DF0009362007D0220202102028025A370007DE5
+:100E00008F7000743C0280000E00033E02028024BA
+:100E1000160000098FBF00188F4201F80440FFFE42
+:100E200024020002AF5101C0A34201C43C021000E1
+:100E3000AF4201F88FBF00188FB100148FB00010BF
+:100E400003E0000827BD002027BDFFD000001021CF
+:100E500003E0000827BD003027BDFFE8AFBF00104A
+:100E6000974201843042020010400005000020211A
+:100E70000E001079000000000A000378240400012D
+:100E80008F420188044000098FBF00108F42018803
+:100E90003C03FF00004310243C0304001443000300
+:100EA000240400019362003E8FBF001000801021D7
+:100EB00003E0000827BD0018A36000228F44014012
+:100EC0000A0003432405000127BDFFE8AFBF00145B
+:100ED000AFB000109362000024030020304200FFF6
+:100EE0001043000B00000000936200002403003058
+:100EF000304200FF10430006000000009362000033
+:100F000024030050304200FF144300628FBF0014DE
+:100F100093420148304200FF2443FFFF2C6200054A
+:100F20001040005C8FBF0014000310803C030800D9
+:100F300024634DA4004310218C42000000400008AF
+:100F4000000000000E0003358F4401408F70000C3C
+:100F50008F4201441602000224020001AF62000C1D
+:100F60000E00033E8F4401408F42014414500004A0
+:100F70008FBF00148FB000100A000FED27BD0018BE
+:100F80008F62000C0A0003EC000000009762001062
+:100F90008F4301443042FFFF14620009000000004B
+:100FA00024020001A76200108F420140AF420200FC
+:100FB0003C021000AF4202380A0003F38FBF001456
+:100FC000976200100A0003EC000000000E000335D9
+:100FD0008F440140976200128F4301443050FFFF5D
+:100FE0001603000224020001A76200120E00033E55
+:100FF0008F4401408F420144160200048FBF001449
+:101000008FB000100A00037C27BD00189762001201
+:101010000A0003EC00000000976200148F430144B3
+:101020003042FFFF146200068FBF0014240200014B
+:101030008FB00010A76200140A00131927BD001812
+:10104000976200140A0003EC00000000976200168B
+:101050008F4301443042FFFF146200062402000166
+:101060008FBF00148FB00010A76200160A000BE0BB
+:1010700027BD001897620016144000068FBF0014A9
+:101080003C0208008C420070244200013C01080030
+:10109000AC2200708FB0001003E0000827BD0018DC
+:1010A00027BDFFE8AFBF0014AFB0001093430109A4
+:1010B0002402001F8F500100106200A5286200204A
+:1010C00010400018240200382862000A1040000C6A
+:1010D0002402000B286200081040002C00000000D1
+:1010E000046000E728620002144000282402000681
+:1010F000106200268FBF00140A0004F08FB00010A9
+:101100001062005E2862000B144000DE8FBF0014E6
+:101110002402000E106200738FB000100A0004F069
+:1011200000000000106200C2286200391040000A6E
+:101130002402008024020036106200CC28620037AE
+:10114000104000B624020035106200C38FBF0014A7
+:101150000A0004F08FB000101062002B286200819A
+:1011600010400006240200C824020039106200B6B4
+:101170008FBF00140A0004F08FB0001010620099B5
+:101180008FBF00140A0004F08FB000103C0208006A
+:101190008C420020104000BB8FBF00140E0005647D
+:1011A000000000008F4201008F8300209745010C52
+:1011B00097460108AC6200008F4201043C0408001D
+:1011C00094844E9600052C00AC6200048F420118F6
+:1011D0000006340000C43025AC6200088F42011CB8
+:1011E00024040001AC62000C9342010A00A22825ED
+:1011F000AC650010AC600014AC600018AC66001C5C
+:101200000A0004C48FBF00143C0208008C42002076
+:101210001040009C8FBF00140E0005640000000009
+:10122000974401083C03080094634E969745010CCF
+:10123000000422029746010E8F820020000426003F
+:101240000083202500052C003C03008000A62825F3
+:1012500000832025AC400000AC400004AC400008F6
+:10126000AC40000CAC450010AC400014AC40001881
+:10127000AC44001C0A0004C3240400019742010C82
+:101280001440001500000000936200053042001079
+:1012900014400011000000000E0003350200202160
+:1012A0009362000502002021344200100E00033E2C
+:1012B000A36200059362000024030020304200FF77
+:1012C0001043006F020020218FBF00148FB0001068
+:1012D0000A00108F27BD00180000000D0A0004EF5F
+:1012E0008FBF00143C0208008C42002010400065B3
+:1012F0008FBF00140E000564000000008F4201043F
+:101300008F8300209744010C3C05080094A54E965D
+:10131000AC6200009762002C000424003042FFFF02
+:10132000008220253C02400E00A22825AC64000467
+:10133000AC600008AC60000CAC600010AC60001445
+:10134000AC600018AC65001C0A0004C32404000152
+:101350000E00033502002021A76000080E00033EA6
+:1013600002002021020020210E0003432405000179
+:101370003C0208008C420020104000428FBF001445
+:101380000E000564000000009742010C8F830020CE
+:101390003C05080094A54E9600021400AC700000B5
+:1013A000AC620004AC6000088F64004C3C02401F3B
+:1013B00000A22825AC64000C8F62005024040001B8
+:1013C000AC6200108F620054AC620014AC60001874
+:1013D000AC65001C8FBF00148FB000100A00058997
+:1013E00027BD00189362000024030020304200FF54
+:1013F000104300248FBF00140E000FD702002021DD
+:10140000104000208FBF0014020020218FB0001078
+:10141000000028210A00034327BD001802002021F4
+:101420008FBF00148FB000100A00065127BD0018AE
+:101430009745010C020020218FBF00148FB00010CF
+:101440000A00067127BD0018020020218FB000108D
+:101450000A00069627BD00189345010D02002021C1
+:101460008FB000100A0006E027BD001802002021FE
+:101470008FBF00148FB000100A0006BC27BD0018F3
+:101480008FBF00148FB0001003E0000827BD0018C4
+:101490008F4202780440FFFE34820080AF42024057
+:1014A00024020002A34202443C02100003E00008B0
+:1014B000AF4202783C04080094844EA23C0208002B
+:1014C0008C424EAC3083FFFF000318C00043102154
+:1014D000AF42003C3C0208008C424EA8AF420038AC
+:1014E0003C02005034420008AF42003000000000CF
+:1014F00000000000000000008F4200003042002089
+:101500001040FFFD000000008F4204003C01080075
+:10151000AC224E988F4204043C010800AC224E9C41
+:101520003C020020AF420030000000003C020800F6
+:1015300094424EA03C03080094634EA43C0508006E
+:1015400094A54EA624840001004310213083FFFFA0
+:101550003C010800A4224EA03C010800A4244EA295
+:1015600014650003000000003C010800A4204EA206
+:1015700003E00008000000003C05000A27BDFFE86A
+:10158000034528213C04080024844E88AFBF001086
+:101590000E0005EE2406000A3C02080094424E8A22
+:1015A0003C03080094634EA63042000F244200031F
+:1015B0000043180424027FFF0043102B1040000258
+:1015C000AF83001C0000000D0E0004FB00000000B3
+:1015D0003C02080094424E928FBF001027BD0018B5
+:1015E00003E00008A74200A23C02000A03421021C7
+:1015F000944300063C02080094424E923C010800CD
+:10160000A4234E8E004310238F83001C000214007D
+:10161000000214030043102B03E0000838420001CD
+:1016200027BDFFE8AFBF00103C02000A03421021B3
+:10163000944200063C010800A4224E8E0E0005488C
+:10164000000000005440FFF93C02000A8FBF001068
+:1016500003E0000827BD001827BDFFE8AFBF00105A
+:101660000E000548000000001040000300000000CC
+:101670000E000556000000003C0208008C424E9807
+:101680008FBF001027430400AF4200383C0208001F
+:101690008C424E9C27BD0018AF830020AF42003C17
+:1016A0003C020005AF42003003E00008AF800018A4
+:1016B0008F8200183C0300060002114000431025F1
+:1016C000AF420030000000000000000000000000F9
+:1016D0008F420000304200101040FFFD27420400FE
+:1016E000AF82002003E00008AF8000183C0608002D
+:1016F0008CC64E9C8F8500188F8300203C0208000A
+:1017000094424E9227BDFFE024A5000124630020EF
+:101710002442000124C70020AFB10014AFB0001074
+:10172000AFBF0018AF850018AF8300203C01080050
+:10173000A4224E92309000FF3C010800AC274E9C42
+:1017400004C100080000882104E000060000000039
+:101750003C0208008C424E98244200013C010800E3
+:10176000AC224E983C02080094424E923C03080082
+:1017700094634EA00010202B004310262C42000141
+:1017800000441025144000048F8300182402001028
+:101790001462000F000000000E00057A2411000101
+:1017A0003C03080094634E923C02080094424EA011
+:1017B00014620003000000000E0004FB00000000A3
+:1017C00016000003000000000E0005640000000089
+:1017D0003C03080094634E963C02080094424E94E9
+:1017E000246300013064FFFF3C010800A4234E96EF
+:1017F00014820003000000003C010800A4204E9663
+:1018000012000006000000003C02080094424E92C4
+:10181000A74200A20A0005DC022010210E000548A4
+:101820000000000010400004022010210E000556A8
+:1018300000000000022010218FBF00188FB100149B
+:101840008FB0001003E0000827BD00203084FFFFA8
+:1018500030A5FFFF000018211080000700000000E5
+:10186000308200011040000200042042006518216F
+:101870000A0005E40005284003E00008006010218C
+:1018800010C0000624C6FFFF8CA2000024A500049F
+:10189000AC8200000A0005EE2484000403E0000886
+:1018A0000000000010A0000824A3FFFFAC86000089
+:1018B00000000000000000002402FFFF2463FFFF7F
+:1018C0001462FFFA2484000403E000080000000012
+:1018D00024020001AF62000CA7620010A762001290
+:1018E000A762001403E00008A76200163082007FA0
+:1018F000034210213C08000E004818213C02080059
+:101900008C42002027BDFFD82407FF80AFB3001C06
+:10191000AFB20018AFB10014AFB00010AFBF0020DD
+:101920000080802130B100FF0087202430D200FFEA
+:101930001040002F00009821AF44002C906200005E
+:1019400024030050304200FF1443000E000000004A
+:101950003C0208008C4200E00202102100471024E3
+:10196000AF42002C3C0208008C4200E00202102131
+:101970003042007F0342102100481021944200D4DD
+:101980003053FFFF0E000564000000003C02080019
+:1019900094424E968F8300200011340000C23025FF
+:1019A00000122C003C02400000C2302534A500018A
+:1019B000AC7000008FBF0020AC6000048FB2001834
+:1019C000AC7300088FB10014AC60000C8FB3001C26
+:1019D000AC6500108FB00010AC600014240400014E
+:1019E000AC60001827BD00280A000589AC66001C01
+:1019F0008FBF00208FB3001C8FB200188FB100146E
+:101A00008FB0001003E0000827BD00289343010FAA
+:101A1000240200101062000E2865001110A00007BB
+:101A200024020012240200082405003A1062000675
+:101A30000000302103E0000800000000240500350C
+:101A40001462FFFC000030210A00060900000000BB
+:101A50008F42007424420FA003E00008AF62000C24
+:101A600027BDFFE8AFBF00100E00034324050001AF
+:101A70008FBF001024020001A762001227BD0018CA
+:101A80002402000103E00008A360002227BDFFE05C
+:101A9000AFB10014AFB00010AFBF001830B1FFFFFE
+:101AA0000E000335008080219362003F2403000470
+:101AB000304200FF1443000C0200202112200008D5
+:101AC0002402000A0E0006020000000093620005D6
+:101AD0002403FFFE00431024A36200052402001229
+:101AE000A362003F020020210E00033EA36000819C
+:101AF00016200003020020210E00066600000000F0
+:101B000002002021322600FF8FBF00188FB1001481
+:101B10008FB00010240500380A00060927BD0020F8
+:101B200027BDFFE0AFBF001CAFB20018AFB100147B
+:101B3000AFB000100E000335008080210E000602B9
+:101B4000000000009362003F24120018305100FF93
+:101B5000123200030200202124020012A362003F7F
+:101B6000936200052403FFFE004310240E00033E91
+:101B7000A362000502002021240500201632000780
+:101B8000000030218FBF001C8FB200188FB10014ED
+:101B90008FB000100A00034327BD00208FBF001C38
+:101BA0008FB200188FB100148FB0001024050039D7
+:101BB0000A00060927BD002027BDFFE8AFB00010CE
+:101BC000AFBF00149742010C24050036008080212D
+:101BD00014400010304600FF0E00033500000000E6
+:101BE00024020012A362003F9362000534420010F9
+:101BF0000E000602A36200050E00033E0200202133
+:101C0000020020210E000343240500200A0006D50F
+:101C1000000000000E000609000000000E00033561
+:101C200002002021936200232403FF9F0200202151
+:101C3000004310248FBF00148FB00010A362002354
+:101C40000A00033E27BD001827BDFFE0AFBF001804
+:101C5000AFB10014AFB0001030B100FF0E0003357B
+:101C600000808021240200120E000602A362003FC1
+:101C70000E00033E0200202102002021022030211C
+:101C80008FBF00188FB100148FB0001024050035ED
+:101C90000A00060927BD0020A380002C03E00008ED
+:101CA000A380002D8F4202780440FFFE8F82003413
+:101CB000AF42024024020002A34202443C02100050
+:101CC00003E00008AF4202783C0360008C625400DD
+:101CD000304200081440FFFD000000008C625408F0
+:101CE000AF82000024020052AC605408AC6454304F
+:101CF000AC6254342402000803E00008AC625400D3
+:101D00003C0260008C425400304200081040000544
+:101D10003C0360008C625400304200081440FFFD18
+:101D2000000000008F8300003C02600003E0000818
+:101D3000AC43540890A30000240200050080402119
+:101D40003063003F0000482114620005000050216C
+:101D500090A2001C94A3001E304900FF306AFFFFD0
+:101D6000AD00000CAD000010AD0000249502001481
+:101D70008D05001C8D0400183042FFFF0049102320
+:101D800000021100000237C30040382100862023E2
+:101D900000A2102B0082202300A72823AD05001CE1
+:101DA000AD040018A5090014A5090020A50A001615
+:101DB00003E00008A50A00228F4201F80440FFFE5C
+:101DC00024020002AF4401C0A34201C43C0210003F
+:101DD00003E00008AF4201F83C0208008C4200B466
+:101DE00027BDFFE8AFBF001424420001AFB00010D0
+:101DF0003C010800AC2200B48F4300243C02001FC9
+:101E000030AA00FF3442FF8030D800FF00628024F7
+:101E10000080F82130EF00FF1158003B01405821AD
+:101E2000240CFF803C19000A3163007F000310C0BE
+:101E300000031940006218213C0208008C4200DCBB
+:101E400025680001310D007F03E2102100431021BD
+:101E50003043007F03431821004C102400794821AF
+:101E6000AF4200248D220024016C1824006C7026DF
+:101E7000AD22000C8D220024310800FFAD2200109D
+:101E800095220014952300208D27001C3042FFFF6F
+:101E90003063FFFF8D26001800431023000211005D
+:101EA000000227C30040282100C4302300E2102B89
+:101EB00000C2302300E53823AD27001CAD260018F2
+:101EC00095220020A522001495220022154B000A1D
+:101ED000A52200168D2300248D220008254600012E
+:101EE000314500801462000430C4007F108F00026E
+:101EF00038AA008000C0502151AF000131C800FF56
+:101F00001518FFC9010058218F8400343082007FEA
+:101F1000034218213C02000A006218212402FF80BB
+:101F200000822024AF440024A06A0079A06A0083C4
+:101F30008C6200508F840034AC6200708C65007439
+:101F40003C027FFF3442FFFF00A228240E00073C22
+:101F5000AC650074AF5000248FBF00148FB0001028
+:101F600003E0000827BD001827BDFFC0AFBE003842
+:101F7000AFB70034AFB5002CAFB20020AFB1001C3A
+:101F8000AFB00018AFBF003CAFB60030AFB4002810
+:101F9000AFB300248F4500248F4600288F43002CC8
+:101FA0003C02001F3442FF800062182400C230242B
+:101FB0000080A821AFA3001400A2F0240E000700A7
+:101FC000AFA600103C0208008C4200E02410FF8005
+:101FD0000360882102A2102100501024AF42002487
+:101FE0003C0208008C4200E002A210213042007F37
+:101FF000034218213C02000A00629021924200D262
+:1020000093630084305700FF306300FF2402000117
+:1020100010620034036020212402000214620036A2
+:10202000000000000E0012E50240282192230083E8
+:10203000922200833063007F3042007F000210C094
+:1020400000031940006218213C0208008C4200DCA9
+:1020500002A210210043382100F01024AF420028D2
+:10206000922500789224008330E2007F03421821F9
+:102070003C02000C14850007006280212402FFFF4F
+:10208000A24200F12402FFFFA64200F20A0007F874
+:102090002402FFFF96020020A24200F196020022D5
+:1020A000A64200F28E020024AE4200F49222008387
+:1020B000A24200F08E4200C8AE4200FC8E4200C434
+:1020C000AE4200F88E220050AE4201008E4200CC9B
+:1020D000AE420104922200853042003F0A000853BC
+:1020E000344200400E00130802402821922200854D
+:1020F0000A0008533042003F936200852403FFDF4B
+:102100003042003FA36200859362008500431024A3
+:10211000A36200859363008393620078307400FFAC
+:10212000304200FF10540036240AFF803C0C000CA3
+:102130003283007F000310C00003194000621821A1
+:102140003C0208008C4200DC268800013109007F37
+:1021500002A210210043382130E2007F03421821FF
+:1021600000EA1024AF420028006C80218E02002477
+:10217000028A1824006A5826AE02000C8E0200243F
+:10218000310800FFAE0200109602001496030020F2
+:102190008E07001C3042FFFF3063FFFF8E060018E1
+:1021A0000043102300021100000227C30040282131
+:1021B00000C4302300E2102B00C2302300E5382396
+:1021C000AE07001CAE06001896020020A6020014FE
+:1021D00096020022A602001692220079304200FFE9
+:1021E000105400070000000051370001316800FF63
+:1021F00092220078304200FF1448FFCD0100A02158
+:1022000092220083A22200798E2200500A0008B395
+:10221000AE220070A22200858E22004C2405FF8091
+:10222000AE42010C9222008534420020A222008599
+:10223000924200D13C0308008C6300DC305400FF64
+:102240003C0208008C4200E400143140001420C01D
+:1022500002A3182100C4202102A210210064382109
+:10226000004610210045182400E52824AF45002829
+:10227000AF43002C3042007F924400D030E3007F17
+:1022800003422821034318213C02000C00628021F4
+:102290003C02000E309600FF00A298211296002A00
+:1022A000000000008E02000C0200202102602821A4
+:1022B00010400025261000280E00071B000000001B
+:1022C0009262000D26830001307400FF3042007FCF
+:1022D000A262000D2404FF801697FFF026730020F1
+:1022E0003C0208008C4200DC0000A02102A2102168
+:1022F00000441024AF4200283C0208008C4200E455
+:102300003C0308008C6300DC02A21021004410246E
+:10231000AF42002C3C0208008C4200E402A31821CA
+:102320003063007F02A210213042007F034220214F
+:10233000034318213C02000C006280213C02000E85
+:102340000A000875008298218E4200D8AE22005003
+:102350008E4200D8AE22007092250083924600D1B2
+:1023600092230083924400D12402FF8000A22824FB
+:102370003063007F308400FF00A628250064182AFF
+:102380001060000230A500FF38A50080A225008360
+:10239000A22500790E00070E000000009222007EA8
+:1023A00002A02021A222007A8E2300743C027FFF2B
+:1023B0003442FFFF006218240E00073CAE23007475
+:1023C0008FA20010AF5E00248FBF003CAF420028F8
+:1023D0008FBE00388FA200148FB700348FB6003044
+:1023E0008FB5002C8FB400288FB300248FB200204B
+:1023F0008FB1001C8FB0001827BD004003E000081B
+:10240000AF42002C90A2000024420001A0A20000D4
+:102410003C0308008C6300F4304200FF1443000FBB
+:1024200000803021A0A000003C0208008C4200E4A3
+:102430008F840034008220213082007F03421821E3
+:102440003C02000C006218212402FF80008220243C
+:10245000ACC3000003E00008AF4400288C820000F9
+:102460002442002003E00008AC82000094C2000077
+:102470003C080800950800CA30E7FFFF00804821AB
+:1024800001021021A4C2000094C200003042FFFFEC
+:1024900000E2102B54400001A4C7000094A20000E9
+:1024A0003C0308008C6300CC24420001A4A200007D
+:1024B00094A200003042FFFF544300078F8600289B
+:1024C0000107102BA4A00000544000010100382196
+:1024D000A4C700008F8600288CC4001CAF44003CB9
+:1024E00094A200008F43003C3042FFFF000210C066
+:1024F00000621821AF43003C8F42003C0082202341
+:1025000018800004000000008CC200180A000914A2
+:10251000244200018CC20018AF4200383C02005037
+:1025200034420010AF420030000000000000000004
+:10253000000000008F420000304200201040FFFDEC
+:10254000000000008F420404AD2200048F4204000A
+:10255000AD2200003C020020AF42003003E0000842
+:102560000000000027BDFFE0AFB20018AFB10014BB
+:10257000AFB00010AFBF001C94C2000000C08021AB
+:102580003C120800965200C624420001A602000038
+:102590009603000094E2000000E03021144300059F
+:1025A0008FB100300E0008E9024038210A000946C8
+:1025B000000000008C8300048C8200042442004050
+:1025C00004610007AC8200048C8200040440000413
+:1025D000000000008C82000024420001AC82000058
+:1025E000960200003042FFFF50520001A60000009A
+:1025F0009622000024420001A62200008F820028BB
+:102600009623000094420016144300048FBF001C60
+:1026100024020001A62200008FBF001C8FB2001808
+:102620008FB100148FB0001003E0000827BD002018
+:102630008F89002827BDFFE0AFBF00188D2200283A
+:102640002748040030E700FFAF4200388D22002CFD
+:10265000AF880030AF42003C3C020005AF42003082
+:10266000000000000000000000000000000000006A
+:1026700000000000000000008C82000C8C82000C26
+:10268000AD0200008C820010AD0200048C820018A4
+:10269000AD0200088C82001CAD02000C8CA200145C
+:1026A000AD0200108C820020AD0200149082000563
+:1026B000304200FF00021200AD0200188CA2001888
+:1026C000AD02001C8CA2000CAD0200208CA20010F8
+:1026D000AD0200248CA2001CAD0200288CA20020B8
+:1026E000AD02002CAD060030AD000034978300260B
+:1026F0003402FFFF14620002006020213404FFFF57
+:1027000010E00011AD040038952300369524003602
+:10271000240200013063FFFF000318C20069182182
+:1027200090650040308400070082100400451025A9
+:10273000A06200408F820028944200563042FFFF82
+:102740000A0009ADAD02003C952300369524003601
+:10275000240200013063FFFF000318C20069182142
+:1027600090650040308400070082100400021027AA
+:1027700000451024A0620040AD00003C00000000B5
+:1027800000000000000000003C020006344200404F
+:10279000AF42003000000000000000000000000018
+:1027A0008F420000304200101040FFFD8F8600284D
+:1027B000AF88003024C2005624C7003C24C400283F
+:1027C00024C5003224C600360E000927AFA200102F
+:1027D0008FBF001803E0000827BD00208F8300246E
+:1027E0003C0508008CA500E88F82003430633FFF71
+:1027F0000003198000451021004310212403FF80AD
+:102800003045007F00431024AF42002803451821C3
+:102810003C02000C0062302190C2000D0000382103
+:1028200034420010A0C2000D8F8900288F8A002436
+:1028300095230036000A1382304800032402000169
+:10284000A4C3000E1102000B290200021040000573
+:10285000240200021100000C240300010A0009F008
+:102860000000182111020006000000000A0009F013
+:10287000000018218CC2002C0A0009F0244300013A
+:102880008CC20014244300018CC200180043102B9A
+:1028900014400003000000000A0009F924070001A9
+:1028A0009522003E24420001A522003E000A138228
+:1028B000304300032C6200021040000900802821F0
+:1028C000146000040000000094C200360A000A09E7
+:1028D0003046FFFF8CC600380A000A090080282114
+:1028E000000030213C04080024844EB00A00095A3C
+:1028F00000000000274901008D22000C95230006EE
+:1029000001202021000216023045003F3063FFFF06
+:102910002402002728A6002810A2000EAF8300245E
+:1029200010C00008240200312402002110A2000976
+:102930002402002510A200079382002D0A000A2716
+:102940000000000010A200059382002D0A000A2753
+:10295000000000000A0009C5000000000A0006F798
+:102960000000000095230006912400058D25000C31
+:102970008D2600108D2700188D28001C8D29002021
+:10298000244200013C010800A4234EB63C0108008B
+:10299000A0244EB53C010800AC254EBC3C0108000B
+:1029A000AC264EC03C010800AC274EC83C010800D4
+:1029B000AC284ECC3C010800AC294ED003E0000806
+:1029C000A382002D8F87002827BDFFC0AFB300343E
+:1029D000AFB20030AFB1002CAFB00028AFBF0038AD
+:1029E0003C0208008C4200D094E3003030B0FFFF7E
+:1029F000005010073045FFFF3063FFFF00C09821F3
+:102A0000A7A200103C110800963100C614A30006CE
+:102A10003092FFFF8CE2002424420030AF42003CA1
+:102A20000A000A608CE2002094E200323042FFFF8C
+:102A300054A2000827A400188CE2002C2442003085
+:102A4000AF42003C8CE20028AF4200380A000A6E18
+:102A50008F84002827A5001027A6002002203821F7
+:102A60000E0008E9A7A000208FA200182442003021
+:102A7000AF4200388FA2001CAF42003C8F84002878
+:102A80003C020005AF42003094820034274304002A
+:102A90003042FFFF0202102B14400007AF830030CA
+:102AA00094820054948300340202102100431023C6
+:102AB0000A000A823043FFFF94830054948200345A
+:102AC0000223182100501023006218233063FFFFF7
+:102AD000948200163042FFFF144300030000000000
+:102AE0000A000A9024030001948200163042FFFF7E
+:102AF0000043102B104000058F8200309482001696
+:102B0000006210233043FFFF8F820030AC5300007F
+:102B1000AC400004AC520008AC43000C3C02000680
+:102B200034420010AF4200300000000000000000FE
+:102B3000000000008F420000304200101040FFFDF6
+:102B4000001018C20064182190650040320400078C
+:102B5000240200018FBF00388FB300348FB20030E1
+:102B60008FB1002C8FB00028008210040045102582
+:102B700027BD004003E00008A062004027BDFFA879
+:102B8000AFB60050AFB5004CAFB40048AFB300448F
+:102B9000AFB1003CAFBF0054AFB20040AFB000389F
+:102BA0008C9000003C0208008C4200E88F860034C4
+:102BB000960300022413FF8000C2302130633FFFE0
+:102BC0000003198000C3382100F3102490B20000E4
+:102BD000AF42002C9203000230E2007F034230211A
+:102BE0003C02000E00C28821306300C02402004075
+:102BF0000080A82100A0B021146200260000A021BE
+:102C00008E3400388E220018144000022402000185
+:102C1000AE2200189202000D304200201440001530
+:102C20008F8200343C0308008C6300DC001238C043
+:102C3000001231400043102100C7302100463821E6
+:102C400030E300073C02008030E6007800C2302507
+:102C50000343182100F31024AF4208002463090045
+:102C6000AF4608108E2200188C6300080043102124
+:102C7000AE2200188E22002C8E2300182442000160
+:102C80000062182B1060003D000000000A000B4499
+:102C900000000000920300022402FFC00043102441
+:102CA000304200FF1440000524020001AE2200184B
+:102CB000962200360A000B2D3054FFFF8E2200149E
+:102CC00024420001AE220018920200000002160009
+:102CD00000021603044100290000000096020002D1
+:102CE00027A4001000802821A7A200169602000247
+:102CF00024070001000030213042FFFFAF82002492
+:102D00000E00095AAFA0001C960300023C04080004
+:102D10008C8400E88F82003430633FFF0003198009
+:102D200000441021004310213043007F3C05000C7B
+:102D30000053102403431821AF42002800651821D6
+:102D40009062000D001221403042007FA062000D11
+:102D50003C0308008C6300E48F82003400431021A0
+:102D60000044382130E2007F034210210045102149
+:102D700000F31824AF430028AEA200009222000DF9
+:102D8000304200101040001302A020218F83002841
+:102D90008EA40000028030219462003E2442FFFF96
+:102DA000A462003E948400029625000E3084FFFF4A
+:102DB0000E000A3F30A5FFFF8F82002894430034A5
+:102DC0009622000E1443000302A0202124020001D9
+:102DD000A382002C02C028210E0008CF00000000B2
+:102DE0008FBF00548FB600508FB5004C8FB4004891
+:102DF0008FB300448FB200408FB1003C8FB00038D9
+:102E000003E0000827BD00588F85002827BDFFD0AC
+:102E1000AFB40028AFB20020AFBF002CAFB3002486
+:102E2000AFB1001CAFB0001890A800D090A600D1A0
+:102E30003C0208008C4200E48F83003430C700FF5E
+:102E4000A3A600100062182100071140006218219B
+:102E50003062007F034220213C02000C00822021CE
+:102E60002402FF8000621824311200FF8CB100D8C8
+:102E7000AFA400148CB300DC0000A021AF430028F5
+:102E800010F2001F240200018FA6001427A40014D2
+:102E900027A500108CC2000402228021027010239A
+:102EA000044000172402000190C3000D2402FF809B
+:102EB00000431024304200FF144000070200882124
+:102EC00090C2000D344200400E0008CFA0C2000D99
+:102ED0000A000B8B93A200100E000AAD241400010F
+:102EE0008F830028AC7000D893A20010A06200D19C
+:102EF00093A200101452FFE58FA6001424020001D3
+:102F0000168200048FBF002C0E0006F700000000A0
+:102F10008FBF002C8FB400288FB300248FB2002005
+:102F20008FB1001C8FB0001803E0000827BD0030EF
+:102F300027BDFFD8AFB3001CAFB20018AFB100146B
+:102F4000AFB00010AFBF00200080982100E08021CA
+:102F500030B1FFFF0E00056430D200FF000000001A
+:102F600000000000000000008F820020AC51000033
+:102F7000AC520004AC530008AC40000CAC40001054
+:102F8000AC400014AC4000183C03080094634E961B
+:102F900002038025AC50001C00000000000000006F
+:102FA00000000000240400018FBF00208FB3001C2C
+:102FB0008FB200188FB100148FB000100A0005897D
+:102FC00027BD002827BDFFE8AFB00010AFBF001439
+:102FD00030A5FFFF30C600FF0080802124020C8056
+:102FE000AF420024000000000000000000000000CC
+:102FF00000000000000000000E000B9A000000001E
+:103000003C040800248400E08C8200002403FF803C
+:103010008FBF00140202102100431024AF4200248D
+:103020008C8200003C03000A020280213210007FE3
+:10303000035010218FB000100043102127BD00184D
+:1030400003E00008AF82002827BDFFE8AFBF0010F3
+:103050008F4401403C0308008C6300E02402FF80A1
+:10306000AF8400340083182100621024AF42002492
+:103070003C02000803424021950500023063007FB6
+:103080003C02000A034318210062182130A5FFFF0B
+:103090003402FFFF000030213C07602010A2000630
+:1030A000AF8300282402FFFFA5020002946500D42C
+:1030B0000E000BBF30A5FFFF8FBF001024020C8055
+:1030C00027BD001803E00008AF4200243C020008BE
+:1030D00003424021950200023C0A0800954A00C6BE
+:1030E0003046FFFF14C000073402FFFF8F82002824
+:1030F0008F8400343C076020944500D40A000C28DB
+:1031000030A5FFFF10C200248F87002894E20054EE
+:1031100094E400163045FFFF00A6102300A6182BEC
+:103120003089FFFF106000043044FFFF00C510230A
+:10313000012210233044FFFF008A102B1040000CA6
+:10314000012A102324020001A50200162402FFFF19
+:10315000A502000294E500D48F84003400003021E1
+:1031600030A5FFFF3C0760200A000BBF00000000F5
+:103170000044102A104000080000000095020016CC
+:103180003042000110400004000000009742007E21
+:1031900024420014A502001603E00008000000000D
+:1031A0008F84002827BDFFE0AFBF00189482003451
+:1031B0009483003E1060001A3048FFFF9383002C78
+:1031C00024020001146200278FBF00188F8200289C
+:1031D000000818C231080007006218212447003A8D
+:1031E000244900542444002024450030244600345F
+:1031F00090620040304200FF01021007304200019F
+:10320000104000168FBF00180E000927AFA900104C
+:103210008F820028944200340A000C413048FFFF9E
+:1032200094830036948200341043000E8FBF001840
+:1032300094820036A482003494820056A482005402
+:103240008C82002CAC82002494820032A482003054
+:103250009482003CA482003A8FBF00180A000C013F
+:1032600027BD002003E0000827BD002027BDFFE8A0
+:10327000AFBF00108F4A01003C0508008CA500E09C
+:103280003C02080090424EBC3C0C0800958C4EB6A7
+:1032900001452821304B003F30A2007F03424021EE
+:1032A000396900323C02000A3963003F2C63000197
+:1032B000010240212D2900012402FF8000A22824C0
+:1032C00001234825AF8A003400801821AF4500242F
+:1032D000000030210080282124070001AF88002849
+:1032E0003C04080024844EB0AF8C00241520000656
+:1032F000A380002D240200201562000E3402FFFF7F
+:103300001582000C00000000240200201562000558
+:10331000000000008C6300142402FFFF106200070D
+:10332000000000000E00095A000000000A000C9D79
+:10333000000000000E0009C5006020210E000C36C0
+:10334000000000008FBF001024020C8027BD001871
+:1033500003E00008AF4200243C0208008C4200E079
+:1033600027BDFFA0AFB1003C008210212411FF80D7
+:10337000AFBE0058AFB70054AFB20040AFB0003896
+:10338000AFBF005CAFB60050AFB5004CAFB4004863
+:10339000AFB30044005110248F4800248F49002807
+:1033A0008F470028AF4200243C0208008C4200E016
+:1033B0000080902124060006008210213042007F08
+:1033C000034218213C02000A006280213C02001FD7
+:1033D0003442FF8000E2382427A40010260500F0C4
+:1033E0000122F0240102B8240E0005EEAFA7003040
+:1033F0008FA20018AE0200C48FA2001CAE0200C84B
+:103400008FA20024AE0200CC93A40010920300D13E
+:103410002402FF800082102400431025304900FF61
+:103420003083007F3122007F0062102A10400004A8
+:10343000000310C001311026304900FF000310C006
+:1034400000031940006218213C0208008C4200DC95
+:10345000920400D202421021004310210051102496
+:10346000AF42002893A300103063007F000310C018
+:1034700000031940006218213C0208008C4200DC65
+:1034800002421021004310213042007F03421821E4
+:103490003C02000C006240218FA300142402FFFFB5
+:1034A00010620030309500FF93A2001195030014C4
+:1034B000304400FF3063FFFF0064182B1060000DE4
+:1034C00000000000950400148D07001C8D060018F4
+:1034D0003084FFFF0044202300042100000010215D
+:1034E00000E4382100E4202B00C230210A000D172F
+:1034F00000C43021950400148D07001C8D060018AF
+:103500003084FFFF008220230004210000001021EE
+:103510000080182100C2302300E4202B00C4302397
+:1035200000E33823AD07001CAD06001893A200117C
+:10353000A502001497A20012A50200168FA2001483
+:10354000AD0200108FA20014AD02000C93A2001176
+:10355000A502002097A20012A50200228FA200144B
+:10356000AD0200242406FF80024610243256007F5C
+:10357000AF420024035618213C02000A0062802159
+:103580008E02004C8FA200203124007F000428C04E
+:10359000AE0200508FA200200004214000852821A7
+:1035A000AE02007093A2001001208821A2020083C5
+:1035B00093A20010A2020079920200853042003FDF
+:1035C000A20200853C0208008C4200DC024210216D
+:1035D0000045102100461024AF42002C3C02080098
+:1035E0008C4200E43C0308008C6300DC02421021A2
+:1035F0000044102100461024AF4200283C0208007D
+:103600008C4200E402431821006518210242102177
+:10361000004410213042007F3063007F93A50010EA
+:1036200003422021034318213C02000E0062402186
+:103630003C02000C10B1008C008248213233007F24
+:10364000166000192404FF803C0208008C4200DC54
+:103650000242102100441024AF42002C3C0208001A
+:103660008C4200E43C0308008C6300DC0242102121
+:1036700000441024AF4200283C0208008C4200E4C1
+:10368000024318213063007F024210213042007F44
+:1036900003422021034318213C02000E0062402116
+:1036A0003C02000C008248219124000D2414FF806C
+:1036B0000000102100942025A124000D9504000293
+:1036C000950500148D07001C3084FFFF30A5FFFF17
+:1036D0008D060018008520230004210000E4382115
+:1036E00000C2302100E4202B00C43021AD07001CB3
+:1036F000AD06001895020002A5020014A5000016F0
+:103700008D020008AD0200108D020008AD02000C11
+:1037100095020002A5020020A50000228D020008EB
+:10372000AD0200249122000D3042004010400042C2
+:10373000262200013C0208008C4200E0A3B30028CE
+:103740003C10000A0242102100541024AF42002411
+:103750003C0208008C4200E0A380002C27A4002C2F
+:10376000024210213042007F0342182100701821CC
+:103770008C6200D88D26000427A50028AFA9002C54
+:1037800000461021AC6200D80E000AADAF830028BD
+:1037900093A300288F8200280E0006F7A04300D1D3
+:1037A0000E000C360000000002541024AF4200242A
+:1037B0003C0208008C4200DC00132940001320C0AA
+:1037C00000A42021024210210044102100541024A2
+:1037D000AF42002C3C0208008C4200E43C0308008D
+:1037E0008C6300DC03563021024210210045102179
+:1037F00000541024AF4200283C0208008C4200E430
+:1038000002431821006418210242102100451021B2
+:103810003042007F3063007F0342202103431821A0
+:103820003C02000E006240213C02000C00D08021CE
+:1038300000824821262200013043007F14750005D4
+:10384000304400FF2403FF8002231024004310268D
+:10385000304400FF93A20010008088212508002832
+:103860001444FF762529002093A400108FA3001490
+:103870002402FFFF1062000A308900FF2482000149
+:10388000248300013042007F14550005306900FF99
+:103890002403FF800083102400431026304900FFDA
+:1038A00092020078305300FF11330032012088214A
+:1038B0003C0208008C4200DC3225007F000520C05D
+:1038C0000005294000A42021024210212406FF8087
+:1038D0000044102100461024AF42002C3C03080095
+:1038E0008C6300DC3C0208008C4200E40243182197
+:1038F00002421021004510210064182100461024C6
+:103900003063007FAF420028034318213C02000EC1
+:10391000006240213C0208008C4200E48D06000C4D
+:103920000100202102421021004510213042007F79
+:10393000034218213C02000C0062482110C0000D17
+:10394000012028210E00071B000000002402FF8038
+:103950000222182426240001006228263082007FDB
+:1039600014550002308300FF30A300FF1473FFD012
+:10397000006088218E0300743C027FFF3442FFFF09
+:1039800000621824AE0300740E00073C02402021A0
+:10399000AF5700248FA20030AF5E00288FBF005CBD
+:1039A0008FBE00588FB700548FB600508FB5004CB3
+:1039B0008FB400488FB300448FB200408FB1003CF9
+:1039C0008FB0003827BD006003E00008AF42002C34
+:1039D00027BDFFD8AFB1001CAFBF0020AFB00018AB
+:1039E00027510188922200032408FF803C03000A2B
+:1039F0003047007FA3A700108F4601803C020800DB
+:103A00008C4200E0AF86003400C2282100A81024B8
+:103A1000AF4200249224000030A2007F0342102114
+:103A200000431021AF8200283084007F240200026E
+:103A300014820025000719403C0208008C4200E473
+:103A400000C210210043282130A2007F0342182128
+:103A500000A81024AF4200283C02000C006218218C
+:103A60009062000DAFA3001400481025A062000D65
+:103A70008FA300149062000D304200405040006A55
+:103A80008FBF00208F860028A380002C27A400145D
+:103A90008CC200D88C63000427A5001000431021BD
+:103AA0000E000AADACC200D893A300108F8200288C
+:103AB0000E0006F7A04300D10E000C3600000000F7
+:103AC0000A000ED88FBF00200E00070000C0202182
+:103AD0000E00070E000000003C0200080342802197
+:103AE000922300019202007B1443004F8FBF0020FD
+:103AF000922200003044007F24020004108200174C
+:103B0000288200051040000624020005240200035C
+:103B1000108200078FB1001C0A000ED900000000BF
+:103B2000108200128FBF00200A000ED98FB1001C36
+:103B300092050083920600788E0700748F8400340B
+:103B400030A500FF00073E0230C600FF0E0007440C
+:103B500030E7007F0A000ED88FBF00200E000CA4B3
+:103B60008F8400340A000ED88FBF002024020C80FE
+:103B7000AF4200249202003E30420040104000203C
+:103B8000000000009202003E000216000002160330
+:103B900004410006000000008F8400340E0006710E
+:103BA000240500930A000ED88FBF00209202003F28
+:103BB00024030018304200FF1443000C8F840034AB
+:103BC000240500390E000609000030210E000335DF
+:103BD0008F84003424020012A202003F0E00033E34
+:103BE0008F8400340A000ED88FBF002024050036D1
+:103BF0000E000609000030210A000ED88FBF0020F9
+:103C00000E0003358F8400349202000534420020F8
+:103C1000A20200050E00033E8F8400340E00108FB8
+:103C20008F8400348FBF00208FB1001C8FB000182C
+:103C300024020C8027BD002803E00008AF420024C6
+:103C400027BDFFE8AFB00010AFBF0014274301004D
+:103C500094620008000214000002140304410002F0
+:103C6000000080212410000194620008304200808E
+:103C70001040001A02001021946200083042200017
+:103C800010400016020010218C6300183C021C2D0D
+:103C9000344219ED240600061062000F3C07602133
+:103CA0003C0208008C4200D4104000078F8200289C
+:103CB0008F830028906200623042000F344200403F
+:103CC000A06200628F8200288F840034944500D463
+:103CD0000E000BBF30A5FFFF020010218FBF0014A4
+:103CE0008FB0001003E0000827BD001827BDFFE0DB
+:103CF000AFB10014AFB00010A380002CAFBF00180C
+:103D00008F4501003C0308008C6300E02402FF8023
+:103D1000AF85003400A318213064007F03442021C4
+:103D2000006218243C02000A00822021AF430024D4
+:103D3000275001008E0200148C8300DCAF84002821
+:103D40000043102318400004000088218E02001454
+:103D50000E000B50AC8200DC9202000B2403000228
+:103D6000304200FF1443002F0000000096020008BC
+:103D7000304300FF24020082146200052402008404
+:103D80000E000A0B000000000A000F640000000093
+:103D900014620009240200818F8200288F8400347D
+:103DA0003C076021944500D49206000530A5FFFF32
+:103DB0000A000F5330C600FF146200270000000005
+:103DC0009202000A304300FF3062002010400004DD
+:103DD000306200408F8400340A000F4F24060040F8
+:103DE00010400004000316008F8400340A000F4FB7
+:103DF0002406004100021603044100178F8400349A
+:103E0000240600428F8200283C076019944500D4A4
+:103E100030A5FFFF0E000BBF000000000A000F647A
+:103E2000000000009202000B24030016304200FF45
+:103E300010430006000000009202000B240300174C
+:103E4000304200FF14430004000000000E000EDEAC
+:103E500000000000004088210E000C360000000029
+:103E60009202000A304200081040000624020C8032
+:103E70008F8500283C0400080E0012BD0344202159
+:103E800024020C80AF4200248FBF001802201021B2
+:103E90008FB000108FB1001403E0000827BD002090
+:103EA00027BDFFE8AFBF0014AFB000108F50002453
+:103EB0003C0308008C6300E08F4501002402FF8072
+:103EC00000A318213064007F0344202100621824DD
+:103ED0003C02000A00822021AF850034AF43002459
+:103EE00090820062AF8400283042000F34420050BC
+:103EF000A08200623C02001F3442FF800E0006F7E1
+:103F000002028024AF5000248FBF00148FB0001035
+:103F100003E0000827BD00183C0208008C42002086
+:103F20001040001D2745010090A300093C02000835
+:103F30000342202124020018546200033C020008BE
+:103F40000A000FA5240200080342202124020016C3
+:103F5000146200052402001724020012A082003F10
+:103F60000A000FAF94A700085462000694A7000847
+:103F7000936200052403FFFE00431024A3620005A2
+:103F800094A7000890A6001B8CA4000094A500062E
+:103F90000A000B9A00073C0003E000080000000044
+:103FA0002744010094820008304500FF38A30082B6
+:103FB00038A200842C6300012C4200010062182505
+:103FC00010600006240200839382002D1040000D33
+:103FD000000000000A000C690000000014A20005A7
+:103FE00024A2FF808F4301043C02602003E000080C
+:103FF000AC430014304200FF2C420002104000038A
+:10400000240200220A000F090000000014A200038D
+:10401000000000000A000F76000000000A000F9464
+:10402000000000009363007E9362007A144300094D
+:10403000000020219362000024030050304200FF62
+:1040400014430004240400019362007E2442000112
+:10405000A362007E03E00008008010218F4201F877
+:104060000440FFFE24020002AF4401C0A34201C489
+:104070003C02100003E00008AF4201F827BDFFE852
+:10408000AFBF00109362003F2403000A304200FFDC
+:1040900014430046000000008F6300548F62004C00
+:1040A0001062007F036030219362000024030050FF
+:1040B000304200FF1443002F000000008F440140F5
+:1040C0003C0208008C4200E02403FF8000821021A3
+:1040D00000431024AF4200243C0208008C4200E060
+:1040E0008F6500543C03000A008220213084007F49
+:1040F0000344102100431021AC4501089762003CA5
+:104100008F63004C3042FFFF000210400062182114
+:10411000AF63005C8F6300548F64004C9762003C77
+:10412000006418233042FFFF0003184300021040D0
+:104130000043102A10400006000000008F62005467
+:104140008F63004C004310230A0010250002104327
+:104150009762003C3042FFFF00021040ACC2006496
+:1041600024020001A0C0007CA0C2008424020C80B4
+:10417000AF4200240E000FD78F4401401040004989
+:104180008FBF00108F4301408F4201F80440FFFEB3
+:1041900024020002AF4301C0A34201C43C0210004C
+:1041A000AF4201F80A0010778FBF00109362003F02
+:1041B00024030010304200FF1443000400000000FC
+:1041C0008F4401400A001063000028219362003FE1
+:1041D00024030016304200FF14430004240200149C
+:1041E000A362003F0A001071000000008F62004CC3
+:1041F0008F630050004310230441002A8FBF00103A
+:104200009362008124420001A362008193620081D5
+:104210003C0308008C6300C0304200FF14430010D0
+:10422000000000009362003F24030004304200FFBE
+:1042300014430006000000008F4401408FBF0010AF
+:10424000240500930A00067127BD00188F44014021
+:10425000240500938FBF00100A0006E027BD001858
+:104260008F4401400E000335000000008F620054AF
+:104270002442FFFFAF6200548F6200502442FFFFD0
+:10428000AF6200500E00033E8F4401408F44014056
+:104290008FBF0010240500040A00034327BD001847
+:1042A0008FBF001003E0000827BD00188F4201886F
+:1042B0009363007E00021402304400FF306300FF6D
+:1042C0001464000D0000000093620080304200FF83
+:1042D0001044000900000000A36400809362000005
+:1042E00024030050304200FF14430004000000008B
+:1042F0000A0007A88F440180A364008003E000083F
+:104300000000000027BDFFE8AFB00010AFBF0014F1
+:1043100093620005240300303042003014430089CA
+:10432000008080213C0208008C4200201040008068
+:10433000020020210E000564000000008F8500208F
+:10434000ACB000009362003E9363003F304200FF38
+:1043500000021200306300FF00431025ACA20004ED
+:104360009362008200021600000216030441000559
+:10437000000000003C0308008C6300480A0010B5F0
+:10438000000000009362003E3042004014400003F1
+:104390000000182193620081304300FF9362008285
+:1043A00000031E00304200FF0002140000621825C6
+:1043B000ACA300088F620040ACA2000C8F620048E2
+:1043C000ACA200108F62004CACA200148F620050AF
+:1043D0008F63004C004310230441000300000000E1
+:1043E0000A0010C98F62004C8F620050ACA2001806
+:1043F0003C02080094424E963C03C00B0000202172
+:10440000004310250E000589ACA2001C8F620054E9
+:104410008F840020AC8200008F620058AC820004C0
+:104420008F62005CAC8200088F6200608F43007472
+:1044300000431021AC82000C8F620064AC8200103B
+:10444000976300689762006A00031C003042FFFF18
+:1044500000621825AC83001493620082240300805C
+:10446000304200FF14430003000000000A0010FD6A
+:10447000AC8000188F63000C240200011062000E53
+:104480002402FFFF9362003E304200401440000AC5
+:104490002402FFFF8F63000C8F4200740062182318
+:1044A0003C02080000621024144000020000282191
+:1044B0000060282100051043AC8200183C0208006F
+:1044C00094424E963C03C00C00002021004310256E
+:1044D0008F8300200E000589AC62001C8F620018DB
+:1044E0008F8300203C05080094A54E96240400010B
+:1044F000AC620000AC6000048F66006C3C02400DB2
+:1045000000A22825AC6600088F6200DCAC62000CBB
+:10451000AC6000109362000500021600AC6200144B
+:10452000AC6000180E000589AC65001C020020215B
+:104530008FBF00148FB00010A36000050A0004F2C2
+:1045400027BD00188FBF00148FB0001003E00008D3
+:1045500027BD00189742007C30C600FFA08600846B
+:104560003047FFFF2402000514C2000B24E346502D
+:1045700090A201122C4200071040000724E30A0019
+:1045800090A30112240200140062100400E2102122
+:104590000A0011353047FFFF3067FFFF03E00008D6
+:1045A000A4870014AC87004C8CA201080080402135
+:1045B00000A0482100E2102330C600FF184000038D
+:1045C00093AA001324E2FFFCACA2010830C2000150
+:1045D00010400008000000008D02005000E210238F
+:1045E00004410013240600058D02005410E200105F
+:1045F000000000008D02005414E2001A00000000C8
+:104600003C0208008C4200D8304200201040000AD2
+:1046100024020001910300789102008314430006F4
+:104620002402000101002021012028212406000489
+:104630000A00112300000000A100008411400009BD
+:10464000A50200148F4301008F4201F80440FFFED1
+:1046500024020002AF4301C0A34201C43C02100087
+:10466000AF4201F803E000080000000027BDFFE8AA
+:104670008FA90028AFBF00100080402100E9182357
+:104680001860007330C600FFA080007CA08000810D
+:104690008CA2010800E210230440004D000000003D
+:1046A0008C8200509483003C8C8400640047482333
+:1046B0003063FFFF012318210083202B10800004AA
+:1046C000000000008D0200640A00118600E2102143
+:1046D0009502003C3042FFFF0122102100E2102130
+:1046E000AD02005C9502003C8D03005C3042FFFF90
+:1046F0000002104000E210210043102B1040000384
+:10470000000000000A0011958D02005C9502003C3B
+:104710003042FFFF0002104000E21021AD02005CB9
+:10472000A1000084AD07004C8CA2010800E2102318
+:104730001840000224E2FFFCACA2010830C20001D4
+:104740001040000A000000008D02005000E210231B
+:1047500004410004010020218D02005414E20003F2
+:10476000000000000A0011B7240600058D02005465
+:1047700014E200478FBF00103C0208008C4200D8B2
+:10478000304200201040000A24020001910300780A
+:10479000910200831443000624020001010020213D
+:1047A000240600048FBF00100A00112327BD001843
+:1047B000A1000084A50200148F4301008F4201F87C
+:1047C0000440FFFE240200020A0011DC0000000089
+:1047D0008C82005C004910230043102B54400001E0
+:1047E000AC87005C9502003C3042FFFF0062102B5A
+:1047F00014400007240200029502003C8D03005C77
+:104800003042FFFF00621821AD03005C2402000269
+:10481000AD07004CA10200840E000FD78F440100A9
+:104820001040001B8FBF00108F4301008F4201F822
+:104830000440FFFE24020002AF4301C0A34201C4B2
+:104840003C021000AF4201F80A0011F28FBF0010C5
+:1048500030C200101040000E8FBF00108C83005C2F
+:104860009482003C006918233042FFFF0062182147
+:104870003C023FFF3444FFFF0083102B54400001F3
+:104880000080182101231021AD02005C8FBF0010B1
+:1048900003E0000827BD001827BDFFE88FAA002805
+:1048A000AFBF00100080402100EA482319200021FA
+:1048B00030C600FF8C83005C8C820064006A182381
+:1048C0000043102B504000100069182194A20110E1
+:1048D00001221021A4A2011094A201103042FFFF76
+:1048E0000043102B1440000A3C023FFF94A2011029
+:1048F00000431023A4A201109482003C3042FFFF29
+:104900000A00121100621821A4A001103C023FFF0E
+:104910003444FFFF0083102B544000010080182115
+:1049200000671021AD02005CA100007C0A00125952
+:10493000A100008130C200101040003C00000000C7
+:104940008C820050004A10231840003800000000FC
+:104950009082007C24420001A082007C9082007C36
+:104960003C0308008C630024304200FF0043102BFE
+:104970001440005C8FBF00108CA2010800E21023DD
+:1049800018400058000000008C8300549482003CC2
+:10499000006A18233042FFFF000318430002104052
+:1049A0000043102A10400005000000008C820054D3
+:1049B000004A10230A001240000210439482003C77
+:1049C0003042FFFF00021040AD0200649502003C3F
+:1049D0008D0400649503003C3042FFFF000210404C
+:1049E000008220213063FFFF008318210143102142
+:1049F000AD02005C8D020054ACA20108240200024A
+:104A0000A10200840E000FD78F4401001040003532
+:104A10008FBF00108F4301008F4201F80440FFFE5A
+:104A2000240200020A00128200000000AD07004CC0
+:104A30008CA2010800E210231840000224E2FFFCCF
+:104A4000ACA2010830C200011040000A00000000C2
+:104A50008D02005000E210230441000401002021D7
+:104A60008D02005414E20003000000000A001279D5
+:104A7000240600058D02005414E2001A8FBF0010B6
+:104A80003C0208008C4200D8304200201040000A4E
+:104A90002402000191030078910200831443000670
+:104AA0002402000101002021240600048FBF001011
+:104AB0000A00112327BD0018A1000084A5020014DC
+:104AC0008F4301008F4201F80440FFFE24020002E0
+:104AD000AF4301C0A34201C43C021000AF4201F841
+:104AE0008FBF001003E0000827BD00188FAA001038
+:104AF0008C8200500080402130C600FF004A102305
+:104B000000A048211840000700E0182124020001FD
+:104B1000A0800084A0A00112A48200140A0011F455
+:104B2000AFAA0010A0800081AD07004C8CA2010844
+:104B300000E210231840000224E2FFFCACA20108AE
+:104B400030C2000110400008000000008D0200503B
+:104B50000062102304410013240600058D02005456
+:104B600010620010000000008D0200541462001159
+:104B7000000000003C0208008C4200D830420020B7
+:104B80001040000A24020001910300789102008382
+:104B900014430006240200010100202101202821E5
+:104BA000240600040A00112300000000A100008474
+:104BB000A502001403E000080000000027BDFFE08C
+:104BC000AFBF0018274201009046000A8C4800142D
+:104BD0008C8B004C9082008430C900FF0168182340
+:104BE000304A00FF1C60001A2D4600062402000116
+:104BF0000142100410C00016304300030120302190
+:104C00000100382114600007304C000C15800009A9
+:104C1000304200301440000B8FBF00180A0012E32E
+:104C2000000000000E0011F4AFAB00100A0012E308
+:104C30008FBF00180E001169AFAB00100A0012E31D
+:104C40008FBF0018AFAB00100E001289AFAA00147E
+:104C50008FBF001803E0000827BD002024020003D6
+:104C6000A08200848C82005403E00008ACA20108FA
+:104C70003C02000803421821906200812406004390
+:104C80003C07601924420001A0620081906300810A
+:104C90003C0208008C4200C0306300FF1462001028
+:104CA0002403FF803C0208008C4200E000821021B7
+:104CB00000431024AF4200243C0208008C4200E074
+:104CC0003C03000A008210213042007F0342102181
+:104CD00000431021944500D40A000BBF30A5FFFF0C
+:104CE00003E000080000000027BDFFE0AFBF001890
+:104CF000AFB10014AFB000108F420180008080215E
+:104D000000A088210E0012EA00402021A2000084A9
+:104D10008E0200548FBF00188FB00010AE22010821
+:104D20008FB1001403E0000827BD002027BDFFE07D
+:104D30003C020008AFB00010AFBF0018AFB10014C4
+:104D4000034280218F510140920300848E04005061
+:104D50008E02004C14820040306600FF3C020800C6
+:104D60008C4200E02403FF80022210210043102423
+:104D7000AF4200243C0208008C4200E09744007CD3
+:104D800092050081022210213042007F0342182147
+:104D90003C02000A0062182114A0000B3084FFFFBF
+:104DA0002402000554C20014248205DC9062011222
+:104DB00024420001A062011224020C80AF420024B0
+:104DC0000A00134224020005A0600112240200051B
+:104DD00014C20009248205DC920200812C420007E3
+:104DE0005040000524820A0092030081240200142E
+:104DF00000621004008210213044FFFFA60400145A
+:104E00000E0012EA022020219602003C8E03004C84
+:104E1000022020213042FFFF0002104000621821D2
+:104E20000E000335AE03005C9202007D02202021BB
+:104E3000344200400E00033EA202007D8F4201F882
+:104E40000440FFFE24020002AF5101C0A34201C48E
+:104E50003C021000AF4201F88FBF00188FB1001460
+:0C4E60008FB0001003E0000827BD002008
+:044E6C0008000E7CB0
+:104E700008000EC408000F0408000F5008000F843B
+:104E80000A00002000000000000000000000000DEB
+:104E90006370342E362E31360000000004061004F4
+:104EA0000000000000000000000000000000000002
+:104EB00000000000000000000000000000000000F2
+:104EC00000000000000000000000002000000000C2
+:104ED00000000000000000000000000000000000D2
+:104EE00000000000000000000000000000000000C2
+:104EF0000000000000000000000000010000002B86
+:104F00000000000010000003000000000000000D81
+:104F10000000000D3C020800244258A43C03080095
+:104F200024635F70AC4000000043202B1480FFFD21
+:104F3000244200043C1D080037BD7FFC03A0F02183
+:104F40003C100800261000803C1C0800279C58A438
+:104F50000E00019C000000000000000D27BDFFE8CE
+:104F60003C096018AFBF00108D2C5000240DFF7F4E
+:104F700024080031018D5824356A380C24070C00B0
+:104F80003C1A8000AD2A50003C04800AAF4800085B
+:104F90003C1B8008AF4700240E000924AF8400109A
+:104FA0000E0008E7000000000E00083400000000BA
+:104FB0000E00125E000000003C0460168C850000AC
+:104FC0003C06FFFF3C02535300A618241062003F2A
+:104FD00034867C0094C201F2A780002C10400003AC
+:104FE000A78000CC38581E1EA798002C94C201F848
+:104FF00010400004978300CC38591E1EA79900CC9E
+:10500000978300CC2C7F006753E0000124030066E7
+:105010009784002C2C820401144000020060282197
+:10502000240404003C0760008CE904382403103C8D
+:105030003128FFFF1103001730B9FFFF5720000C84
+:10504000A38000CE24020050A38200CE939F00CE06
+:1050500013E0000A8FBF001027BD0018A78000CC06
+:10506000A780002CA780003403E00008A78000E69A
+:10507000939F00CE17E0FFF88FBF001027BD0018E8
+:10508000A78500CCA784002CA780003403E000088B
+:10509000A78000E6A38000CE8CCB003C316A0001E3
+:1050A0001140000E0000000030A7FFFF10E0FFE6F7
+:1050B000240200508CCC00C83186000114C0FFE4EB
+:1050C000939F00CE0A000072240200518C8F0004CE
+:1050D0003C0E60000A00005501EE30218CEF0808FC
+:1050E000240D5708000F740211CD000430B8FFFFE3
+:1050F000240500660A000073240404001700FFD48E
+:10510000939F00CE0A000072240200508F86001088
+:105110003089FFFF000939408CC300103C08005063
+:1051200000E82025AF4300388CC500142742040056
+:10513000AF82001CAF45003CAF44003000000000CF
+:10514000000000000000000000000000000000005F
+:105150000000000000000000000000008F4B000075
+:10516000316A00201140FFFD0000000003E000084C
+:10517000000000008F840010948A001A8C8700249D
+:105180003149FFFF000940C000E83021AF46003C34
+:105190008C8500248F43003C00A310231840002975
+:1051A000000000008C8B0020256200013C0D0050A7
+:1051B00035AC0008AF420038AF4C003000000000B2
+:1051C00000000000000000000000000000000000DF
+:1051D0000000000000000000000000008F4F0000F1
+:1051E00031EE002011C0FFFD000000008F4A0400D6
+:1051F0003C080020AC8A00108F490404AC890014DC
+:10520000AF48003000000000948600189487001C0E
+:1052100000C71821A48300189485001A24A2000155
+:10522000A482001A9498001A9499001E133800035F
+:105230000000000003E000080000000003E0000898
+:10524000A480001A8C8200200A0000CC3C0D005083
+:105250000A0000BD000000003C0308008C63002031
+:105260008F82001827BDFFE810620008AFBF001052
+:105270000E0000F4AF8300183C0308008C6300208C
+:1052800024040001106400048F8900108FBF0010F7
+:1052900003E0000827BD00188FBF00103C07601214
+:1052A000A520000A9528000A34E5001027BD001843
+:1052B0003106FFFF03E00008ACA600903C020800A6
+:1052C0008C42002027BDFFC8AFBF0034AFBE003006
+:1052D000AFB7002CAFB60028AFB50024AFB40020A4
+:1052E000AFB3001CAFB20018AFB1001410400050B3
+:1052F000AFB000108F840010948600069483000ADB
+:1053000000C3282330B6FFFF12C0004A8FBF00340D
+:1053100094890018948A000A012A40233102FFFF71
+:1053200002C2382B14E0000202C0202100402021DC
+:105330002C8C0005158000020080A021241400049C
+:105340000E0000A3028020218F8700100280982188
+:10535000AF80001494ED000A028088211280004E74
+:1053600031B2FFFF3C1770003C1540003C1E60004E
+:105370008F8F001C8DEE000001D71824507500504F
+:105380000220202102A3802B160000353C182000AB
+:105390005078004702202021241000018F83001440
+:1053A00014600039029158230230F8230250C821BA
+:1053B00033F1FFFF1620FFEE3332FFFF8F8700101F
+:1053C0003C110020AF5100300000000094E6000ABC
+:1053D0003C1E601237D5001002662821A4E5000AA1
+:1053E00094E2000A94F2000A94F400183057FFFF88
+:1053F0001292003BAEB700908CED00148CE40010CC
+:105400000013714001AE4021000E5FC3010E502B0E
+:10541000008B4821012A1821ACE80014ACE30010ED
+:1054200002D3382330F6FFFF16C0FFB98F84001077
+:105430008FBF00348FBE00308FB7002C8FB600288E
+:105440008FB500248FB400208FB3001C8FB20018DA
+:105450008FB100148FB0001003E0000827BD0038A2
+:10546000107E001B000000001477FFCC2410000108
+:105470000E0015A9000000008F8300141060FFCB00
+:105480000230F823029158238F87001001702021E9
+:105490000A0001873093FFFF8F8300141460FFCB55
+:1054A0003C110020AF5100300A0001530000000001
+:1054B0000E00079B024028210A000147004080217E
+:1054C0000E000341024028210A00014700408021CC
+:1054D0000E001471022020210A00014700408021A3
+:1054E0000E0000BD000000000A00016902D338234D
+:1054F00027BDFFE8AFB00010AFBF00140E000037AB
+:10550000000000003C028000345000700A0001AA34
+:105510008E0600008F4F000039EE000131C20001FD
+:10552000104000248F8600A88E0700003C0C080065
+:105530008D8C003C3C0908008D29003800E668236A
+:10554000018D28210000502100AD302B012A40217F
+:10555000010620213C010800AC25003CAF8700A8D3
+:105560003C010800AC2400380E0000F600000000EA
+:105570003C0308008C6300701060FFE6006020218F
+:105580003C0508008CA500683C0608008CC6006C31
+:105590000E001538000000003C010800AC2000702F
+:1055A0008F4F000039EE000131C200011440FFDED0
+:1055B0008F8600A88E0A00008F8B00A83C0508008B
+:1055C0008CA5003C3C0408008C840038014B482327
+:1055D00000A938210082182100E9402B0068102121
+:1055E0003C010800AC27003C3C010800AC2200381C
+:1055F0008F5F01002419FF0024180C0003F92024F8
+:1056000010980012AF840000AF440020936D00009A
+:10561000240C002031A600FF10CC0012240E0050F4
+:1056200010CE00043C194000AF5901380A0001A314
+:10563000000000000E0011D4000000003C194000E2
+:10564000AF5901380A0001A3000000000E00010F4D
+:10565000000000003C194000AF5901380A0001A3C6
+:10566000000000008F58010000802821330F00FF48
+:1056700001E020210E0002EEAF8F00043C19400033
+:10568000AF5901380A0001A30000000000A4102B4C
+:1056900024030001104000090000302100052840CB
+:1056A00000A4102B04A00003000318405440FFFC8A
+:1056B000000528405060000A0004182B0085382B94
+:1056C00054E000040003184200C330250085202365
+:1056D000000318421460FFF9000528420004182B4B
+:1056E00003E0000800C310213084FFFF30A5FFFF56
+:1056F0008F4201B80440FFFE3C0740800087302500
+:105700003C031000AF400180AF450184AF460188E3
+:1057100003E00008AF4301B83084FFFF8F4201B8B7
+:105720000440FFFE3C0740388CA600000087282577
+:105730003C031000AF460180AF45018803E000083C
+:10574000AF4301B88F8300388F8600301066000B9E
+:10575000008040213C07080024E75A18000328C0B5
+:1057600000A710218C44000024630001108800056C
+:105770003063000F5466FFFA000328C003E00008FE
+:10578000000010213C07080024E75A1C00A7302124
+:1057900003E000088CC200003C039000346200016A
+:1057A00000822025AF4400208F45002004A0FFFE8A
+:1057B0000000000003E00008000000003C0380003F
+:1057C000346200010082202503E00008AF4400207D
+:1057D00027BDFFE0AFB100143091FFFFAFB0001064
+:1057E000AFBF00181220001500A080218CA500007A
+:1057F00010A00013240400020E000C6B24060140CC
+:10580000AE0000008F4201B80440000D00002821C6
+:105810003C064000022620258FBF00188FB10014DF
+:105820008FB000103C03100027BD0020AF45018061
+:10583000AF44018803E00008AF4301B88CA5000025
+:105840008F4201B80440FFFE3C064000022620259E
+:105850008FBF00188FB100148FB000103C031000F0
+:1058600027BD0020AF450180AF44018803E0000858
+:10587000AF4301B83086FFFF8F4201B80440FFFEFE
+:105880003C0940068CA8000000C93825AF480180BB
+:105890008CA400043C031000AF440184AF4701888E
+:1058A00003E00008AF4301B827BDFFE0AFB0001030
+:1058B000AFBF0018AFB100149363003E0080802199
+:1058C0000080282130620040000020211040000F9D
+:1058D0008E1100000E000860022020219367000056
+:1058E0002404005030E500FF50A400128E0F000089
+:1058F000022020218FBF00188FB100148FB000103C
+:10590000A762013C0A00092027BD00200E00027D8D
+:10591000000000000E0008600220202193670000B4
+:105920002404005030E500FF14A4FFF202202021DF
+:105930008E0F00003C1008008E1000503C0D000C33
+:10594000240BFF8001F05021314E007F01DA6021ED
+:10595000018D4021014B4824AF490028022020211D
+:105960008FBF00188FB100148FB00010A50200D6B1
+:1059700027BD00200A000920AF8800D027BDFFE026
+:10598000AFBF0018AFB10014AFB0001093660001B4
+:10599000008080210E00024630D10004936400058F
+:1059A000001029C2A765000034830040A3630005EE
+:1059B0000E00024F020020210E00092202002021C9
+:1059C00024020001AF62000C02002821A76200102F
+:1059D00024040002A762001224060140A7620014FA
+:1059E0000E000C6BA76200161620000F8FBF001868
+:1059F000978C00343C0B08008D6B00782588FFFFE6
+:105A00003109FFFF256A0001012A382B10E000064A
+:105A1000A78800343C0F6006240E001635ED0010F8
+:105A2000ADAE00508FBF00188FB100148FB00010C2
+:105A300003E0000827BD002027BDFFE0AFB1001440
+:105A4000AFBF0018AFB0001000A088211080000A7E
+:105A50003C0360002402008010820012000000005D
+:105A60000000000D8FBF00188FB100148FB0001020
+:105A700003E0000827BD00208C682BF80500FFFE1E
+:105A800000000000AC712BC08FBF00188FB1001454
+:105A90008FB000103C09100027BD002003E0000873
+:105AA000AC692BF80E00024600A02021936500058A
+:105AB000022020210E00024F30B000FF2403003EE0
+:105AC0001603FFE7000000008F4401780480FFFE0A
+:105AD000240700073C061000AF510140022020219E
+:105AE000A34701448FBF00188FB100148FB000107E
+:105AF000AF4601780A0002BF27BD002027BDFFE89E
+:105B0000AFBF0014AFB000108F50002000000000A5
+:105B10000E000922AF440020AF5000208FBF0014B8
+:105B20008FB0001003E0000827BD00183084FFFF8D
+:105B30008F4201B80440FFFE3C0740350087302506
+:105B40003C031000AF450180AF400184AF4601889F
+:105B500003E00008AF4301B83084FFFF8F4201B873
+:105B60000440FFFE3C074036008730253C03100010
+:105B7000AF450180AF400184AF46018803E00008D3
+:105B8000AF4301B827BDFFD0AFB3001C3093FFFF78
+:105B9000AFB50024AFB20018AFBF0028AFB40020EB
+:105BA000AFB10014AFB0001030B5FFFF1260002796
+:105BB000000090218F90001C8E0300003C068000A6
+:105BC0002402004000033E0200032C0230E4007F68
+:105BD000006688241482001D30A500FF8F830028F2
+:105BE0002C68000A510000108F91001400035880A7
+:105BF0003C0C0800258C56CC016C50218D490000CE
+:105C0000012000080000000002B218213065FFFFEB
+:105C10000E00021A24040084162000028F90001C3B
+:105C2000AF8000288F910014260C0020264B000125
+:105C3000018080213172FFFF16200004AF8C001C10
+:105C40000253402B1500FFDC000000000240102131
+:105C50008FBF00288FB500248FB400208FB3001CA5
+:105C60008FB200188FB100148FB0001003E000084D
+:105C700027BD0030240D003414AD00F600000000F4
+:105C8000920B000E240A16803C07000CA36B002127
+:105C90009203000D0347F8213C066000A363002037
+:105CA000961100123C087FFF350CFFFFA771003CE6
+:105CB00096020010240B00053054FFFFAF740084DF
+:105CC0008E19001CAF4A00288FF800008CCF444882
+:105CD0000319702601EE3021AF66004C8F69004C2D
+:105CE00024CD00013C197F00AF6900508F64005043
+:105CF000AF640054AF660070AF6D00588F650058F8
+:105D000024040050AF65005CA3600023AF6C006406
+:105D1000A36B00378E030014AF6300488F710048F7
+:105D2000AF7100248E020018AF62006C9214000C58
+:105D3000A3740036936A003E355F0020A37F003EC7
+:105D40008F7800740319782435EE4000AF6E00742C
+:105D500093700000320900FF112402332418FF80E1
+:105D60003C04080024845A980E00028A00000000B7
+:105D700024060004240700013C0408008C845A987F
+:105D8000A366007DA36700058F4A01780540FFFEEA
+:105D900024020002AF440140A34201448F90001C42
+:105DA0003C141000AF5401780A000369AF8000284A
+:105DB0002CAD003751A0FF9C8F9100140005A080EE
+:105DC0003C180800271856F4029878218DEE000040
+:105DD00001C00008000000002406000614A60011FF
+:105DE000000000003C1F08008FFF5A9824040005A3
+:105DF000AF5F00208E190018AF7900188F78004C23
+:105E0000AF78001C8F6F0050122000C2AF6F00707F
+:105E10000A000369AF840028240A000710AA00843E
+:105E2000240300063C05080024A55A980E000254DD
+:105E3000240400818F90001C0011102B0A000369BC
+:105E4000AF820028240A000414AAFFF6240300509D
+:105E50003C0E08008DCE5A98AF4E00208E090008E7
+:105E6000AF6900408E060008AF6600448E07000C44
+:105E7000AF6700488E040010AF64004C8E0D001018
+:105E8000AF6D00848E080014AF6800508E050018B6
+:105E9000AF6500548E0C001CAF6C0058936B000073
+:105EA000317400FF128301F5000000008F64004888
+:105EB0008F6600400086382304E000042404008C30
+:105EC0001620FFDE24020003240400823C050800A3
+:105ED00024A55A980E00027D000000008F90001C3F
+:105EE000000010210A000369AF8200282409000580
+:105EF00014A9FFCC240520003C0A08008D4A5A98BA
+:105F0000AF4A00208E1F0004AF7F005C921900088A
+:105F100024100008A37900218F98001C930F00091A
+:105F2000A36F00208F86001C90CE000A31C400FFB2
+:105F300010900010288300091460006C24020002F5
+:105F4000240800201088000B3405800028850021DB
+:105F500014A0000824054000240D0040108D000509
+:105F60003C05000124070080108700023C05000268
+:105F7000240540008F6E00743C0FFF0001CF802489
+:105F800002054825AF69007490C4000BA36400812A
+:105F90008F84001C9486000C10C0019B0000000040
+:105FA000948E000C241FFFBF24060004A76E003C43
+:105FB0009090000EA370003E8F89001C9124000F6A
+:105FC000A364003F8F94001C8E8D00108F470074D7
+:105FD00001A72823AF6500608E880014AF680064B5
+:105FE000968C0018A76C0068968B001AA76B006A45
+:105FF0008E82001CAF62006C96830002A763013E94
+:10600000928A000EA36A003E9379003E033FC024AB
+:106010001220016AA378003E8F90001C0A000369D9
+:10602000AF8600282414002214B4FF7E2403000746
+:106030003C0208008C425A981220000CAF4200200B
+:106040000A000369AF830028240C003310AC00144D
+:10605000240800283C05080024A55A980E000226B2
+:10606000240400810A0003EE8F90001C3C04080009
+:1060700024845A980E00028A00000000936B0000EE
+:1060800024110050316300FF107101540000000022
+:106090008F90001C000018210A000369AF830028BC
+:1060A0003C0508008CA55A9824040081AF450020C7
+:1060B000A36800343C05080024A55A980E00022667
+:1060C000000000008F90001C240200090A000369F0
+:1060D000AF82002802B288213225FFFF0E00021A8B
+:1060E000240400840A0003698F90001C1082FFA121
+:1060F000240504002894000312800176240C000477
+:10610000240B0001548BFF9B240540000A00043D32
+:10611000240501003C04080024845A988F62004C36
+:106120000E00028A8F6300508F90001C0000202117
+:106130000A000369AF8400288E1000042404008A3A
+:10614000AF500020936E000531C900021520016593
+:10615000020028219378002302002821330F002019
+:1061600015E001602404008D9362003F24190012A1
+:10617000305F00FF13F9015B240400810E0002462A
+:106180000200202193740023240A0004020020212D
+:1061900036830042A36300230E00024FA36A007DF2
+:1061A0008F4B01780560FFFE24050002AF500140CF
+:1061B000A34501448F90001C3C0C1000AF4C0178AB
+:1061C0000A0003EF0011102B8E1000042404008A33
+:1061D000AF500020936D000531A800021500001992
+:1061E000020028219367003F2414001230E400FFCE
+:1061F0001094010100000000936E003F240600048B
+:1062000031C900FF112600FC000000000E0002460C
+:1062100002002021936200232419FFFE02002021A6
+:10622000345F0020A37F0023A374003F9378000510
+:10623000031978240E00024FA36F000502002821E5
+:10624000000020210E000336000000000A0003EECB
+:106250008F90001C8E0500043C0F0008034F402166
+:10626000AF450020910E00002406005031C900FF08
+:106270001126017A240400888F5901B80720FFFEF7
+:106280003C0C400E008C58253C031000AF450180AB
+:10629000AF400184AF4B0188AF4301B891020000C9
+:1062A000240AFF8024040004004AF825A11F0000EE
+:1062B0000E000C6B240600300A0003EE8F90001CC9
+:1062C0008E04001C0E000231000000001040014C42
+:1062D000004048218F90001C240500898F4D01B893
+:1062E00005A0FFFE00000000AF4901808E0F001CDA
+:1062F0003C1440010011702B00B448253C111000E3
+:10630000AF4F0184AF8E0028AF490188AF5101B86B
+:106310000A00036A8F910014961900023C140800C9
+:1063200026945A9833380004130000F23C026000AF
+:106330008E1F001C3C010800AC3F5A98AF5F002044
+:10634000920C0010240B0014318400FF148B011CEC
+:106350000000000096090002312D000115A001B2D5
+:10636000000000008E020004AE8200083C0E08000F
+:106370008DCE5AA011C001A8000000008F690074E2
+:106380003C0E800024040001012E6825AF6D0074CE
+:10639000A3600005AF64000C3C0C08008D8C5AA073
+:1063A0008F88001CA7640010000C59C2A76400125B
+:1063B000A7640014A7640016A76B00088D030008EB
+:1063C00024040002AF63002C8D0A000CAF6A003079
+:1063D00091070010A36700348F82001C90450011C4
+:1063E000A36500358F86001C90D00012A370003684
+:1063F0008F9F001C93F90013A37900378F90001C26
+:1064000096180014A778003896140016A774003A5E
+:106410008E0F0018AF6F00245620FE02AF840028B4
+:106420003C05080024A55A980E00025400002021C3
+:106430008F90001C0A0004AC000020218E0F000485
+:106440003C14080026945A983C010800AC2F5A9836
+:10645000AF4F0020920E000331C90004112000024A
+:106460002402001224020006A362003F9203001BD4
+:10647000240AFFC03062003F004AF825A37F003E97
+:106480009219000333380001170000C1000000001A
+:106490008E020008AE8200083C0208008C425AA01E
+:1064A000104000C000000000000221C2A7640008E4
+:1064B0008E0D000C240B000124140014AF6D002C71
+:1064C0008E080010AF68003096050016A7650038EA
+:1064D000960C0014A76C003AAF6B000CA76B001071
+:1064E000A76B0012A76B0014A76B0016122000EB1D
+:1064F000A37400349206000330C700022CF00001A0
+:10650000260200088F90001C0A000369AF82002851
+:106510008E14000424030081AF54002093680023EC
+:106520003105001010A000AC000000008F4401B83D
+:106530000480FFFE3C06401F0011382B006610252A
+:106540003C111000AF540180AF870028AF40018498
+:10655000AF420188AF5101B80A00036A8F9100145D
+:106560008E0600043C19000803592021AF46002084
+:106570008E07000890980000240F0050331400FF8D
+:10658000128F00A7240500888F4401B80480FFFE05
+:106590003C0D40090011602B00AD10253C1110008E
+:1065A000AF460180AF8C0028AF470184AF4201881D
+:1065B000AF5101B80A00036A8F9100143C0508002E
+:1065C00024A55A980E00027D240400828F90001C9E
+:1065D000000030210A000369AF8600283C0408004F
+:1065E0008C845A980E0014F6000000008F90001C56
+:1065F0000A000486000018210E00033624040081DE
+:106600000A0003EE8F90001C3C05080024A55A9850
+:106610000E00027D2404008B8F90001C0011302B93
+:106620000A000369AF8600283C1908008F395A9880
+:106630003C1F08008FFF005024CCFFFE033F782151
+:1066400001F87024AF4E00283C0408008C845A984E
+:106650003C0908008D2900500089682131A5007F80
+:1066600000BA402101078021AE0600D8AF9000D0CB
+:10667000AE0000DC0A0003B8AE0C0108AF60008475
+:106680003C0808008D085A983C0D08008DAD00505C
+:106690002405FF803C02000C010D58210165602497
+:1066A000AF4C00288E0A00143174007F029A182122
+:1066B00000627821ADEA00D88E1F0014AF8F00D0A1
+:1066C000ADFF00DC8E1900102738FFFE0A00040B16
+:1066D000ADF80108548CFE27240540000A00043D53
+:1066E000240510000E00032B000000000A0003EE3A
+:1066F0008F90001C8C46442C3C056C6234B0797041
+:106700003C010800AC205A9814D000082404000270
+:1067100097880034978A002C02802821010A382BA0
+:1067200010E0001124040092240400020E000C89E1
+:10673000240501403C010800AC225A98AF420020D9
+:106740003C0308008C635A98106000052404008301
+:106750000E000854000000001040000924040083CB
+:106760003C05080024A55A980E00025400000000C1
+:106770008F90001C0011202B0A000369AF840028B1
+:106780000E000858000000000A0005308F90001C21
+:106790008E0400080E000231000000000A00058689
+:1067A000AE8200083C05080024A55A980E00022677
+:1067B000240400878F90001C0A0005A20011102BF2
+:1067C0000E00085C000000003C05080024A55A9853
+:1067D0000A00063A2404008B0E00024602802021A3
+:1067E0009370002302802021360D00100E00024F0E
+:1067F000A36D00238F90001C0A0005AB0000182138
+:10680000240400040E000C89240500301440002AE2
+:10681000004048218F90001C0A00051724050083C2
+:106820009205000C30BF000113E0000300000000DF
+:106830009602000EA482002C920A000C314800023D
+:106840001100FF5100002821960B00128E03001446
+:10685000A48B001A0A0005C2AC83001C8F83003889
+:106860008F8700301067FE84000020213C0908005B
+:1068700025295A1C000320C0008930218CD4000037
+:106880001285005E247800013303000F5467FFFA7D
+:10689000000320C00A0004FE000020213C0508007F
+:1068A00024A55A980E00027D240400828F90001CBB
+:1068B0000A0005A2000010213C0B0008034B202118
+:1068C00024030050240A0001AF420020A0830000EE
+:1068D000A08A00018F88001C91070004A08700187F
+:1068E0008F82001C90450005A08500198F86001C32
+:1068F00090DF0006A09F001A8F99001C93380007B4
+:10690000A098001B8F94001C928F0008A08F001C81
+:106910008F90001C920E0009A08E001D8F8D001C10
+:1069200091AC000AA08C001E8F8B001C3C0C080050
+:10693000258C5A1C9163000B3C0B0800256B5A18E0
+:10694000A083001F8F8A001C9148000CA0880020A3
+:106950008F87001C90E5000DA08500218F82001C10
+:10696000240546469046000EA08600228F9F001CFC
+:1069700093F9000FA09900238F98001C9314001026
+:10698000A09400248F8F001C91F00011A09000258E
+:106990008F90001C8F8E00308F990038960D001458
+:1069A000000E18C025C80001A48D0028960A001604
+:1069B000006C3021006BF821A48A002A9607001889
+:1069C0003108000FA487002CA485002E8E02001C25
+:1069D000ACC90000AF88003011190003AFE200001D
+:1069E0000A00051700002821250C00013184000F42
+:1069F000000028210A000517AF8400383C07080072
+:106A000024E75A180087802100002021ACC0000034
+:106A10000A0004FEAE0000003C05080024A55A98B8
+:106A20000A00063A240400878E0400040E00023196
+:106A3000000000000A00053BAE8200083084FFFF22
+:106A400030C600FF8F4201B80440FFFE000644003C
+:106A5000010430253C07200000C720253C0310001E
+:106A6000AF400180AF450184AF44018803E00008D6
+:106A7000AF4301B827BDFFE8AFB00010AFBF0014AF
+:106A80003C076000240600021080000600A0802160
+:106A90000010102B8FBF00148FB0001003E000080F
+:106AA00027BD00183C09600EAD2000348CE5201C89
+:106AB0008F82001C2408FFFC00A81824ACE3201CD3
+:106AC0000E0006EF8C45000C0010102B8FBF001439
+:106AD0008FB0001003E0000827BD00183C02600ED4
+:106AE0003447010024090018274A04000000000070
+:106AF00000000000000000003C06005034C302000B
+:106B0000AF440038AF45003CAF430030014018218E
+:106B10008F4B0000316800201100FFFD2406007F2C
+:106B20002408FFFF8C6C000024C6FFFF24630004D0
+:106B3000ACEC000014C8FFFB24E7000400000000D8
+:106B400000000000000000003C0F0020AF4F0030AC
+:106B50000000000024AD020001A5702B2529FFFFD5
+:106B6000008E20211520FFE101A0282103E000086C
+:106B70000000000027BDFFE0AFB10014AFBF001858
+:106B8000AFB000103C05600E8CA20034008088215C
+:106B9000144000063C0460008C87201C2408FFFC85
+:106BA00000E8302434C30001AC83201C8F8B001C10
+:106BB00024090001ACA90034956900028D65001418
+:106BC0008D70000C2D2400818D6700048D660008F7
+:106BD000108000078D6A00102D2C00041580000E17
+:106BE00030CE0007312D000311A0000B0000000083
+:106BF0002404008B020028210E0006EF2406000367
+:106C00000011102B8FBF00188FB100148FB000102F
+:106C100003E0000827BD002015C0FFF62404008B08
+:106C20003C030020AF4300300000000024020001BC
+:106C3000AF8200140000000000000000000000000F
+:106C40003C1F0150013FC825253800033C0F600E52
+:106C5000AF47003800181882AF46003C35E8003CCA
+:106C6000AF590030274704008F44000030860020D1
+:106C700010C0FFFD00000000106000082466FFFF48
+:106C80002403FFFF8CEB000024C6FFFF24E7000471
+:106C9000AD0B000014C3FFFB250800043C08600E88
+:106CA000AD090038000000000000000000000000F6
+:106CB0003C070020AF470030000000000E0007171F
+:106CC0000140202102002821000020210E0006EFB3
+:106CD000240600030011102B8FBF00188FB1001481
+:106CE0008FB0001003E0000827BD002027BDFFD8AB
+:106CF000AFB200183092FFFFAFB10014AFBF002059
+:106D0000AFB3001CAFB000101240002C000088216F
+:106D10000A0007AF2413000150B3003C8CE5000CBF
+:106D20000000000D262D000131B1FFFF24EC0020F2
+:106D30000232382B10E00021AF8C001C8F8200142F
+:106D40001440001E8F87001C3C0670003C0320008E
+:106D50008CE400000086282414A300188F85003CD2
+:106D6000000444023C0980000089802414A0FFEA4A
+:106D7000310600FF2404000210C4001F28CA0003CB
+:106D800011400016240B000314D3FFE7262D000149
+:106D9000020028210E0006FD240400018F87001C3C
+:106DA000AF82003C262D000131B1FFFF24EC002012
+:106DB0000232382B14E0FFE1AF8C001C02201021BE
+:106DC0008FBF00208FB3001C8FB200188FB100144A
+:106DD0008FB0001003E0000827BD002814CBFFD2BD
+:106DE000262D00010E00073D020020218F87001C88
+:106DF0000A0007C9AF82003C020028210E0006FDF0
+:106E0000000020210A0007C88F87001C0E0006EF33
+:106E1000240400841600FFC38F87001C0A0007A902
+:106E2000AF80003C3082FFFF1440000300001821B7
+:106E30000004240224030010308500FF14A0000584
+:106E40003087000F246600080004220230C300FFD0
+:106E50003087000F14E00005308900032468000427
+:106E600000042102310300FF3089000315200005D2
+:106E7000388B0001246A000200042082314300FFA5
+:106E8000388B00013164000110800002246C000185
+:106E9000318300FF03E0000800601021308BFFFF0A
+:106EA000000B394230E600FF3C09080025295998BB
+:106EB00000064080010960218D8700003164001FB9
+:106EC000240A0001008A180430A500FF00E32025F1
+:106ED00014A000020003102700E22024240F000168
+:106EE00000CF700401096821000E282714800005D6
+:106EF000ADA400008F86000C00A6102403E000085B
+:106F0000AF82000C8F88000C01C8102503E0000838
+:106F1000AF82000C3C06001F3C0360003084FFFF82
+:106F200034C5FF8024020020AC602008AC60200C37
+:106F3000AC602010AC652014AC642018AC6220005A
+:106F4000000000000000000003E000080000000056
+:106F500027BDFFE82402FFFFAFBF0010AF82000C87
+:106F6000000020213C06080024C659982405FFFF94
+:106F700024890001000440803124FFFF010618210C
+:106F80002C87002014E0FFFAAC6500000E000825F5
+:106F900000002021240200013C04600024050020A0
+:106FA000AC822018AC85200000000000000000002A
+:106FB00000000000244A00013142FFFF2C4604007B
+:106FC00014C0FFF78FBF001003E0000827BD0018B2
+:106FD0008F8300082C62040003E00008384200019F
+:106FE0008F8300082462000103E00008AF820008DC
+:106FF0008F8300082462FFFF03E00008AF820008CF
+:1070000027BDFFE0AFB10014AFBF0018AFB0001054
+:107010008F6B00303C06600000808821ACCB2008DC
+:107020008F6A002C3C02800024030008ACCA200CAC
+:107030009769003A9768003800092C003107FFFF74
+:1070400000A72025ACC42010ACC22014ACC3200083
+:107050000000000000000000000000003C03600091
+:107060008C6D200031AC00081580FFF90000000095
+:107070008C6E201405C00020000000000E0007E9FF
+:107080008F84000C000240803C0908002529599893
+:10709000010938218CE400000E0007E9000281405C
+:1070A000020220213090FFFF020020210E0008077D
+:1070B000000028213C0C8000022C58253210FFFFD4
+:1070C0003C116000240A0020AE2B2014AE302018A2
+:1070D000AE2A2000000000000000000000000000B8
+:1070E000020010218FBF00188FB100148FB0001064
+:1070F00003E0000827BD00208C6620143C02001F1E
+:107100003443FF803C1FFFE800C3C02437F9080068
+:1071100003198021001079C23C0C8000022C5825F4
+:1071200031F0FFFF3C116000240A0020AE2B201438
+:10713000AE302018AE2A2000000000000000000041
+:1071400000000000020010218FBF00188FB1001452
+:107150008FB0001003E0000827BD002027BDFFE826
+:10716000AFB000103402FFFF3090FFFFAFBF00143C
+:1071700012020006020020210E0008250000000077
+:10718000020020210E000807240500018F8400085A
+:107190008FBF00148FB000102483FFFF27BD00189D
+:1071A00003E00008AF830008000439C230E6003F66
+:1071B00000043B4200071840240210002CC40020A9
+:1071C00024C8FFE0AF42002C2463000114800003B8
+:1071D00030A900FF00071840310600FF000360805F
+:1071E00024080001019A58213C0A000E00C8280416
+:1071F000016A382111200005000530278CE90000C4
+:107200000125302503E00008ACE600008CEE00000C
+:1072100001C6682403E00008ACED000027BDFFE8CC
+:10722000AFBF0014AFB000103C0460008C850808AC
+:107230003403F00030A2F0005043000624020001A5
+:107240008C8708083404E00030E6F00010C4001E0B
+:1072500024020002AF8200403C1060003C0A0200A1
+:10726000AE0A0814240910003C08000E8E034400E6
+:1072700003482021AF49002C240501200E000CCF2B
+:10728000000030218F830040106000043C02169102
+:10729000240B0001106B000E3C023D6C344F00903B
+:1072A000AE0F44088FBF00148FB000103C0C60007C
+:1072B000240E10003C0D020027BD0018AD8E4420A6
+:1072C00003E00008AD8D08100A0008F6AF8000400A
+:1072D0003C0218DA344F0090AE0F44088FBF001400
+:1072E0008FB000103C0C6000240E10003C0D02001A
+:1072F00027BD0018AD8E442003E00008AD8D0810B6
+:107300000A0008CA240500010A0008CA0000282152
+:107310003C08080025085DA42404FFFF0100182193
+:107320002402001E2442FFFFAC6400000441FFFD64
+:10733000246300043C07080024E75E208CE5FFFC82
+:107340002404001C24060001308A001F0146480462
+:1073500024840001000910272C8300201460FFFA08
+:1073600000A22824ACE5FFFC3C05666634A4616EEF
+:107370003C06080024C65EE0AF840058AF88009C3D
+:107380002404FFFF00C018212402001F2442FFFF35
+:10739000AC6400000441FFFD246300043C07666602
+:1073A0003C05080024A55EA0AF86004834E6616E67
+:1073B000AF8600982404FFFF00A018212402000FCC
+:1073C0002442FFFFAC6400000441FFFD246300047D
+:1073D0003C0B66663C06080024C65E203568616E7C
+:1073E000AF8500A4AF8800702404FFFF00C01821FF
+:1073F0002402001F2442FFFFAC6400000441FFFD93
+:10740000246300043C0D66663C0A0800254A5F6060
+:1074100035AC616EAF860090AF8C005C2404FFFF3A
+:1074200001401821240200032442FFFFAC64000045
+:107430000441FFFD246300043C09080025295F7016
+:107440008D27FFFC24040006240500013099001F4D
+:107450000325C00424840001001878272C8E002006
+:1074600015C0FFFA00EF3824AD27FFFC3C09666623
+:1074700024030400240403DC24050200240600661F
+:107480003522616E3C08080025085AA4AF820074BA
+:10749000AF830044AF83006CAF830050AF830084A0
+:1074A000AF8A008CAF840064AF85004CAF86005477
+:1074B000AF840078AF850060AF860080010018219E
+:1074C000240200022442FFFFAC6000000441FFFDE3
+:1074D00024630004240400032403000C3C0A080075
+:1074E000254A5AB0AF8A00680A00099D2405FFFFAB
+:1074F0000004188024840001006858212C8700C0F3
+:1075000014E0FFFBAD6500003C0E666635CD616E94
+:10751000240C17A024081800AF8D0088AF8C0094AD
+:1075200003E00008AF88007C2484007F000421C2AF
+:1075300000004021000030210000382100002821F7
+:107540000A0009B4AF8400A01060000624E700011F
+:1075500000C4302124A500012CC20BF51440FFFA11
+:107560002CA300663C09080025295F600120182132
+:10757000240200032442FFFFAC6000000441FFFD31
+:107580002463000410E0001A24E3FFFF00032942F3
+:1075900010A0000A000020212406FFFF3C03080081
+:1075A00024635F60248400010085502BAC660000DA
+:1075B000250800011540FFFB2463000430E2001F92
+:1075C0001040000800086880240C0001004C3804BA
+:1075D000000858800169282124E6FFFF03E0000825
+:1075E000ACA6000001A940212409FFFFAD0900005D
+:1075F00003E0000800000000AF4400283C04000C39
+:1076000003442021000528820A000CCF000030210D
+:10761000000421803C036000AC64100800000000FE
+:1076200000052980AC65100C0000000003E0000894
+:107630008C62100C27BDFFE800802821240400384C
+:10764000AFBF00140E0009E4AFB0001024040E0018
+:10765000AF4400283C10000C0350202124050010EA
+:107660000E000CCF0000302103501021AC40000070
+:10767000AC400004240400388FBF00148FB0001009
+:1076800024053FFF27BD00180A0009E48C430000D1
+:10769000000421803C036000AC641008000000007E
+:1076A0008C62100C03E000080002118227BDFFC8A5
+:1076B000AFB400208F940068AFBE0030AFB7002C8D
+:1076C000AFB600280000B8210080B021241E00C001
+:1076D000AFBF0034AFB50024AFB3001CAFB2001889
+:1076E000AFB10014AFB000100A000A21AFA5003CF2
+:1076F000504000018F94006827DEFFFF13C0002870
+:10770000269400048E9200003C03080024635DA0D0
+:107710001240FFF70283102B3C04080024845AA473
+:10772000028410230002A8C0000098210A000A3039
+:1077300024110001001188401220002600000000E2
+:1077400002B38021025128240200202110A0FFF959
+:10775000267300010E0009ED0000000000166840CD
+:1077600032EC000101AC20210E0009E402002821C6
+:107770008F89009426F700018FA6003C3AEB0001A8
+:10778000316A00012528FFFF0011382702CAB02105
+:10779000AF88009416E6FFE702479024AE920000FF
+:1077A00002E010218FBF00348FBE00308FB7002C55
+:1077B0008FB600288FB500248FB400208FB3001C33
+:1077C0008FB200188FB100148FB0001003E00008D2
+:1077D00027BD00383C0E080025CE5DA0028E102B80
+:1077E0000A000A1CAE92000027BDFFD8AFB10014FA
+:1077F000AFB00010AFBF0020AFB3001CAFB2001895
+:1078000000A0882110A0001F000480403C13080045
+:1078100026735AA40A000A692412000112200019D2
+:10782000261000010E000A0402002021000231424D
+:107830002444FFA0000618803045001F2C8217A1A9
+:10784000007318212631FFFF1040FFF400B230040E
+:107850008C6900000200202124053FFF01264024FE
+:107860001500FFEE012638250E0009E4AC67000084
+:107870008F8A009426100001254700011620FFE999
+:10788000AF8700948FBF00208FB3001C8FB2001809
+:107890008FB100148FB0001003E0000827BD00284E
+:1078A0008F85009C00805821000040210000482165
+:1078B000240A001F3C0C0800258C5E1C3C0D0800AF
+:1078C00025AD5DA48CA6000050C00014000040212E
+:1078D00000AD1023000238C0240300010A000AA2F0
+:1078E000000020211500000300E41021244820247A
+:1078F0000000482125290001512B00132506DFDC5B
+:10790000106000062484000100C3702415C0FFF538
+:10791000000318400A000AA00000402110AC002615
+:1079200024A3000400602821254AFFFF1540FFE53D
+:10793000AF85009C512B00042506DFDC00004021B0
+:1079400003E00008010010210006614230C5001F5D
+:10795000000C50803C07080024E75DA424040001CB
+:10796000014730211120000F00A420043C0508002D
+:1079700024A55E20148000052529FFFF24C60004ED
+:1079800010C5001100000000240400018CCF00008D
+:107990000004C0270004204001F868241520FFF5EA
+:1079A000ACCD00008F99007801001021032B4823F3
+:1079B00003E00008AF8900783C05080024A55DA419
+:1079C0000A000AAA000040213C06080024C65DA463
+:1079D0000A000AC324040001308800FF24020002C8
+:1079E0001102000A240300031103005C8F8900A424
+:1079F000240400041104005F24050005110500673C
+:107A00000000182103E00008006010218F89004861
+:107A10003C0C0800258C5EE03C04080024845F6078
+:107A2000240300201060000F00005821240D0002E4
+:107A3000240E00033C0F080025EF5EE08D270000B8
+:107A400014E0000B30F9FFFF252900040124C02BAE
+:107A500053000001018048212463FFFF5460FFF8B8
+:107A60008D2700000160182103E00008006010214C
+:107A7000132000323C0500FF30E200FF00403021BF
+:107A80001040004200005021240500010000202188
+:107A90000005C84000A6C02417000003332500FFDE
+:107AA00014A0FFFB24840001012CC023001828C06F
+:107AB00000AA6021008C50213144001F240C0001D9
+:107AC000008C18040003102700E23024110D00413F
+:107AD000AD260000110E004C000A1840110D0036B2
+:107AE0008F87006C510E00568F8C0060240D0004AF
+:107AF000110D005A8F8E0084240E0005150EFFDA3A
+:107B000001601821240B14301140000600001821D8
+:107B10008F8400A024630001006A402B1500FFFD44
+:107B2000016458218F8A0080AF89008C0160182180
+:107B30002549FFFF0A000AFAAF89008000E52024EA
+:107B4000000736021080FFD0240A001800075402F4
+:107B5000314600FF0A000B02240A00103C0C08000A
+:107B6000258C5EA03C04080024845EE00A000AE93B
+:107B7000240300103C0C0800258C5E203C04080007
+:107B800024845EA00A000AE88F89009000071A0288
+:107B9000306600FF0A000B02240A00088F89008C5F
+:107BA0003C0C0800258C5F603C04080024845F7056
+:107BB0000A000AE924030004000A4080250B003073
+:107BC00024E6FFFF01601821AF8900480A000AFA85
+:107BD000AF86006C000AC982001978803C07080053
+:107BE00024E75EA001E72021000A18428C8F0000E4
+:107BF0003079001F032C38040007C02701F86024E7
+:107C00000A000B17AC8C00000003314200062880EC
+:107C100000AF28213062001F8CB8000024630001EF
+:107C2000004CC804000321420019382700041080CA
+:107C300003073024004F20210A000B5BACA6000094
+:107C4000000A68C025AB0032258AFFFF01601821B9
+:107C5000AF8900A40A000AFAAF8A0060254B1030F1
+:107C6000AF8900900160182125C9FFFF0A000AFAB8
+:107C7000AF890084308600072CC200061040001433
+:107C800000000000000640803C030800246357D039
+:107C9000010338218CE4000000800008000000008F
+:107CA0002409000310A9000E00000000240A0005AA
+:107CB00010AA000B00000000240B000110AB00080C
+:107CC000000000008F8C00A010AC00050000000038
+:107CD00003E00008000010210A000A8800A020210B
+:107CE0000A000AD600C0202127BDFFE8308400FF2B
+:107CF000240300021083000BAFBF00102406000312
+:107D00001086003A2408000410880068240E00053C
+:107D1000108E007F2CAF14308FBF001003E00008DE
+:107D200027BD00182CA200301440FFFC8FBF0010AC
+:107D300024A5FFD0000531C2000668803C0708007A
+:107D400024E75EE001A730218CC9000000052882ED
+:107D500030AC001F240B0001018B50048F840048BD
+:107D6000012A4025ACC800008C830000506000014F
+:107D7000AF8600488F98006C30AE000124A6FFFF4C
+:107D8000270F000115C00002AF8F006C24A6000170
+:107D90000006414200082080008718218C790000ED
+:107DA00030C2001F240600010046F804033F3824B7
+:107DB00010E0FFDA8FBF00100005C182001870804C
+:107DC0003C0F080025EF5EA001CF48218D2B00005D
+:107DD0000005684231A5001F00A66004016C502513
+:107DE00027BD001803E00008AD2A00002CA70030D2
+:107DF00014E0FFCA8FBF001030B900071723FFC778
+:107E000024A8FFCE00086A02000D60803C0B080029
+:107E1000256B5EA0018B30218CC40000000828C2B5
+:107E200030AA001F24080001014848048F8200A4E2
+:107E300000891825ACC300008C5F000053E00001EE
+:107E4000AF8600A400057040000E7942000F288024
+:107E50003C04080024845EE000A418218C6B000020
+:107E600025DF000131CD001F001F514201A8600431
+:107E7000016C4825000A1080AC69000000442821EC
+:107E80008CA600008F98006033F9001F8FBF001090
+:107E90000328380400C77825270E000127BD0018E5
+:107EA000ACAF000003E00008AF8E006024A5EFD067
+:107EB0002CB804001300FF998FBF00100005314259
+:107EC000000658803C0A0800254A5E20016A3021DD
+:107ED0008CC4000030A3001F2409000100691004B5
+:107EE0008F9900900082F825ACDF00008F270000FA
+:107EF00050E00001AF8600908F8D00848FBF00108E
+:107F000027BD001825AC000103E00008AF8C0084F9
+:107F100015E0FF828FBF00108F8600A00006104082
+:107F20000046F821001F210003E4C8210019384051
+:107F300024F8143000B8402B1100FF788FBF0010D8
+:107F400024A4EBD00E00020300C0282100027942D5
+:107F5000000F70803C0D080025AD5F6001CD202131
+:107F60008C8B0000304C001F240600010186180491
+:107F70008F89008C01635025AC8A00008D2500009C
+:107F800050A00001AF84008C8F9800808FBF00103C
+:107F900027BD00182708000103E00008AF88008013
+:107FA00030A500072403000310A3001028A200043A
+:107FB00014400008240700022403000410A3001545
+:107FC0002408000510A8000F8F8500A003E000081A
+:107FD0000000000014A7FFFD0080282114C3FFFB50
+:107FE000240400020A000B9A000000002409000586
+:107FF0000080282110C9FFFB2404000303E00008CF
+:108000000000000014C5FFF1008028210A000B9A2F
+:1080100024040005240A00010080282110CAFFF171
+:108020002404000403E000080000000027BDFFE076
+:10803000AFB00010000581C22603FFD024C5003F69
+:108040002C6223D024C6007FAFB20018AFB1001459
+:10805000AFBF001C309100FF000691C200052982CD
+:1080600002002021104000082403FFFF0E000A5ADE
+:108070000000000002002021022028210E000C48F0
+:1080800002403021000018218FBF001C8FB2001861
+:108090008FB100148FB000100060102103E00008C1
+:1080A00027BD002027BDFFD824A2007FAFB3001C4E
+:1080B000AFB20018000299C2309200FF24A3003F23
+:1080C0000240202102602821AFB10014AFB000109F
+:1080D000AFBF00200E000B7D00038982004080218D
+:1080E000004020210220282114400009000018210E
+:1080F0008FBF00208FB3001C8FB200188FB1001407
+:108100008FB000100060102103E0000827BD002898
+:108110000E000A0B00000000004028210200202170
+:108120001051FFF3001019C00E000A5A00000000A1
+:1081300002002021024028210E000C48026030215C
+:108140008FBF00208FB3001C8FB200188FB10014B6
+:108150008FB00010000018210060102103E000081B
+:1081600027BD00283084FFFF30A5FFFF10800007E7
+:10817000000018213082000110400002000420425B
+:10818000006518211480FFFB0005284003E000086B
+:108190000060102110C00007000000008CA2000049
+:1081A00024C6FFFF24A50004AC82000014C0FFFB1E
+:1081B0002484000403E000080000000010A0000870
+:1081C00024A3FFFFAC8600000000000000000000B8
+:1081D0002402FFFF2463FFFF1462FFFA24840004DB
+:1081E00003E000080000000030A5FFFF8F4201B847
+:1081F0000440FFFE3C07601500A730253C0310003B
+:10820000AF440180AF400184AF46018803E000081D
+:10821000AF4301B88F8500D02C8640000080182124
+:108220008CA700840087102B144000100000000071
+:108230008CA800842D06400050C0000F240340008D
+:108240008CAA0084008A482B512000018CA3008452
+:1082500000035A42000B20803C05080024A558204A
+:108260000085182103E000088C62000014C0FFF4B0
+:10827000000000002403400000035A42000B20804D
+:108280003C05080024A558200085182103E00008BB
+:108290008C6200008F8300D0906600D024C500015E
+:1082A000A06500D08F8500D0906400D090A200D24D
+:1082B0001044001700000000936C00788F8B00BC06
+:1082C000318A00FFA16A000C25490001938700C490
+:1082D000312200FF3048007F1107000B00026827A1
+:1082E000A36200788F4E017805C0FFFE8F9900B021
+:1082F000241800023C0F1000AF590140A35801445C
+:1083000003E00008AF4F01780A000D1831A2008089
+:10831000A0A000D00A000D0E000000008F8700D042
+:1083200027BDFFC8AFBF0030AFB7002CAFB60028E5
+:10833000AFB50024AFB40020AFB3001CAFB200183B
+:10834000AFB10014AFB0001094E300E094E200E29B
+:10835000104300D72405FFFF3C047FFF3497FFFF45
+:108360002415FF800A000DFF3C16000E108A00D174
+:108370008FBF00308F9100B03C1808008F18005C50
+:10838000001230C0001291400311702101D57824F1
+:10839000AF4F002C94EC00E231CD007F01BA5821A0
+:1083A000318A7FFF01764821000A804002091021AE
+:1083B000945300003C0808008D0800580246C02174
+:1083C00032733FFF0013198001032021022428216A
+:1083D00030BF007F03FAC82100B5A024AF54002CA1
+:1083E0000336A0218E8700108E8F0030037858212D
+:1083F000256D008800EF7023240C0002AE8E001063
+:10840000AF8D00ACA16C0088976A003C8E84003070
+:108410008F9100AC0E000CE53150FFFF00024B8045
+:10842000020940253C02420001022025AE2400043E
+:108430008E8300048F8D00AC8E860000240E000811
+:10844000ADA3001CADA60018ADA0000CADA000109F
+:10845000929F000A33F900FFA5B900149685000821
+:108460003C1F000CA5A500169298000A331100FFCE
+:10847000A5B100209690000824180005A5B00022A0
+:10848000ADA00024928F000B2410C00031E700FF44
+:10849000A5A70002A1AE00018E8C00308F8B00AC2E
+:1084A0008F8400B0AD6C00083C0A08008D4A00546F
+:1084B0000144482101354024AF4800283C0208000F
+:1084C0008C4200540044302130C3007F007AC82120
+:1084D000033F282102458821AF9100BCAF8500C031
+:1084E000A23800008F8A00BC2403FFBF2418FFDFDE
+:1084F000954F000201F0382400F37025A54E0002CC
+:10850000914D000231AC003F358B0040A14B000281
+:108510008F8600BC8F8900D0ACC000048D28007C01
+:108520003C098000ACC8000890C4000D3082007F78
+:10853000A0C2000D8F8500BC90BF000D03E3C824CE
+:10854000A0B9000D8F9100BC9233000D02789024E9
+:10855000A232000D8E9000348F8B00BCAD700010E5
+:108560008E87002C8E8F003000EF7023AD6E0014CC
+:10857000916D001831AC007FA16C00188F9F00BC7A
+:108580008E8A00308FE8001801572024010930241A
+:1085900000C41025AFE200189283000AA3E3001C78
+:1085A000969900088F8500BC8F9800D0A4B9001E52
+:1085B0008E9000308E8400300E0002038F05008400
+:1085C0008F8500D0000291400002990090AF00BC5E
+:1085D000025388210040302131E7000210E00003FF
+:1085E00002118021000290800212802190B900BC0B
+:1085F0003327000410E000020006F880021F8021EB
+:108600008E9800308F8B00BC24068000330F00034F
+:10861000000F702331CD0003020D6021AD6C00040A
+:1086200094A400E294AA00E294B000E231497FFFF2
+:108630002522000130537FFF0206182400734025D5
+:10864000A4A800E294A400E23C1408008E94006008
+:1086500030917FFF12340022000000000E000D0553
+:10866000000000008F8700D00000282194F300E074
+:1086700094F000E21213000F8FBF003090E900D099
+:1086800090E800D1313200FF310400FF0244302B6A
+:1086900014C0FF36264A000190EE00D2264B00019E
+:1086A00031CD00FF008D6021158BFF338F9100B01D
+:1086B0008FBF00308FB7002C8FB600288FB50024F5
+:1086C0008FB400208FB3001C8FB200188FB100143C
+:1086D0008FB0001000A0102103E0000827BD003873
+:1086E00094A300E200664024A4A800E290A400E263
+:1086F00090B900E2309100FF0011A1C20014F827E8
+:10870000001F39C03332007F024730250A000DF7C1
+:10871000A0A600E23084FFFF30A5FFFFAF440018A1
+:10872000AF45001C03E000088F42001427BDFFB8CE
+:10873000AFB000208F9000D03084FFFFAFA40010B6
+:10874000AFBF0044AFBE0040AFB7003CAFB600388B
+:10875000AFB50034AFB40030AFB3002CAFB20028D7
+:10876000AFB10024A7A00018920600D1920500D056
+:1087700030C400FF30A300FF0064102B1040012222
+:10878000AFA00014920900D08FB50010312800FF6F
+:108790000088382324F4FFFF0014882B0015982B41
+:1087A00002339024524001268FB40014961E00120A
+:1087B000961F00108FB7001003DFC82300171400A6
+:1087C0000019C400000224030018140302E2B02AB6
+:1087D00052C00001004020210284282B10A000027A
+:1087E000008018210280182100033C0000071C03B0
+:1087F0003064FFFF2C86000914C000020060B8211D
+:10880000241700088E0A0008001769808E09000CE2
+:1088100031ABFFFF3C0C0010016C402527520400D7
+:10882000AF4A0038AF9200B8AF49003CAF480030C3
+:108830000000000000000000000000000000000038
+:108840000000000000000000000000000000000028
+:108850008F4F000031EE002011C0FFFD0017982A55
+:10886000027110240A000E920000B02155E00101AF
+:108870009258000131130080126001CF01202021A5
+:108880009655001232A5FFFF0E000CDAA7B50018AE
+:108890008F9000D00291A02326C800018F9100B8CC
+:1088A0000008B4000016B403262C004002D7782A32
+:1088B0000014882B240B00010180902101F1102469
+:1088C000AF8C00B8AFAB0014104001BC8F8900B072
+:1088D0003C0C08008D8C0054240BFF80921E00D0AD
+:1088E00001895021014B2824921900D0AF4500285E
+:1088F0008E4700103C0808008D0800583C180800FE
+:108900008F18005430E33FFF000321800104302121
+:10891000012658212402FF800162F824920C00D025
+:10892000AF5F002C9248000033D100FF333500FFC9
+:108930000309982100117140001578C0326D007F45
+:1089400001CF382101BA2821318300FF3164007F33
+:108950003C0A000C00AA88210367F0210003314083
+:10896000009A10213108003F3C1F000E00D1C021A9
+:10897000005F982127D900882D150008AF9100C00D
+:10898000AF9900ACAF9800BCAF9300B412A0018ABD
+:1089900000008821240E0001010E4004310D005D0D
+:1089A00011A0FFB2310F00028E4A00283C03008064
+:1089B0003C04FFEFAE6A00008E450024A260000A6E
+:1089C0003488FFFFAE6500049247002C3C1FFF9FD8
+:1089D00037FEFFFFA267000C8E62000C3C180040BF
+:1089E000A267000B0043302500C8C824033E88243A
+:1089F0000238A825AE75000C8E490004AE60001840
+:108A00003C0F00FFAE6900148E4D002C35EEFFFFC9
+:108A10008F8B00B001AE6024AE6C00108E47000852
+:108A2000A660000896450012AE6700208E42000C3A
+:108A300030B03FFF00105180AE6200248E5E001403
+:108A4000014B182130A40001AE7E00288E59001879
+:108A5000000331C200044380AE79002C8E51001C0B
+:108A600000C8F821A67F001CAE71003096580002A5
+:108A70008E550020A678001EAE7500349249003352
+:108A80003130000456000005925000008F8C00D059
+:108A90008D8B007CAE6B0030925000008F8F00BC3D
+:108AA000A1F00000924E003331CD000251A000072A
+:108AB000925E00018F8900BC2418FF809131000074
+:108AC0000311A825A1350000925E00018F9900BC1A
+:108AD0002409FFBF240BFFDFA33E00018F9500BCDC
+:108AE00092B8000D3311007FA2B1000D8F8E00BC33
+:108AF00091D0000D02097824A1CF000D8F8800BC11
+:108B00008E6D0014910A000D2DAC0001000C29405F
+:108B1000014B382400E51825A103000D96420012F0
+:108B20008F8800BC8F8700D0A50200028E4500040C
+:108B300090FF00BC30A400030004302330DE0003AB
+:108B400000BE102133F90002172000022444003433
+:108B50002444003090E200BC00A2302430DF000446
+:108B600017E0000224830004008018218F8F00ACDE
+:108B700024090002AD030004A1E90000924E003F69
+:108B80008F8D00ACA1AE00018F9500AC924C003FE0
+:108B90008E440004A6AC0002976B003C0E000CE56E
+:108BA0003170FFFF00025380020A38253C05420065
+:108BB00000E51825AEA300048F8600AC8E4800386F
+:108BC000ACC800188E440034ACC4001CACC0000C0F
+:108BD000ACC00010A4C00014A4C00016A4C00020A3
+:108BE000A4C00022ACC000248E6400145080000198
+:108BF00024040001ACC400080E000D05241100017E
+:108C00000A000E858F9000D0920F00D2920E00D0F5
+:108C10008FB5001031EB00FF31CD00FF008D6023D8
+:108C2000016C50212554FFFF0014882B0015982B50
+:108C3000023390241640FEDD000000008FB40014C3
+:108C40008FBF00448FBE00403A8200018FB7003CC6
+:108C50008FB600388FB500348FB400308FB3002C3E
+:108C60008FB200288FB100248FB0002003E00008ED
+:108C700027BD004833110020122000EE241500010A
+:108C8000921E00BC241F00010000A82133D900015E
+:108C90001320000DAFBF001C8E4400148E0800840A
+:108CA0000088102B14400002008030218E060084C2
+:108CB0008E03006400C3A82B16A0000200C0202170
+:108CC0008E0400640080A8218E4700148E05006485
+:108CD00000E5302B14C0000200E020218E04006467
+:108CE0000095F02313C000048FAC001C240A00027E
+:108CF000AFAA001C8FAC001C028C582B156000A87A
+:108D0000000018218E4F00388E6D000C3C0E008044
+:108D1000AE6F00008E4A00343C10FF9F01AE582514
+:108D2000AE6A00049246003F360CFFFF016C382407
+:108D30003C0500203C03FFEFA266000B00E5102578
+:108D40003468FFFF8F8700B80048F8243C0400080F
+:108D500003E4C825AE79000C8CF80014AE6000184E
+:108D600002BE7821AE7800148CF10018AE71001CA0
+:108D70008CE90008AE6900248CEE000CAE6F002C6C
+:108D8000AE600028AE6E0020A6600038A660003AF3
+:108D90008CED001401B58023021E902312400011B7
+:108DA000AE72001090EA003D8E6500048E640000F3
+:108DB000000A310000A6C821000010210326402B24
+:108DC0000082F82103E8C021AE790004AE780000EB
+:108DD00090F1003DA271000A8F8900B8953200061B
+:108DE000A67200088F9800AC2419000202A020216E
+:108DF000A31900009769003C8F9200AC0E000CE5AF
+:108E00003131FFFF00027B808F8500B8022F68257B
+:108E10003C0E420001AE8025AE5000048F8400ACB1
+:108E20008CAC0038AC8C00188CAB0034AC8B001CC4
+:108E3000AC80000CAC800010A4800014A48000164C
+:108E4000A4800020A4800022AC80002490A7003FD2
+:108E5000A487000212A001342403000153C00002C1
+:108E600090A2003D90A2003E24480001A08800018D
+:108E70008F9F00ACAFF500088F8300D0240700342B
+:108E8000906600BC30C5000250A0000124070030ED
+:108E90008F9200B88F8A00BC906D00BC924B00008E
+:108EA0002412C00032A50003A14B00008F8600B839
+:108EB0008F8800BC2402000490C4000100451823E0
+:108EC00030790003A10400018F8A00BC8F9F00B895
+:108ED00000F538219558000297E9001200F9382171
+:108EE00003128824312F3FFF022F7025A54E000268
+:108EF0009150000231A80004320C003F358B004035
+:108F0000A14B000212A000028F8500BC00E83821AE
+:108F10008F8E00D0ACA70004240BFFBF8DCD007C4A
+:108F20002EA400012403FFDFACAD000890B0000DBB
+:108F300000044140320C007FA0AC000D8F8600BCC5
+:108F400090CA000D014B1024A0C2000D8F8700BCF9
+:108F500090E5000D00A3F82403E8C825A0F9000D52
+:108F60008F9100B88F8D00BC8E380020ADB80010F6
+:108F70008E290024ADA900148E2F0028ADAF001853
+:108F80008E2E002C0E000D05ADAE001C8FB0001C07
+:108F9000240C0002120C00ED8F9000D08FA3001C57
+:108FA00000608821146000020060A8210000A02158
+:108FB00056A0FE390291A0230014882B8FAE00101A
+:108FC000960700103C0A002001D6F02302C7C021FA
+:108FD00033D2FFFFA6180010AFB20010AF4A003026
+:108FE0000000000096170010961300121277008EF2
+:108FF000001641808E16000C8E0F0008000817C363
+:1090000002C8282100A8582B01E2A82102AB182190
+:10901000AE05000CAE0300088FB300100013B82B90
+:10902000023780241200FF058F9000D00A000E4BFB
+:10903000000000008E480038A6600008240F0003DE
+:10904000AE6800008E4C0034A260000A8F9000B819
+:10905000AE6C00043C050080920B003FA26F000C38
+:109060008E62000C3C11FF9FA26B000B004568252F
+:109070003623FFFF3C04FFEF8F8C00B801A33024A0
+:10908000349FFFFF00DFC824AE79000C8D890014E7
+:10909000959E00128F9800B0AE6900108D8E00145E
+:1090A000AE600018AE600020AE6E0014AE6000240A
+:1090B0008D87001833CE3FFF000E5180AE67002829
+:1090C0008D8800080158902133D00001AE6800302F
+:1090D0008D91000C8F8D00AC001259C200107B8066
+:1090E000016F282124020002A665001CA66000363C
+:1090F000AE71002CA1A200009763003C8F9800ACD9
+:109100003C044200307FFFFF03E43025AF0600043B
+:109110008F9900B824070001240BC0008F3300385A
+:1091200024060034AF1300188F290034AF09001C47
+:10913000AF00000CAF000010A7000014A70000163D
+:10914000A7000020A7000022AF000024A7150002FE
+:10915000A30700018F8A00AC8F9E00B88F8C00BCE3
+:10916000AD55000893C80000A18800008F9200B898
+:109170008F8F00BC92500001A1F000018F8400BCD1
+:1091800094910002022B282400AE1025A482000234
+:10919000908D000231A3003FA08300028F8300D096
+:1091A0008F8400BC907F00BC33F30002526000014A
+:1091B00024060030AC8600048C65007C240DFFBFC3
+:1091C00002A08821AC8500089082000D3043007F0A
+:1091D000A083000D8F8600BC90C4000D008DF82484
+:1091E000A0DF000D8F8E00BC91D9000D3729002023
+:1091F000A1C9000D8F9E00B88F9300BC8FC70020BF
+:10920000AE6700108FD80024AE7800148FCA0028F3
+:10921000AE6A00188FD2002C0E000D05AE72001C35
+:109220000A00104C8F9000D0960200148E040004A7
+:109230003043FFFF000368C0008DF821AF5F003CA2
+:109240008E1900048F46003C032648231920003C59
+:10925000000000008E05000024A200013C0B00105D
+:1092600035750008AF420038AF55003000000000EF
+:1092700000000000000000000000000000000000EE
+:109280000000000000000000000000008F4C000003
+:10929000318F002011E0FFFD000000008F5304001B
+:1092A0003C080020AE1300088F570404AE17000CD2
+:1092B000AF480030000000003C0608008CC60044A7
+:1092C0002416000110D600BB000000009619001201
+:1092D0003C0508008CA5004000B94821A6090012F1
+:1092E000960E001425C70001A60700149618001456
+:1092F0003304FFFF5486FF498FB30010A60000140B
+:109300000E000E2530A5FFFF3C0408008C840024CD
+:10931000961F00120044682303ED3023A6060012B6
+:109320000A0010678FB30010A08300018F8200AC89
+:1093300024040001AC4400080A000FFF8F8300D012
+:109340008E0200000A0010F83C0B00108F9F00C036
+:109350008FB8001C920F00D0920B00D0920D00D05D
+:1093600031F100FF316E00FF000E28C000111140E6
+:109370000045182131A600FF036350210006C940B3
+:10938000033F382125490088AF8900ACAF8700BC76
+:10939000A15800889768003C03C020218F9100AC41
+:1093A0000E000CE53110FFFF00026380020C7825EF
+:1093B0003C0442008F8C00B801E45825AE2B000419
+:1093C0008D9100388F8B00AC00006821000D1100DA
+:1093D000AD7100188D8E00343C087FFF3504FFFF0F
+:1093E000AD6E001C9183003E8D65001C8D790018C8
+:1093F000000331000003870200A6C0210050F825B9
+:109400000306482B033F382100E95021AD78001CAA
+:10941000AD6A0018AD60000CAD600010918F003E89
+:109420002405000503C45024A56F00149591000481
+:1094300003C02021A5710016918E003EA56E00206C
+:10944000958D0004A56D0022AD6000249190003F31
+:10945000A57000029182003D24430001A163000138
+:109460008F8600AC8F9F00BCACDE0008A3E5000037
+:109470008F9000BC8F9900B82405FFBF96070002AB
+:10948000973800120247782433093FFF01E9882505
+:10949000A6110002921200022418FFDF324E003F94
+:1094A00035CD0040A20D00028F8600BC8F8C00D00D
+:1094B0002412FFFFACC000048D8B007C3C0C8000AC
+:1094C000ACCB000890C2000D3043007FA0C3000D5C
+:1094D0008F8700BC90FF000D03E5C824A0F9000DA4
+:1094E0008F9100BC9229000D01387824A22F000D25
+:1094F0008F9000BCAE120010AE150014920E001832
+:109500002415FF8002AE6825A20D00188F8500BCCF
+:109510008F8300B88CAB0018016C1024004A3025F2
+:10952000ACA600189068003EA0A8001C8F9F00B851
+:109530008F8700BC8F9800D097F90004A4F9001E13
+:109540000E0002038F0500848F8600D00002794050
+:109550000002490090D200BC01E988210040282186
+:109560003255000212A0000303D120210002A8807E
+:109570000095202190CC00BC3192000412400003E1
+:1095800033C900030005408000882021241900040D
+:109590008F9E00BC0329382330F800030098502127
+:1095A000AFCA00040E000D05A66500380A0010477A
+:1095B0008F9000D0960A00123C1E08008FDE002417
+:1095C00003CA9021A61200120A0010678FB3001080
+:1095D00027BDFFE03C1808008F180050AFB0001006
+:1095E000AFBF0018AFB10014AF8400B09371007426
+:1095F000030478212410FF8031EE007F3225007FA4
+:1096000001F0582401DA68213C0C000AA38500C44B
+:1096100001AC2821AF4B002494A9001097680006E4
+:1096200090A6006200803821240200300109202326
+:1096300030C300F0AF8500D0106200193090FFFFFA
+:1096400090AE0062240DFFF0240A005001AE6024A9
+:10965000318B00FF116A002F000000001600000788
+:10966000241F0C00AF5F00248FB100148FBF0018BF
+:109670008FB0001003E0000827BD00200E000E2B65
+:1096800002002021241F0C00AF5F00248FB10014C2
+:109690008FBF00188FB0001003E0000827BD002026
+:1096A00094A200E094A400E290BF01130082182667
+:1096B0003079FFFF33E700C014E000092F310001CB
+:1096C00016000038000000005620FFE6241F0C00A2
+:1096D0000E000D27000000000A0011F9241F0C00E5
+:1096E0001620FFDE000000000E000D270000000025
+:1096F0001440FFDC241F0C00160000228F8300D0D2
+:10970000906901133122003FA06201130A0011F990
+:10971000241F0C0094AF00D48F8600D400E02821D1
+:10972000240400050E000C6B31F0FFFF144000050F
+:1097300024030003979100E6000018212625FFFF6F
+:10974000A78500E68F5801B80700FFFE3C1960139B
+:10975000AF400180241F0C00AF50018400793825F0
+:109760003C101000AF4701888FB10014AF5001B812
+:10977000AF5F00248FB000108FBF001803E0000817
+:1097800027BD00200E000E2B020020215040FFB507
+:10979000241F0C008F8300D0906901130A0012224D
+:1097A0003122003F0E000E2B020020211440FFAD9D
+:1097B000241F0C00122000078F8300D09068011333
+:1097C0003106003F34C20040A06201130A0011F9C3
+:1097D000241F0C000E000D27000000005040FFA1C8
+:1097E000241F0C008F8300D0906801133106003FC6
+:1097F0000A00125234C20040AF9B00C803E00008C8
+:10980000AF8000EC3089FFFF000940422D0200418B
+:10981000000929801440000200095040240800403B
+:10982000000879400008C0C001F85821256701A848
+:1098300000EF702125CC007F240DFF80018D1824BE
+:109840000065302100CA282125640088240A008888
+:109850003C010800AC2A004C3C010800AC2400503C
+:10986000AF8500D43C010800AC2900603C01080031
+:10987000AC2800643C010800AC2700543C010800FF
+:10988000AC2300583C010800AC26005C03E0000853
+:1098900000000000308300FF30C6FFFF30E400FF0F
+:1098A0008F4201B80440FFFE00034C00012438251C
+:1098B0003C08600000E820253C031000AF45018013
+:1098C000AF460184AF44018803E00008AF4301B80C
+:1098D0008F86001C3C096012352700108CCB0004D9
+:1098E0003C0C600E35850010316A00062D480001E1
+:1098F000ACE800C48CC40004ACA431808CC2000865
+:1099000094C30002ACA2318403E00008A78300E402
+:109910003C0308008C6300508F8400E88F86001C95
+:109920002402FF800064C0210302C824AF5900282C
+:109930008CCD00043305007F00BA78213C0E000C6A
+:1099400001EE2821ACAD00588CC80008AF8500D0CE
+:109950003C076012ACA8005C8CCC001034E800100E
+:10996000ACAC000C8CCB000CACAB000894AA00147F
+:109970003C0208008C42004425490001A4A90014BF
+:1099800094A400143083FFFF106200178F8400D06E
+:109990003C0A08008D4A0040A4AA00128CCE001890
+:1099A000AC8E00248CCD0014AC8D00208CC7001828
+:1099B000AC87002C8CCC001424060001AC8C002851
+:1099C0008D0B00BC5166001A8D0200B48D0200B8E8
+:1099D000A482003A948F003AA48F003C948800D46B
+:1099E00003E000083102FFFF3C0908008D29002434
+:1099F000A4A000148F8400D0A4A900128CCE00185B
+:109A0000AC8E00248CCD0014AC8D00208CC70018C7
+:109A1000AC87002C8CCC001424060001AC8C0028F0
+:109A20008D0B00BC5566FFEA8D0200B88D0200B4B4
+:109A3000A482003A948F003AA48F003C948800D40A
+:109A400003E000083102FFFF8F86001C3C0C080079
+:109A50008D8C0050240BFF808CCD00083C03000C43
+:109A6000000D51C0018A4021010B4824AF8A00E853
+:109A7000AF49002890C700073105007F00BA1021C8
+:109A80000043282130E400041080002FAF8500D06F
+:109A900090CF000731EE000811C0003C000000002C
+:109AA0008CD9000C8CC400140324C02B1300002696
+:109AB000000000008CC2000CACA200648CCD001829
+:109AC0002402FFF8ACAD00688CCC0010ACAC008078
+:109AD0008CCB000CACAB00848CCA001CACAA007C04
+:109AE00090A900BC01224024A0A800BC90C300079C
+:109AF0003067000810E000048F8500D090AF00BCF4
+:109B000035EE0001A0AE00BC90D90007333800014B
+:109B10001300000F8F8400D024070020908200BC27
+:109B200034490002A08900BC8F8400D09088006274
+:109B3000310300F014670006240A0034AC8A00C028
+:109B40000A001334000000000A00130E8CC2001437
+:109B500090CB00073166000210C000050000000035
+:109B6000908D00BC35AC0004A08C00BC8F8400D06C
+:109B700090980113330F003FA08F01138F8E00D0F8
+:109B800095C500D403E0000830A2FFFFACA000643C
+:109B90000A00130F0000000027BDFFD8AFB000106F
+:109BA0008F90001CAFBF0024AFB40020AFB20018EC
+:109BB000AFB10014AFB3001C9613000E3C07600A4F
+:109BC0003C1460063264FFFF369300100E001261F1
+:109BD00034F404108F8400D43C11600E0E0009AAE6
+:109BE00036310010920E00153C0708008CE700602B
+:109BF0003C12601231CD000FA38D00F08E0E0004D8
+:109C00008E0D000896080012961F00109619001A73
+:109C10009618001E960F001C310CFFFF33EBFFFF60
+:109C2000332AFFFF3309FFFF31E6FFFF3C01080045
+:109C3000AC2B00403C010800AC2C00243C01080087
+:109C4000AC2A0044AE293178AE26317C9202001550
+:109C50009603001636520010304400FF3065FFFFB7
+:109C60003C0608008CC60064AE243188AE4500B4C2
+:109C70009208001496190018241F0001011FC00447
+:109C8000332FFFFF3C0508008CA50058AE5800B8E4
+:109C9000AE4F00BC920C0014AF8E00D8AF8D00DC2C
+:109CA000318B00FFAE4B00C0920A0015AE67004832
+:109CB000AE66004C314900FFAE4900C8AE65007C7D
+:109CC0003C0308008C6300503C0408008C84004C6A
+:109CD0003C0808008D0800543C0208008C42005CDF
+:109CE0008FBF0024AE6300808FB00010AE8300747D
+:109CF0008FB3001CAE22319CAE4200DCAE2731A0F7
+:109D0000AE2631A4AE24318CAE233190AE283194EE
+:109D1000AE253198AE870050AE860054AE850070F7
+:109D20008FB10014AE4700E0AE4600E4AE4400CC74
+:109D3000AE4300D0AE4800D4AE4500D88FB400206A
+:109D40008FB2001803E0000827BD002827BDFFE000
+:109D5000AFB10014AFBF0018241100010E00085469
+:109D6000AFB0001010510005978400E6978300CC37
+:109D70000083102B144000088F8500D424070002B4
+:109D80008FBF00188FB100148FB0001000E01021B9
+:109D900003E0000827BD00200E000C892404000504
+:109DA000AF8200E81040FFF6240700020E000858BA
+:109DB0008F90001C979F00E68F9900E88F8D00C858
+:109DC00027EF0001240E0050AF590020A78F00E6B6
+:109DD000A1AE00003C0C08008D8C00648F8600C88A
+:109DE000240A8000000C5E00ACCB0074A4C0000606
+:109DF00094C9000A241FFF803C0D000C012AC024D6
+:109E0000A4D8000A90C8000A24182000011F1825B1
+:109E1000A0C3000A8F8700C8A0E000788F8500C823
+:109E200000003821A0A000833C0208008C420050B2
+:109E30008F8400E80044782101FFC824AF5900282E
+:109E4000960B000231EE007F01DA6021018D302196
+:109E5000A4CB00D4960A0002AF8600D03C0E0004CA
+:109E600025492401A4C900E68E080004ACC80004FA
+:109E70008E030008ACC30000A4C00010A4C00014EE
+:109E8000A0C000D08F8500D02403FFBFA0A000D1C8
+:109E90003C0408008C8400648F8200D0A04400D26F
+:109EA0008E1F000C8F8A00D0978F00E4AD5F001CDE
+:109EB0008E19001024100030AD590018A540003054
+:109EC000A5510054A5510056A54F0016AD4E00688F
+:109ED000AD580080AD580084914D006231AC000F48
+:109EE000358B0010A14B00628F8600D090C90063B3
+:109EF0003128007FA0C800638F8400D02406FFFFB4
+:109F00009085006300A31024A08200638F9100D08D
+:109F100000E01021923F00BC37F90001A23900BCDB
+:109F20008F8A00D0938F00F0AD580064AD5000C010
+:109F3000914E00D3000F690031CC000F018D5825E0
+:109F4000A14B00D38F8500D08F8900DCACA900E83D
+:109F50008F8800D88FBF00188FB100148FB0001009
+:109F600027BD0020ACA800ECA4A600D6A4A000E069
+:109F7000A4A000E203E000080000000027BDFFE00D
+:109F8000AFB000108F90001CAFB10014AFBF00182D
+:109F90008E1900043C1808008F180050240FFF8011
+:109FA000001989C00238702131CD007F01CF6024B3
+:109FB00001BA50213C0B000CAF4C0028014B402152
+:109FC000950900D4950400D68E0700043131FFFFB7
+:109FD000AF8800D00E000922000721C08E060004C1
+:109FE0008F8300C8000629C0AF4500209064003E62
+:109FF00030820040144000068F8400D0341FFFFFE1
+:10A00000948300D63062FFFF145F0004000000005C
+:10A01000948400D60E0008B73084FFFF8E0500043C
+:10A02000022030218FBF00188FB100148FB00010B4
+:10A030002404002200003821000529C00A001285EE
+:10A0400027BD002027BDFFE0AFB100143091FFFF16
+:10A05000AFB00010AFBF00181220001D000080211B
+:10A060008F86001C8CC500002403000600053F02FB
+:10A070000005140230E4000714830015304500FF8A
+:10A080002CA800061100004D000558803C0C08006B
+:10A09000258C57E8016C50218D49000001200008F3
+:10A0A000000000008F8E00EC240D000111CD00593E
+:10A0B00000000000260B00013170FFFF24CA0020C1
+:10A0C0000211202B014030211480FFE6AF8A001CD2
+:10A0D000020010218FBF00188FB100148FB0001044
+:10A0E00003E0000827BD0020938700CE14E000386D
+:10A0F000240400140E001346000000008F86001C8C
+:10A10000240200010A00148DAF8200EC8F8900EC5C
+:10A11000240800021128003B240400130000282119
+:10A1200000003021240700010E001285000000000D
+:10A130000A00148D8F86001C8F8700EC2405000216
+:10A1400014E5FFF6240400120E0012F200000000D5
+:10A150008F8500E800403021240400120E00128593
+:10A16000000038210A00148D8F86001C8F8300ECBC
+:10A17000241F0003147FFFD0260B00010E0012A441
+:10A18000000000008F8500E800403021240200021A
+:10A190002404001000003821AF8200EC0E0012856C
+:10A1A000000000000A00148D8F86001C8F8F00ECC9
+:10A1B0002406000211E6000B000000002404001039
+:10A1C00000002821000030210A0014AA2407000101
+:10A1D000000028210E001285000030210A00148D95
+:10A1E0008F86001C0E0013B3000000001440001204
+:10A1F0008F99001C8F86001C240200030A00148D16
+:10A20000AF8200EC0E00143F000000000A00148D25
+:10A210008F86001C0E001294000000002402000231
+:10A2200024040014000028210000302100003821FF
+:10A230000A0014C7AF8200EC00403821240400104B
+:10A2400097380002000028210E0012853306FFFF18
+:10A250000A00148D8F86001C8F8400C83C077FFF86
+:10A2600034E6FFFF8C8500742402000100A6182448
+:10A27000AC83007403E00008A082000510A0003643
+:10A280002CA20080274A04003C0B00052409008012
+:10A29000104000072408008030A6000F00C54021B0
+:10A2A0002D0300811460000200A0482124080080D2
+:10A2B000AF4B003000000000000000000000000074
+:10A2C0001100000900003821014030218C8D000070
+:10A2D00024E7000400E8602BACCD000024840004D7
+:10A2E0001580FFFA24C600040000000000000000F2
+:10A2F000000000003C0E0006010E3825AF4700307C
+:10A300000000000000000000000000008F4F00006F
+:10A3100031E800101100FFFD000000008F42003CFA
+:10A320008F43003C0049C8210323C02B13000004C5
+:10A33000000000008F4C003825860001AF46003831
+:10A340008F47003C00A9282300E96821AF4D003C5D
+:10A3500014A0FFCE2CA2008003E000080000000043
+:10A3600027BDFFD03C020002AFB100143C11000C2D
+:10A37000AF450038AFB3001CAF46003C00809821C9
+:10A38000AF42003024050088AF440028035120214B
+:10A39000AFBF0028AFB50024AFB40020AFB20018A3
+:10A3A0000E0014FFAFB000103C1F08008FFF004CE0
+:10A3B0003C1808008F1800642410FF8003F3A821C4
+:10A3C00032B9007F02B078240018A0C0033A70218F
+:10A3D0000018914001D12021AF4F00280E0014FF3A
+:10A3E000025428213C0D08008DAD005024050120A9
+:10A3F00001B35821316C007F01705024019A48212B
+:10A40000013120210E0014FFAF4A00283C0808004B
+:10A410008D0800543C0508008CA500640113382108
+:10A4200030E6007F00F0182400DA2021009120217E
+:10A43000AF4300280E0014FF000529403C0208002D
+:10A440008C4200583C1008008E1000601200001C66
+:10A45000005388212415FF800A0015823C14000C4B
+:10A460003226007F0235182400DA202102402821FC
+:10A47000AF430028009420210E0014FF2610FFC0D7
+:10A480001200000F023288212E05004110A0FFF4B7
+:10A49000241210003226007F00109180023518240B
+:10A4A00000DA202102402821AF4300280094202117
+:10A4B0000E0014FF000080211600FFF302328821F5
+:10A4C0003C0B08008D6B005C240AFF802405000211
+:10A4D00001734021010A4824AF4900283C040800C8
+:10A4E000948400623110007F021A88213C07000C1E
+:10A4F0000E000CB90227982100402821026020217B
+:10A500008FBF00288FB500248FB400208FB3001CAC
+:10A510008FB200188FB100148FB000100A0014FF22
+:10A5200027BD00308F83001C8C62000410400003A4
+:10A530000000000003E00008000000008C64001030
+:0CA540008C6500080A0015388C66000CC1
+:04A54C00000000000B
+:10A550000000001B0000000F0000000A00000008BF
+:10A5600000000006000000050000000500000004D7
+:10A5700000000004000000030000000300000003CE
+:10A5800000000003000000030000000200000002C1
+:10A5900000000002000000020000000200000002B3
+:10A5A00000000002000000020000000200000002A3
+:10A5B0000000000200000002000000020000000293
+:10A5C0000000000200000001000000010000000186
+:10A5D00008000F3008000D8808000FC40800106C38
+:10A5E00008000F5808000F98080011A408000DA4D7
+:10A5F000080011C808000DF4080014980800144061
+:10A6000008000DA408000DA408000DA408001254B1
+:10A610000800125408000DA408000DA4080016E05C
+:10A6200008000DA408000DA408000DA408000DA446
+:10A63000080013D408000DA408000DA408000DA400
+:10A6400008000DA408000DA408000DA408000DA426
+:10A6500008000DA408000DA408000DA408000DA416
+:10A6600008000DA408000DA408000DA408000FB8F0
+:10A6700008000DA408000DA40800169008000DA401
+:10A6800008000DA408000DA408000DA408000DA4E6
+:10A6900008000DA408000DA408000DA408000DA4D6
+:10A6A00008000DA408000DA408000DA408000DA4C6
+:10A6B00008000DA408000DA408000DA408000DA4B6
+:10A6C000080015BC08000DA408000DA408001348DC
+:10A6D000080012B808002E5008002E5808002E203E
+:10A6E00008002E2C08002E3808002E440800532C99
+:10A6F000080052EC080052B80800528C080052685A
+:04A7000008005224D7
+:0CA704000A000C760000000000000000BD
+:10A710000000000D727870342E362E3136000000A5
+:10A72000040610030000000000000001000000000B
+:10A730000000000000000000000000000000000019
+:10A740000000000000000000000000000000000009
+:10A7500000000000000000000000000000000000F9
+:10A7600000000000000000000000000000000000E9
+:10A7700000000000000000000000000000000000D9
+:10A7800000000000000000000000000000000000C9
+:10A7900000000000000000000000000000000000B9
+:10A7A00000000000000000000000000000000000A9
+:10A7B0000000000000000000000000000000000099
+:10A7C0000000000000000000000000000000000089
+:10A7D0000000000000000000000000000000000079
+:10A7E0000000000000000000000000000000000069
+:10A7F0000000000000000000000000000000000059
+:10A800000000000000000000000000000000000048
+:10A810000000000000000000000000000000000038
+:10A820000000000000000000000000000000000028
+:10A830000000000000000000000000000000000018
+:10A840000000000000000000000000000000000008
+:10A8500000000000000000000000000000000000F8
+:10A8600000000000000000000000000000000000E8
+:10A8700000000000000000000000000000000000D8
+:10A8800000000000000000000000000000000000C8
+:10A8900000000000000000000000000000000000B8
+:10A8A00000000000000000000000000000000000A8
+:10A8B0000000000000000000000000000000000098
+:10A8C0000000000000000000000000000000000088
+:10A8D0000000000000000000000000000000000078
+:10A8E0000000000000000000000000000000000068
+:10A8F0000000000000000000000000000000000058
+:10A900000000000000000000000000000000000047
+:10A910000000000000000000000000000000000037
+:10A920000000000000000000000000000000000027
+:10A930000000000000000000000000000000000017
+:10A940000000000000000000000000000000000007
+:10A9500000000000000000000000000000000000F7
+:10A9600000000000000000000000000000000000E7
+:10A9700000000000000000000000000000000000D7
+:10A9800000000000000000000000000000000000C7
+:10A9900000000000000000000000000000000000B7
+:10A9A00000000000000000000000000000000000A7
+:10A9B0000000000000000000000000000000000097
+:10A9C0000000000000000000000000000000000087
+:10A9D0000000000000000000000000000000000077
+:10A9E0000000000000000000000000000000000067
+:10A9F0000000000000000000000000000000000057
+:10AA00000000000000000000000000000000000046
+:10AA10000000000000000000000000000000000036
+:10AA20000000000000000000000000000000000026
+:10AA30000000000000000000000000000000000016
+:10AA40000000000000000000000000000000000006
+:10AA500000000000000000000000000000000000F6
+:10AA600000000000000000000000000000000000E6
+:10AA700000000000000000000000000000000000D6
+:10AA800000000000000000000000000000000000C6
+:10AA900000000000000000000000000000000000B6
+:10AAA00000000000000000000000000000000000A6
+:10AAB0000000000000000000000000000000000096
+:10AAC0000000000000000000000000000000000086
+:10AAD0000000000000000000000000000000000076
+:10AAE0000000000000000000000000000000000066
+:10AAF0000000000000000000000000000000000056
+:10AB00000000000000000000000000000000000045
+:10AB10000000000000000000000000000000000035
+:10AB20000000000000000000000000000000000025
+:10AB30000000000000000000000000000000000015
+:10AB40000000000000000000000000000000000005
+:10AB500000000000000000000000000000000000F5
+:10AB600000000000000000000000000000000000E5
+:10AB700000000000000000000000000000000000D5
+:10AB800000000000000000000000000000000000C5
+:10AB900000000000000000000000000000000000B5
+:10ABA00000000000000000000000000000000000A5
+:10ABB0000000000000000000000000000000000095
+:10ABC0000000000000000000000000000000000085
+:10ABD0000000000000000000000000000000000075
+:10ABE0000000000000000000000000000000000065
+:10ABF0000000000000000000000000000000000055
+:10AC00000000000000000000000000000000000044
+:10AC10000000000000000000000000000000000034
+:10AC20000000000000000000000000000000000024
+:10AC30000000000000000000000000000000000014
+:10AC40000000000000000000000000000000000004
+:10AC500000000000000000000000000000000000F4
+:10AC600000000000000000000000000000000000E4
+:10AC700000000000000000000000000000000000D4
+:10AC800000000000000000000000000000000000C4
+:10AC900000000000000000000000000000000000B4
+:10ACA00000000000000000000000000000000000A4
+:10ACB0000000000000000000000000000000000094
+:10ACC0000000000000000000000000000000000084
+:10ACD0000000000000000000000000000000000074
+:10ACE0000000000000000000000000000000000064
+:10ACF0000000000000000000000000000000000054
+:10AD00000000000000000000000000000000000043
+:10AD10000000000000000000000000000000000033
+:10AD20000000000000000000000000000000000023
+:10AD30000000000000000000000000000000000013
+:10AD40000000000000000000000000000000000003
+:10AD500000000000000000000000000000000000F3
+:10AD600000000000000000000000000000000000E3
+:10AD700000000000000000000000000000000000D3
+:10AD800000000000000000000000000000000000C3
+:10AD900000000000000000000000000000000000B3
+:10ADA00000000000000000000000000000000000A3
+:10ADB0000000000000000000000000000000000093
+:10ADC0000000000000000000000000000000000083
+:10ADD0000000000000000000000000000000000073
+:10ADE0000000000000000000000000000000000063
+:10ADF0000000000000000000000000000000000053
+:10AE00000000000000000000000000000000000042
+:10AE10000000000000000000000000000000000032
+:10AE20000000000000000000000000000000000022
+:10AE30000000000000000000000000000000000012
+:10AE40000000000000000000000000000000000002
+:10AE500000000000000000000000000000000000F2
+:10AE600000000000000000000000000000000000E2
+:10AE700000000000000000000000000000000000D2
+:10AE800000000000000000000000000000000000C2
+:10AE900000000000000000000000000000000000B2
+:10AEA00000000000000000000000000000000000A2
+:10AEB0000000000000000000000000000000000092
+:10AEC0000000000000000000000000000000000082
+:10AED0000000000000000000000000000000000072
+:10AEE0000000000000000000000000000000000062
+:10AEF0000000000000000000000000000000000052
+:10AF00000000000000000000000000000000000041
+:10AF10000000000000000000000000000000000031
+:10AF20000000000000000000000000000000000021
+:10AF30000000000000000000000000000000000011
+:10AF40000000000000000000000000000000000001
+:10AF500000000000000000000000000000000000F1
+:10AF600000000000000000000000000000000000E1
+:10AF700000000000000000000000000000000000D1
+:10AF800000000000000000000000000000000000C1
+:10AF900000000000000000000000000000000000B1
+:10AFA00000000000000000000000000000000000A1
+:10AFB0000000000000000000000000000000000091
+:10AFC0000000000000000000000000000000000081
+:10AFD0000000000000000000000000000000000071
+:10AFE0000000000000000000000000000000000061
+:10AFF0000000000000000000000000000000000051
+:10B000000000000000000000000000000000000040
+:10B010000000000000000000000000000000000030
+:10B020000000000000000000000000000000000020
+:10B030000000000000000000000000000000000010
+:10B040000000000000000000000000000000000000
+:10B0500000000000000000000000000000000000F0
+:10B0600000000000000000000000000000000000E0
+:10B0700000000000000000000000000000000000D0
+:10B0800000000000000000000000000000000000C0
+:10B0900000000000000000000000000000000000B0
+:10B0A00000000000000000000000000000000000A0
+:10B0B0000000000000000000000000000000000090
+:10B0C0000000000000000000000000000000000080
+:10B0D0000000000000000000000000000000000070
+:10B0E0000000000000000000000000000000000060
+:10B0F0000000000000000000000000000000000050
+:10B10000000000000000000000000000000000003F
+:10B11000000000000000000000000000000000002F
+:10B12000000000000000000000000000000000001F
+:10B13000000000000000000000000000000000000F
+:10B1400000000000000000000000000000000000FF
+:10B1500000000000000000000000000000000000EF
+:10B1600000000000000000000000000000000000DF
+:10B1700000000000000000000000000000000000CF
+:10B1800000000000000000000000000000000000BF
+:10B1900000000000000000000000000000000000AF
+:10B1A000000000000000000000000000000000009F
+:10B1B000000000000000000000000000000000008F
+:10B1C000000000000000000000000000000000007F
+:10B1D000000000000000000000000000000000006F
+:10B1E000000000000000000000000000000000005F
+:10B1F000000000000000000000000000000000004F
+:10B20000000000000000000000000000000000003E
+:10B21000000000000000000000000000000000002E
+:10B22000000000000000000000000000000000001E
+:10B23000000000000000000000000000000000000E
+:10B2400000000000000000000000000000000000FE
+:10B2500000000000000000000000000000000000EE
+:10B2600000000000000000000000000000000000DE
+:10B2700000000000000000000000000000000000CE
+:10B2800000000000000000000000000000000000BE
+:10B2900000000000000000000000000000000000AE
+:10B2A000000000000000000000000000000000009E
+:10B2B000000000000000000000000000000000008E
+:10B2C000000000000000000000000000000000007E
+:10B2D000000000000000000000000000000000006E
+:10B2E000000000000000000000000000000000005E
+:10B2F000000000000000000000000000000000004E
+:10B30000000000000000000000000000000000003D
+:10B31000000000000000000000000000000000002D
+:10B32000000000000000000000000000000000001D
+:10B33000000000000000000000000000000000000D
+:10B3400000000000000000000000000000000000FD
+:10B3500000000000000000000000000000000000ED
+:10B3600000000000000000000000000000000000DD
+:10B3700000000000000000000000000000000000CD
+:10B3800000000000000000000000000000000000BD
+:10B3900000000000000000000000000000000000AD
+:10B3A000000000000000000000000000000000009D
+:10B3B000000000000000000000000000000000008D
+:10B3C000000000000000000000000000000000007D
+:10B3D000000000000000000000000000000000006D
+:10B3E000000000000000000000000000000000005D
+:10B3F000000000000000000000000000000000004D
+:10B40000000000000000000000000000000000003C
+:10B41000000000000000000000000000000000002C
+:10B42000000000000000000000000000000000001C
+:10B43000000000000000000000000000000000000C
+:10B4400000000000000000000000000000000000FC
+:10B4500000000000000000000000000000000000EC
+:10B4600000000000000000000000000000000000DC
+:10B4700000000000000000000000000000000000CC
+:10B4800000000000000000000000000000000000BC
+:10B4900000000000000000000000000000000000AC
+:10B4A000000000000000000000000000000000009C
+:10B4B000000000000000000000000000000000008C
+:10B4C000000000000000000000000000000000007C
+:10B4D000000000000000000000000000000000006C
+:10B4E000000000000000000000000000000000005C
+:10B4F000000000000000000000000000000000004C
+:10B50000000000000000000000000000000000003B
+:10B51000000000000000000000000000000000002B
+:10B52000000000000000000000000000000000001B
+:10B53000000000000000000000000000000000000B
+:10B5400000000000000000000000000000000000FB
+:10B5500000000000000000000000000000000000EB
+:10B5600000000000000000000000000000000000DB
+:10B5700000000000000000000000000000000000CB
+:10B5800000000000000000000000000000000000BB
+:10B5900000000000000000000000000000000000AB
+:10B5A000000000000000000000000000000000009B
+:10B5B000000000000000000000000000000000008B
+:10B5C000000000000000000000000000000000007B
+:10B5D000000000000000000000000000000000006B
+:10B5E000000000000000000000000000000000005B
+:10B5F000000000000000000000000000000000004B
+:10B60000000000000000000000000000000000003A
+:10B61000000000000000000000000000000000002A
+:10B62000000000000000000000000000000000001A
+:10B63000000000000000000000000000000000000A
+:10B6400000000000000000000000000000000000FA
+:10B6500000000000000000000000000000000000EA
+:10B6600000000000000000000000000000000000DA
+:10B6700000000000000000000000000000000000CA
+:10B6800000000000000000000000000000000000BA
+:10B6900000000000000000000000000000000000AA
+:10B6A000000000000000000000000000000000009A
+:10B6B000000000000000000000000000000000008A
+:10B6C000000000000000000000000000000000007A
+:10B6D000000000000000000000000000000000006A
+:10B6E000000000000000000000000000000000005A
+:10B6F000000000000000000000000000000000004A
+:10B700000000000000000000000000000000000039
+:10B710000000000000000000000000000000000029
+:10B720000000000000000000000000000000000019
+:10B730000000000000000000000000000000000009
+:10B7400000000000000000000000000000000000F9
+:10B7500000000000000000000000000000000000E9
+:10B7600000000000000000000000000000000000D9
+:10B7700000000000000000000000000000000000C9
+:10B7800000000000000000000000000000000000B9
+:10B7900000000000000000000000000000000000A9
+:10B7A0000000000000000000000000000000000099
+:10B7B0000000000000000000000000000000000089
+:10B7C0000000000000000000000000000000000079
+:10B7D0000000000000000000000000000000000069
+:10B7E0000000000000000000000000000000000059
+:10B7F0000000000000000000000000000000000049
+:10B800000000000000000000000000000000000038
+:10B810000000000000000000000000000000000028
+:10B820000000000000000000000000000000000018
+:10B830000000000000000000000000000000000008
+:10B8400000000000000000000000000000000000F8
+:10B8500000000000000000000000000000000000E8
+:10B8600000000000000000000000000000000000D8
+:10B8700000000000000000000000000000000000C8
+:10B8800000000000000000000000000000000000B8
+:10B8900000000000000000000000000000000000A8
+:10B8A0000000000000000000000000000000000098
+:10B8B0000000000000000000000000000000000088
+:10B8C0000000000000000000000000000000000078
+:10B8D0000000000000000000000000000000000068
+:10B8E0000000000000000000000000000000000058
+:10B8F0000000000000000000000000000000000048
+:10B900000000000000000000000000000000000037
+:10B910000000000000000000000000000000000027
+:10B920000000000000000000000000000000000017
+:10B930000000000000000000000000000000000007
+:10B9400000000000000000000000000000000000F7
+:10B9500000000000000000000000000000000000E7
+:10B9600000000000000000000000000000000000D7
+:10B9700000000000000000000000000000000000C7
+:10B9800000000000000000000000000000000000B7
+:10B9900000000000000000000000000000000000A7
+:10B9A0000000000000000000000000000000000097
+:10B9B0000000000000000000000000000000000087
+:10B9C0000000000000000000000000000000000077
+:10B9D0000000000000000000000000000000000067
+:10B9E0000000000000000000000000000000000057
+:10B9F0000000000000000000000000000000000047
+:10BA00000000000000000000000000000000000036
+:10BA10000000000000000000000000000000000026
+:10BA20000000000000000000000000000000000016
+:10BA30000000000000000000000000000000000006
+:10BA400000000000000000000000000000000000F6
+:10BA500000000000000000000000000000000000E6
+:10BA600000000000000000000000000000000000D6
+:10BA700000000000000000000000000000000000C6
+:10BA800000000000000000000000000000000000B6
+:10BA900000000000000000000000000000000000A6
+:10BAA0000000000000000000000000000000000096
+:10BAB0000000000000000000000000000000000086
+:10BAC0000000000000000000000000000000000076
+:10BAD0000000000000000000000000000000000066
+:10BAE0000000000000000000000000000000000056
+:10BAF0000000000000000000000000000000000046
+:10BB00000000000000000000000000000000000035
+:10BB10000000000000000000000000000000000025
+:10BB20000000000000000000000000000000000015
+:10BB30000000000000000000000000000000000005
+:10BB400000000000000000000000000000000000F5
+:10BB500000000000000000000000000000000000E5
+:10BB600000000000000000000000000000000000D5
+:10BB700000000000000000000000000000000000C5
+:10BB800000000000000000000000000000000000B5
+:10BB900000000000000000000000000000000000A5
+:10BBA0000000000000000000000000000000000095
+:10BBB0000000000000000000000000000000000085
+:10BBC0000000000000000000000000000000000075
+:10BBD0000000000000000000000000000000000065
+:10BBE0000000000000000000000000000000000055
+:10BBF0000000000000000000000000000000000045
+:10BC00000000000000000000000000000000000034
+:10BC10000000000000000000000000000000000024
+:10BC20000000000000000000000000000000000014
+:10BC30000000000000000000000000000000000004
+:10BC400000000000000000000000000000000000F4
+:10BC500000000000000000000000000000000000E4
+:10BC600000000000000000000000000000000000D4
+:10BC700000000000000000000000000000000000C4
+:10BC800000000000000000000000000000000000B4
+:10BC900000000000000000000000000000000000A4
+:10BCA0000000000000000000000000000000000094
+:10BCB0000000000000000000000000000000000084
+:10BCC0000000000000000000000000000000000074
+:10BCD0000000000000000000000000000000000064
+:10BCE0000000000000000000000000000000000054
+:10BCF0000000000000000000000000000000000044
+:10BD00000000000000000000000000000000000033
+:10BD10000000000000000000000000000000000023
+:10BD20000000000000000000000000000000000013
+:10BD30000000000000000000000000000000000003
+:10BD400000000000000000000000000000000000F3
+:10BD500000000000000000000000000000000000E3
+:10BD600000000000000000000000000000000000D3
+:10BD700000000000000000000000000000000000C3
+:10BD800000000000000000000000000000000000B3
+:10BD900000000000000000000000000000000000A3
+:10BDA0000000000000000000000000000000000093
+:10BDB0000000000000000000000000000000000083
+:10BDC0000000000000000000000000000000000073
+:10BDD0000000000000000000000000000000000063
+:10BDE0000000000000000000000000000000000053
+:10BDF0000000000000000000000000000000000043
+:10BE00000000000000000000000000000000000032
+:10BE10000000000000000000000000000000000022
+:10BE20000000000000000000000000000000000012
+:10BE30000000000000000000000000000000000002
+:10BE400000000000000000000000000000000000F2
+:10BE500000000000000000000000000000000000E2
+:10BE600000000000000000000000000000000000D2
+:10BE700000000000000000000000000000000000C2
+:10BE800000000000000000000000000000000000B2
+:10BE900000000000000000000000000000000000A2
+:10BEA0000000000000000000000000000000000092
+:10BEB0000000000000000000000000000000000082
+:10BEC0000000000000000000000000000000000072
+:10BED0000000000000000000000000000000000062
+:10BEE0000000000000000000000000000000000052
+:10BEF0000000000000000000000000000000000042
+:10BF00000000000000000000000000000000000031
+:10BF10000000000000000000000000000000000021
+:10BF20000000000000000000000000000000000011
+:10BF30000000000000000000000000000000000001
+:10BF400000000000000000000000000000000000F1
+:10BF500000000000000000000000000000000000E1
+:10BF600000000000000000000000000000000000D1
+:10BF700000000000000000000000000000000000C1
+:10BF800000000000000000000000000000000000B1
+:10BF900000000000000000000000000000000000A1
+:10BFA0000000000000000000000000000000000091
+:10BFB0000000000000000000000000000000000081
+:10BFC0000000000000000000000000000000000071
+:10BFD0000000000000000000000000000000000061
+:10BFE0000000000000000000000000000000000051
+:10BFF0000000000000000000000000000000000041
+:10C000000000000000000000000000000000000030
+:10C010000000000000000000000000000000000020
+:10C020000000000000000000000000000000000010
+:10C030000000000000000000000000000000000000
+:10C0400000000000000000000000000000000000F0
+:10C0500000000000000000000000000000000000E0
+:10C0600000000000000000000000000000000000D0
+:10C0700000000000000000000000000000000000C0
+:10C0800000000000000000000000000000000000B0
+:10C0900000000000000000000000000000000000A0
+:10C0A0000000000000000000000000000000000090
+:10C0B0000000000000000000000000000000000080
+:10C0C0000000000000000000000000000000000070
+:10C0D0000000000000000000000000000000000060
+:10C0E0000000000000000000000000000000000050
+:10C0F0000000000000000000000000000000000040
+:10C10000000000000000000000000000000000002F
+:10C11000000000000000000000000000000000001F
+:10C12000000000000000000000000000000000000F
+:10C1300000000000000000000000000000000000FF
+:10C1400000000000000000000000000000000000EF
+:10C1500000000000000000000000000000000000DF
+:10C1600000000000000000000000000000000000CF
+:10C1700000000000000000000000000000000000BF
+:10C1800000000000000000000000000000000000AF
+:10C19000000000000000000000000000000000009F
+:10C1A000000000000000000000000000000000008F
+:10C1B000000000000000000000000000000000007F
+:10C1C000000000000000000000000000000000006F
+:10C1D000000000000000000000000000000000005F
+:10C1E000000000000000000000000000000000004F
+:10C1F000000000000000000000000000000000003F
+:10C20000000000000000000000000000000000002E
+:10C21000000000000000000000000000000000001E
+:10C22000000000000000000000000000000000000E
+:10C2300000000000000000000000000000000000FE
+:10C2400000000000000000000000000000000000EE
+:10C2500000000000000000000000000000000000DE
+:10C2600000000000000000000000000000000000CE
+:10C2700000000000000000000000000000000000BE
+:10C2800000000000000000000000000000000000AE
+:10C29000000000000000000000000000000000009E
+:10C2A000000000000000000000000000000000008E
+:10C2B000000000000000000000000000000000007E
+:10C2C000000000000000000000000000000000006E
+:10C2D000000000000000000000000000000000005E
+:10C2E000000000000000000000000000000000004E
+:10C2F000000000000000000000000000000000003E
+:10C30000000000000000000000000000000000002D
+:10C31000000000000000000000000000000000001D
+:10C32000000000000000000000000000000000000D
+:10C3300000000000000000000000000000000000FD
+:10C3400000000000000000000000000000000000ED
+:10C3500000000000000000000000000000000000DD
+:10C3600000000000000000000000000000000000CD
+:10C3700000000000000000000000000000000000BD
+:10C3800000000000000000000000000000000000AD
+:10C39000000000000000000000000000000000009D
+:10C3A000000000000000000000000000000000008D
+:10C3B000000000000000000000000000000000007D
+:10C3C000000000000000000000000000000000006D
+:10C3D000000000000000000000000000000000005D
+:10C3E000000000000000000000000000000000004D
+:10C3F000000000000000000000000000000000003D
+:10C40000000000000000000000000000000000002C
+:10C41000000000000000000000000000000000001C
+:10C42000000000000000000000000000000000000C
+:10C4300000000000000000000000000000000000FC
+:10C4400000000000000000000000000000000000EC
+:10C4500000000000000000000000000000000000DC
+:10C4600000000000000000000000000000000000CC
+:10C4700000000000000000000000000000000000BC
+:10C4800000000000000000000000000000000000AC
+:10C49000000000000000000000000000000000009C
+:10C4A000000000000000000000000000000000008C
+:10C4B000000000000000000000000000000000007C
+:10C4C000000000000000000000000000000000006C
+:10C4D000000000000000000000000000000000005C
+:10C4E000000000000000000000000000000000004C
+:10C4F000000000000000000000000000000000003C
+:10C50000000000000000000000000000000000002B
+:10C51000000000000000000000000000000000001B
+:10C52000000000000000000000000000000000000B
+:10C5300000000000000000000000000000000000FB
+:10C5400000000000000000000000000000000000EB
+:10C5500000000000000000000000000000000000DB
+:10C5600000000000000000000000000000000000CB
+:10C5700000000000000000000000000000000000BB
+:10C5800000000000000000000000000000000000AB
+:10C59000000000000000000000000000000000009B
+:10C5A000000000000000000000000000000000008B
+:10C5B000000000000000000000000000000000007B
+:10C5C000000000000000000000000000000000006B
+:10C5D000000000000000000000000000000000005B
+:10C5E000000000000000000000000000000000004B
+:10C5F000000000000000000000000000000000003B
+:10C60000000000000000000000000000000000002A
+:10C61000000000000000000000000000000000001A
+:10C62000000000000000000000000000000000000A
+:10C6300000000000000000000000000000000000FA
+:10C6400000000000000000000000000000000000EA
+:10C6500000000000000000000000000000000000DA
+:10C6600000000000000000000000000000000000CA
+:10C6700000000000000000000000000000000000BA
+:10C6800000000000000000000000000000000000AA
+:10C69000000000000000000000000000000000009A
+:10C6A000000000000000000000000000000000008A
+:10C6B000000000000000000000000000000000007A
+:10C6C000000000000000000000000000000000006A
+:10C6D000000000000000000000000000000000005A
+:10C6E000000000000000000000000000000000004A
+:10C6F000000000000000000000000000000000003A
+:10C700000000000000000000000000000000000029
+:10C710000000000000000000000000000000000019
+:10C720000000000000000000000000000000000009
+:10C7300000000000000000000000000000000000F9
+:10C7400000000000000000000000000000000000E9
+:10C7500000000000000000000000000000000000D9
+:10C7600000000000000000000000000000000000C9
+:10C7700000000000000000000000000000000000B9
+:10C7800000000000000000000000000000000000A9
+:10C790000000000000000000000000000000000099
+:10C7A0000000000000000000000000000000000089
+:10C7B0000000000000000000000000000000000079
+:10C7C0000000000000000000000000000000000069
+:10C7D0000000000000000000000000000000000059
+:10C7E0000000000000000000000000000000000049
+:10C7F0000000000000000000000000000000000039
+:10C800000000000000000000000000000000000028
+:10C810000000000000000000000000000000000018
+:10C820000000000000000000000000000000000008
+:10C8300000000000000000000000000000000000F8
+:10C8400000000000000000000000000000000000E8
+:10C8500000000000000000000000000000000000D8
+:10C8600000000000000000000000000000000000C8
+:10C8700000000000000000000000000000000000B8
+:10C8800000000000000000000000000000000000A8
+:10C890000000000000000000000000000000000098
+:10C8A0000000000000000000000000000000000088
+:10C8B0000000000000000000000000000000000078
+:10C8C0000000000000000000000000000000000068
+:10C8D0000000000000000000000000000000000058
+:10C8E0000000000000000000000000000000000048
+:10C8F0000000000000000000000000000000000038
+:10C900000000000000000000000000000000000027
+:10C910000000000000000000000000000000000017
+:10C920000000000000000000000000000000000007
+:10C9300000000000000000000000000000000000F7
+:10C9400000000000000000000000000000000000E7
+:10C9500000000000000000000000000000000000D7
+:10C9600000000000000000000000000000000000C7
+:10C9700000000000000000000000000000000000B7
+:10C9800000000000000000000000000000000000A7
+:10C990000000000000000000000000000000000097
+:10C9A0000000000000000000000000000000000087
+:10C9B0000000000000000000000000000000000077
+:10C9C0000000000000000000000000000000000067
+:10C9D0000000000000000000000000000000000057
+:10C9E0000000000000000000000000000000000047
+:10C9F0000000000000000000000000000000000037
+:10CA00000000000000000000000000000000000026
+:10CA10000000000000000000000000000000000016
+:10CA20000000000000000000000000000000000006
+:10CA300000000000000000000000000000000000F6
+:10CA400000000000000000000000000000000000E6
+:10CA500000000000000000000000000000000000D6
+:10CA600000000000000000000000000000000000C6
+:10CA700000000000000000000000000000000000B6
+:10CA800000000000000000000000000000000000A6
+:10CA90000000000000000000000000000000000096
+:10CAA0000000000000000000000000000000000086
+:10CAB0000000000000000000000000000000000076
+:10CAC0000000000000000000000000000000000066
+:10CAD0000000000000000000000000000000000056
+:10CAE0000000000000000000000000000000000046
+:10CAF0000000000000000000000000000000000036
+:10CB00000000000000000000000000000000000025
+:10CB10000000000000000000000000000000000015
+:10CB20000000000000000000000000000000000005
+:10CB300000000000000000000000000000000000F5
+:10CB400000000000000000000000000000000000E5
+:10CB500000000000000000000000000000000000D5
+:10CB600000000000000000000000000000000000C5
+:10CB700000000000000000000000000000000000B5
+:10CB800000000000000000000000000000000000A5
+:10CB90000000000000000000000000000000000095
+:10CBA0000000000000000000000000000000000085
+:10CBB0000000000000000000000000000000000075
+:10CBC0000000000000000000000000000000000065
+:10CBD0000000000000000000000000000000000055
+:10CBE0000000000000000000000000000000000045
+:10CBF0000000000000000000000000000000000035
+:10CC00000000000000000000000000000000000024
+:10CC10000000000000000000000000000000000014
+:10CC20000000000000000000000000000000000004
+:10CC300000000000000000000000000000000000F4
+:10CC400000000000000000000000000000000000E4
+:10CC500000000000000000000000000000000000D4
+:10CC600000000000000000000000000000000000C4
+:10CC700000000000000000000000000000000000B4
+:10CC800000000000000000000000000000000000A4
+:10CC90000000000000000000000000000000000094
+:10CCA0000000000000000000000000000000000084
+:10CCB0000000000000000000000000000000000074
+:10CCC0000000000000000000000000000000000064
+:10CCD0000000000000000000000000000000000054
+:10CCE0000000000000000000000000000000000044
+:10CCF0000000000000000000000000000000000034
+:10CD00000000000000000000000000000000000023
+:10CD10000000000000000000000000000000000013
+:10CD20000000000000000000000000000000000003
+:10CD300000000000000000000000000000000000F3
+:10CD400000000000000000000000000000000000E3
+:10CD500000000000000000000000000000000000D3
+:10CD600000000000000000000000000000000000C3
+:10CD700000000000000000000000000000000000B3
+:10CD800000000000000000000000000000000000A3
+:10CD90000000000000000000000000000000000093
+:10CDA0000000000000000000000000000000000083
+:10CDB0000000000000000000000000000000000073
+:10CDC0000000000000000000000000000000000063
+:10CDD0000000000000000000000000000000000053
+:10CDE0000000000000000000000000000000000043
+:10CDF0000000000000000000000000000000000033
+:10CE00000000000000000000000000000000000022
+:10CE10000000000000000000000000000000000012
+:10CE20000000000000000000000000000000000002
+:10CE300000000000000000000000000000000000F2
+:10CE400000000000000000000000000000000000E2
+:10CE500000000000000000000000000000000000D2
+:10CE600000000000000000000000000000000000C2
+:10CE700000000000000000000000000000000000B2
+:10CE800000000000000000000000000000000000A2
+:10CE90000000000000000000000000000000000092
+:10CEA0000000000000000000000000000000000082
+:10CEB0000000000000000000000000000000000072
+:10CEC0000000000000000000000000000000000062
+:10CED0000000000000000000000000000000000052
+:10CEE0000000000000000000000000000000000042
+:10CEF0000000000000000000000000000000000032
+:10CF00000000000000000000000000000000000021
+:10CF10000000000000000000000000000000000011
+:10CF20000000000000000000000000000000000001
+:10CF300000000000000000000000000000000000F1
+:10CF400000000000000000000000000000000000E1
+:10CF500000000000000000000000000000000000D1
+:10CF600000000000000000000000000000000000C1
+:10CF700000000000000000000000000000000000B1
+:10CF800000000000000000000000000000000000A1
+:10CF90000000000000000000000000000000000091
+:10CFA0000000000000000000000000000000000081
+:10CFB0000000000000000000000000000000000071
+:10CFC0000000000000000000000000000000000061
+:10CFD0000000000000000000000000000000000051
+:10CFE0000000000000000000000000000000000041
+:10CFF0000000000000000000000000000000000031
+:10D000000000000000000000000000000000000020
+:10D010000000000000000000000000000000000010
+:10D020000000000000000000000000000000000000
+:10D0300000000000000000000000000000000000F0
+:10D0400000000000000000000000000000000000E0
+:10D0500000000000000000000000000000000000D0
+:10D0600000000000000000000000000000000000C0
+:10D0700000000000000000000000000000000000B0
+:10D0800000000000000000000000000000000000A0
+:10D090000000000000000000000000000000000090
+:10D0A0000000000000000000000000000000000080
+:10D0B0000000000000000000000000000000000070
+:10D0C0000000000000000000000000000000000060
+:10D0D0000000000000000000000000000000000050
+:10D0E0000000000000000000000000000000000040
+:10D0F0000000000000000000000000000000000030
+:10D10000000000000000000000000000000000001F
+:10D11000000000000000000000000000000000000F
+:10D1200000000000000000000000000000000000FF
+:10D1300000000000000000000000000000000000EF
+:10D1400000000000000000000000000000000000DF
+:10D1500000000000000000000000000000000000CF
+:10D1600000000000000000000000000000000000BF
+:10D1700000000000000000000000000000000000AF
+:10D18000000000000000000000000000000000009F
+:10D19000000000000000000000000000000000008F
+:10D1A000000000000000000000000000000000007F
+:10D1B000000000000000000000000000000000006F
+:10D1C000000000000000000000000000000000005F
+:10D1D000000000000000000000000000000000004F
+:10D1E000000000000000000000000000000000003F
+:10D1F000000000000000000000000000000000002F
+:10D20000000000000000000000000000000000001E
+:10D21000000000000000000000000000000000000E
+:10D2200000000000000000000000000000000000FE
+:10D2300000000000000000000000000000000000EE
+:10D2400000000000000000000000000000000000DE
+:10D2500000000000000000000000000000000000CE
+:10D2600000000000000000000000000000000000BE
+:10D2700000000000000000000000000000000000AE
+:10D28000000000000000000000000000000000009E
+:10D29000000000000000000000000000000000008E
+:10D2A000000000000000000000000000000000007E
+:10D2B000000000000000000000000000000000006E
+:10D2C000000000000000000000000000000000005E
+:10D2D000000000000000000000000000000000004E
+:10D2E000000000000000000000000000000000003E
+:10D2F000000000000000000000000000000000002E
+:10D30000000000000000000000000000000000001D
+:10D31000000000000000000000000000000000000D
+:10D3200000000000000000000000000000000000FD
+:10D3300000000000000000000000000000000000ED
+:10D3400000000000000000000000000000000000DD
+:10D3500000000000000000000000000000000000CD
+:10D3600000000000000000000000000000000000BD
+:10D3700000000000000000000000000000000000AD
+:10D38000000000000000000000000000000000009D
+:10D39000000000000000000000000000000000008D
+:10D3A000000000000000000000000000000000007D
+:10D3B000000000000000000000000000000000006D
+:10D3C000000000000000000000000000000000005D
+:10D3D000000000000000000000000000000000004D
+:10D3E000000000000000000000000000000000003D
+:10D3F000000000000000000000000000000000002D
+:10D40000000000000000000000000000000000001C
+:10D41000000000000000000000000000000000000C
+:10D4200000000000000000000000000000000000FC
+:10D4300000000000000000000000000000000000EC
+:10D4400000000000000000000000000000000000DC
+:10D4500000000000000000000000000000000000CC
+:10D4600000000000000000000000000000000000BC
+:10D4700000000000000000000000000000000000AC
+:10D48000000000000000000000000000000000009C
+:10D49000000000000000000000000000000000008C
+:10D4A000000000000000000000000000000000007C
+:10D4B000000000000000000000000000000000006C
+:10D4C000000000000000000000000000000000005C
+:10D4D000000000000000000000000000000000004C
+:10D4E000000000000000000000000000000000003C
+:10D4F000000000000000000000000000000000002C
+:10D50000000000000000000000000000000000001B
+:10D51000000000000000000000000000000000000B
+:10D5200000000000000000000000000000000000FB
+:10D5300000000000000000000000000000000000EB
+:10D5400000000000000000000000000000000000DB
+:10D5500000000000000000000000000000000000CB
+:10D5600000000000000000000000000000000000BB
+:10D5700000000000000000000000000000000000AB
+:10D58000000000000000000000000000000000009B
+:10D59000000000000000000000000000000000008B
+:10D5A000000000000000000000000000000000007B
+:10D5B000000000000000000000000000000000006B
+:10D5C000000000000000000000000000000000005B
+:10D5D000000000000000000000000000000000004B
+:10D5E000000000000000000000000000000000003B
+:10D5F000000000000000000000000000000000002B
+:10D60000000000000000000000000000000000001A
+:10D61000000000000000000000000000000000000A
+:10D6200000000000000000000000000000000000FA
+:10D6300000000000000000000000000000000000EA
+:10D6400000000000000000000000000000000000DA
+:10D6500000000000000000000000000000000000CA
+:10D6600000000000000000000000000000000000BA
+:10D6700000000000000000000000000000000000AA
+:10D68000000000000000000000000000000000009A
+:10D69000000000000000000000000000000000008A
+:10D6A000000000000000000000000000000000007A
+:10D6B000000000000000000000000000000000006A
+:10D6C000000000000000000000000000000000005A
+:10D6D000000000000000000000000000000000004A
+:10D6E000000000000000000000000000000000003A
+:10D6F000000000000000000000000000000000002A
+:10D700000000000000000000000000000000000019
+:10D710000000000000000000000000000000000009
+:10D7200000000000000000000000000000000000F9
+:10D7300000000000000000000000000000000000E9
+:10D7400000000000000000000000000000000000D9
+:10D7500000000000000000000000000000000000C9
+:10D7600000000000000000000000000000000000B9
+:10D7700000000000000000000000000000000000A9
+:10D780000000000000000000000000000000000099
+:10D790000000000000000000000000000000000089
+:10D7A0000000000000000000000000000000000079
+:10D7B0000000000000000000000000000000000069
+:10D7C0000000000000000000000000000000000059
+:10D7D0000000000000000000000000000000000049
+:10D7E0000000000000000000000000000000000039
+:10D7F0000000000000000000000000000000000029
+:10D800000000000000000000000000000000000018
+:10D810000000000000000000000000000000000008
+:10D8200000000000000000000000000000000000F8
+:10D8300000000000000000000000000000000000E8
+:10D8400000000000000000000000000000000000D8
+:10D8500000000000000000000000000000000000C8
+:10D8600000000000000000000000000000000000B8
+:10D8700000000000000000000000000000000000A8
+:10D880000000000000000000000000000000000098
+:10D890000000000000000000000000000000000088
+:10D8A0000000000000000000000000000000000078
+:10D8B0000000000000000000000000000000000068
+:10D8C0000000000000000000000000000000000058
+:10D8D0000000000000000000000000000000000048
+:10D8E00010000003000000000000000D0000000D0B
+:10D8F0003C020800244271203C030800246375C8E0
+:10D90000AC4000000043202B1480FFFD24420004A3
+:10D910003C1D080037BD7FFC03A0F0213C1008002F
+:10D92000261031D83C1C0800279C71200E00116481
+:10D93000000000000000000D30A5FFFF30C600FF12
+:10D94000274301808F4201B80440FFFE24020002F9
+:10D95000AC640000A4650008A066000AA062000B89
+:10D960003C021000AC67001803E00008AF4201B8A9
+:10D970003C0360008C624FF80440FFFE3C02020052
+:10D98000AC644FC0AC624FC43C02100003E000081E
+:10D99000AC624FF827BDFFE8AFBF0014AFB0001076
+:10D9A0000E0011B300808021936200052403FFFE66
+:10D9B00002002021004310248FBF00148FB00010FC
+:10D9C000A36200050A0011BC27BD001827BDFFE8AF
+:10D9D000AFB00010AFBF00140E000EA40080802175
+:10D9E0009362000024030050304200FF14430004FF
+:10D9F00024020100AF4201800A000CC10200202174
+:10DA0000AF400180020020218FBF00148FB00010B2
+:10DA10000A000F7D27BD001827BDFF98AFBE00602C
+:10DA2000AFB7005CAFB20048AFBF0064AFB60058FC
+:10DA3000AFB50054AFB40050AFB3004CAFB1004429
+:10DA4000AFB000408F5001289363003F9362000500
+:10DA50000000F021307200FF000210273042000168
+:10DA60000000B82114400066AFA0003893420116B0
+:10DA700093430112304200FF306300FF0342202134
+:10DA800003431021244540008F82000010400018FD
+:10DA9000248840008F4201043C030001004310240D
+:10DAA00010400013000000008CA3000C8F620030B7
+:10DAB000146201A2240200018CA300108F62002CCA
+:10DAC0001462019E240200019762003A9483400090
+:10DAD0003042FFFF1462019924020001976200386E
+:10DAE000950300023042FFFF1462019424020001FA
+:10DAF00093620000304300FF240200201062000502
+:10DB00002402005010620006000000000A000D0B05
+:10DB1000000000000000000D0A000D14AFA000304E
+:10DB20003C1E080027DE71880A000D14AFA00030EB
+:10DB30003C0208008C4200DC244200013C01080049
+:10DB4000AC2200DC0E00127D000000000A000E8FE7
+:10DB50008FBF00648F4201043C0300209113000D2D
+:10DB6000004310240002202B00042140AFA4003009
+:10DB70008F4301043C02004000621824146000023C
+:10DB8000348700400080382132620020AFA7003087
+:10DB90001440000234E6008000E0302110C0000B89
+:10DBA000AFA6003093C500088F67004C020020210B
+:10DBB00000052B0034A5008130A5F0810E000C8DEE
+:10DBC00030C600FF0A000E8C000000009362003E89
+:10DBD000304200401040000E24020004564200066D
+:10DBE00024020012020020210E0013DA010030216D
+:10DBF0000A000E8F8FBF006416420005000000006F
+:10DC00000E000CB2000020210A000E8F8FBF0064AE
+:10DC10009742011A9504000E9363003532650004A3
+:10DC20003055FFFF00642004AFA4003C8D110004B8
+:10DC300010A000158D1400089362003E3042004091
+:10DC400010400007000000000E00133B02202021BE
+:10DC50001040000D000000000A000E8C00000000C3
+:10DC60008F620044022210230440013200000000B1
+:10DC70008F620048022210230441012E2404001662
+:10DC80000A000DA28FC200048F62004802221023F6
+:10DC900004400008000000003C0208008C423100F3
+:10DCA000244200013C010800AC2231000A000E8130
+:10DCB000000000008F62004002221023184000097B
+:10DCC0002402000C3C0208008C423100327300FC3C
+:10DCD0000000A821244200013C010800AC223100D0
+:10DCE0002402000CAFA200308F62004000511823C4
+:10DCF0001860000D02A3102A144001030000000068
+:10DD00001475000602A310233A620001304200019C
+:10DD1000144000FD0000000002A31023022388210C
+:10DD20000A000D8A3055FFFF000018213262000200
+:10DD30001040001A326200109362003E30420040F0
+:10DD4000504000118FC200040E0011B302002021C8
+:10DD500024020018A362003F936200052403FFFE23
+:10DD600002002021004310240E0011BCA362000514
+:10DD700024040039000028210E0013242406001872
+:10DD80000A000E8E24020001240400170040F80946
+:10DD9000000000000A000E8E24020001104000E581
+:10DDA000000000008F64004C8F6200540282102338
+:10DDB0001C4000E002841023044200010080A021E6
+:10DDC000AFA30018AFB10010AFB50014934201200B
+:10DDD0008F6600409764003C304200FF03422821D8
+:10DDE0008FA2003C00A328218FA300303084FFFFC6
+:10DDF0000044202B8FC200000064182524A5400099
+:10DE0000AFA50020AFA60028AFA30030AFA6002426
+:10DE1000AFA0002CAFB400340040F80927A40010D4
+:10DE20008FA200303042000254400001327300FEE5
+:10DE30009362003E30420040104000378FA3001430
+:10DE40008F6200541682001A32620001240200140C
+:10DE5000124200102A42001510400006240200164B
+:10DE60002402000C12420007326200010A000DEB8E
+:10DE70000000000012420005326200010A000DEBB2
+:10DE8000000000000A000DE62417000E0A000DE64F
+:10DE9000241700100A000DEA2417001293620023D1
+:10DEA0002403FFBD00431024A3620023326200015B
+:10DEB000104000198FA300142402000C1242000E1F
+:10DEC0002A42000D104000062402000E2402000A1F
+:10DED000124200078FA200240A000E032442000110
+:10DEE000124200088FA200240A000E0324420001FF
+:10DEF0000A000E01241700082402000E16E2000298
+:10DF000024170016241700108FA2002424420001B9
+:10DF1000AFA200248FA300148FA200248F760040AC
+:10DF200000431021AF6200408FA2003C9364003692
+:10DF30008F630040028290213402FFFF00821004B0
+:10DF400000621821AF6300488FA6003030C200087D
+:10DF50001040000E000000008F62005816420004BE
+:10DF600030C600FF9742011A5040000134C600102D
+:10DF700093C500088FA700340200202100052B0064
+:10DF800034A500800E000C8D30A5F0808F6200401B
+:10DF900000561023184000178FA200183C020800FA
+:10DFA0008C423198304200101040000924020001D8
+:10DFB000976200681440000624020001A76200680E
+:10DFC0009742007A2442000A0A000E47A762001214
+:10DFD000A76200120E0011B3020020219362007D9F
+:10DFE0002403000102002021344200010A000E45F2
+:10DFF000AFA300381840000A000000000E0011B363
+:10E00000020020219362007D2403000102002021F0
+:10E01000AFA30038344200040E0011BCA362007D9F
+:10E020009362003E304200401440000C3262000116
+:10E030001040000A000000008F6300408FC20004FF
+:10E0400024040018246300010040F809AF63004075
+:10E050008FA200300A000E8E304200048F620058FA
+:10E0600010520010000000008F62001802221023DE
+:10E070001C400008240200018F62001816220009CB
+:10E08000000000008F62001C028210230440000583
+:10E0900024020001AF720058AFA20038AF7100181F
+:10E0A000AF74001C12E0000B8FA300380E0011B3F8
+:10E0B00002002021A377003F0E0011BC02002021A6
+:10E0C00002E03021240400370E0013240000282130
+:10E0D0008FA3003810600003000000000E000C9BAE
+:10E0E0000200202112A00005000018218FA200309C
+:10E0F0003042000450400011006010212403000150
+:10E100000A000E8E006010210E0011B302002021C3
+:10E110009362007D02002021344200040E0011BCF5
+:10E12000A362007D0E000C9B020020210A000E8ECF
+:10E1300024020001AF400044240200018FBF0064AC
+:10E140008FBE00608FB7005C8FB600588FB500544B
+:10E150008FB400508FB3004C8FB200488FB1004491
+:10E160008FB0004003E0000827BD00688C870004E2
+:10E170008C8600000000102100E5382100E5282BE6
+:10E1800000C2302100C53021AC87000403E0000844
+:10E19000AC8600008F4201B80440FFFE2402080054
+:10E1A000AF4201B803E00008000000003C02000894
+:10E1B0000342282194A200483084FFFF1040001B36
+:10E1C0002484001294A200483042FFFF0044102A29
+:10E1D00010400017240200032402001A9343012078
+:10E1E000A342018B8F820008306300FF3042400061
+:10E1F000104000092463FFFE94A200483042FFFF54
+:10E200000043102B144000058F820014A743019493
+:10E210000A000EC8344200018F8200142403FFFE5E
+:10E220000043102403E00008AF820014240200031E
+:10E2300003E00008A342018B27BDFFE0AFB2001846
+:10E24000AFB10014AFB00010AFBF001C30B1FFFF82
+:10E2500030D0FFFF30F2FFFF8F4201B80440FFFED5
+:10E2600000000000AF440180AF4400200E000EAA61
+:10E27000020020218F8300088F840014A751018C95
+:10E28000A750018EA74301908F8300043082800045
+:10E29000AF4301A8A75201881040000E8F820014DE
+:10E2A00093420116304200FC24420004005A10211F
+:10E2B0008C4240003042FFFF144000068F82001461
+:10E2C0003C02FFFF34427FFF00821024AF82001423
+:10E2D0008F8200142403BFFF00431024A74201A62D
+:10E2E0009743010C8F42010400031C003042FFFFE2
+:10E2F00000621825AF4301AC3C021000AF4201B8E8
+:10E300008FBF001C8FB200188FB100148FB00010A7
+:10E3100003E0000827BD002027BDFFE0AFB20018D2
+:10E3200030D2FFFFAF440180AF44002002402021E3
+:10E33000AFB10014AFB0001030F1FFFFAFBF001C51
+:10E340000E000EAA30B0FFFF8F8300142402BFFF1F
+:10E35000A750018C006218248F820008A752018EFA
+:10E36000A7510188A74301A6A74201903C021000D3
+:10E37000AF4201B88FBF001C8FB200188FB10014DC
+:10E380008FB0001003E0000827BD00202743018064
+:10E390008F4201B80440FFFE24022000A46200085E
+:10E3A00024020002A062000BA46000103C021000D6
+:10E3B000AF4201B803E00008000000008F47007082
+:10E3C000934201128F83000827BDFFF0304200FF07
+:10E3D000000228823062010000003021104000431A
+:10E3E00024A400033062400010400010306220007E
+:10E3F00000041080005A10218C43400024A4000423
+:10E4000000041080AFA30000005A10218C4240008D
+:10E41000AFA2000493420116304200FC005A1021C2
+:10E420008C4240000A000F56AFA200081040002F97
+:10E430000000302100041080005A10218C4340005D
+:10E4400024A4000400041080AFA30000005A10218F
+:10E450008C424000AFA00008AFA200048FA80008C3
+:10E460000000302100002021240A00083C09080097
+:10E470002529010003A41021148A000300042A00A6
+:10E480001100000A000000009042000024840001F6
+:10E490002C83000C00A210210002108000491021E2
+:10E4A0008C4200001460FFF300C230263C040800D8
+:10E4B0008C8431048F4200702C830020106000098E
+:10E4C000004738233C03080024633108000410800F
+:10E4D0000043102124830001AC4700003C010800E8
+:10E4E000AC233104AF8600042406000100C01021D3
+:10E4F00003E0000827BD00103C0208008C420038F1
+:10E5000027BDFFD0AFB50024AFB3001CAFBF0028BC
+:10E51000AFB40020AFB20018AFB10014AFB000101C
+:10E520003C15080026B50038144000022453FFFFB4
+:10E53000000098218F840008308240001040000ABB
+:10E54000308280003C0200200082102450400006EF
+:10E55000308280008F8200142403BFFF00831824C0
+:10E560000A000FA2344210001040000A3C020020B2
+:10E5700000821024104000078F8200143C03FFFF2C
+:10E5800034637FFF0083182434428000AF8200147C
+:10E59000AF8300080E000F2E00000000144000089A
+:10E5A0008F8400089743011E9742011C3063FFFFD0
+:10E5B0000002140000621825AF8300048F84000855
+:10E5C0009742010C30831000106000113046FFFFAD
+:10E5D000308200201440000F241200053C0210007D
+:10E5E000008210241040000B241200013C030E0096
+:10E5F0003C020DFF008318243442FFFF0043102B20
+:10E6000010400004000000000A000FC4241200059E
+:10E61000241200013C0508008CA5003454A0001908
+:10E620008F8400088F82001C544000168F840008DD
+:10E630008F82001430424000544000128F84000842
+:10E640003C021F01008210243C0310001443000D03
+:10E650008F84000830C202001440000B3C0200010D
+:10E660009746010E364700020000202124C6000410
+:10E6700030C6FFFF0E000F05240500020A00108DB2
+:10E680008FBF00283C020001008210241040000CC3
+:10E690008F8300143C0208008C4200D89746010E7C
+:10E6A000240400802442000130C6FFFF240500023C
+:10E6B0003C010800AC2200D80A00108A240700039D
+:10E6C00030624000104000063C0210003C020F0087
+:10E6D0000082102450400001934201163C021000B9
+:10E6E0000082102410400044000000003C0208009A
+:10E6F0008C4200301040000C306240001040000A94
+:10E700003C030F00008318243C0201000043102B3F
+:10E7100014400005000000009746010E3647000235
+:10E720000A0010872404008010A0000D3082010030
+:10E730001040000B3C020F00008210243C0302003A
+:10E74000104300078F82000400531024005510214D
+:10E7500090420004244200040A00103A000221C042
+:10E76000000000008F8600083C0508008CA500D042
+:10E77000000616023050000F38A200012C420001A2
+:10E780002E03000C0043102414400018001021C078
+:10E790002602FFFC2C4200045440001400002021FB
+:10E7A00038A200022C420001004310241040000354
+:10E7B000000612420A00103A000020210010182B17
+:10E7C0000043102450400009001021C09746010E5C
+:10E7D000000020212405000224C6000430C6FFFFEB
+:10E7E0000E000ECD3247FFFB001021C09746010EF0
+:10E7F0000A001087364700028F4240003C11080093
+:10E800008E310024304201001040004032220001CD
+:10E810000220802110A00017325400043082010031
+:10E8200010400015240200013C020F000082102459
+:10E830003C0302001043000F8F8200049746010E34
+:10E840000240382100531024005510219044000448
+:10E8500024C6000430C6FFFF24840004000421C045
+:10E860000E000ECD240500022402FFFE02228024A9
+:10E870003252FFFB2402000116020007320200019F
+:10E880003242000450400001365200029746010E09
+:10E890000A001086024038211040000A32020004AB
+:10E8A0009746010E024038210000202124C60004B2
+:10E8B00030C6FFFF0E000ECD240500023252FFFBD2
+:10E8C000320200041040000B8F8200083042080022
+:10E8D00010400008000000009746010E0240382159
+:10E8E0002404010024C6000430C6FFFF0E000ECD34
+:10E8F00024050002568000108FBF00280E000F2252
+:10E90000000000000A00108D8FBF00281040000A90
+:10E910008FBF00289746010E3647000200002021D5
+:10E9200024C6000430C6FFFF240500020E000ECDF1
+:10E93000000000008FBF00288FB500248FB4002096
+:10E940008FB3001C8FB200188FB100148FB000106D
+:10E950000000102103E0000827BD0030274301809C
+:10E960008F4201B80440FFFE000000008F420148C2
+:10E9700000021402A462000824020002A062000B3C
+:10E980008F420148A46200108F420144AC6200240F
+:10E990003C02100003E00008AF4201B827BDFFE8C9
+:10E9A000AFB000103C04600CAFBF00148C8250006C
+:10E9B0002403FF7F3C1A8000004310243442380CAB
+:10E9C000AC825000240200033C106000AF420008FB
+:10E9D0008E0208083C1B80083C010800AC20002087
+:10E9E0003042FFF0384200102C4200010E001B396B
+:10E9F000AF8200003C04FFFF3C02040034830806A1
+:10EA00003442000CAE021948AE03194C3C056016A6
+:10EA10008E0219808CA30000344202000064182486
+:10EA2000AE0219803C0253531462000334A47C00EC
+:10EA30008CA20004005020218C82007C8C83007802
+:10EA40008FBF00148FB0001027BD0018AF820018D0
+:10EA500003E00008AF83001027BDFFE8AFBF001040
+:10EA60008F4340003402FFFF3C040800248400F080
+:10EA700010620007000000008F4240003C040800C4
+:10EA8000248400E83042010010400009000000002A
+:10EA90009745010E0E000E9A30A5FFFF9745010E17
+:10EAA0003C040800248431C80A0010FC8FBF001009
+:10EAB0008F4340008F8200103C040800248400E053
+:10EAC0001462000A000000008F4340048F82001887
+:10EAD00014620006000000009745010E3C04080087
+:10EAE000248431B80A0010FC8FBF00109745010E36
+:10EAF0008FBF001030A5FFFF0A000E9A27BD001837
+:10EB000027BDFFE8AFBF00108F420128AF420020B1
+:10EB10008F4201048F430100AF8200080E000EA453
+:10EB2000AF8300140E0010D5000000003C02080066
+:10EB30008C4200C0104000088F8400083C0208008E
+:10EB40008C4200C4244200013C010800AC2200C4F5
+:10EB50000A001135000000003C0200100082102461
+:10EB60001440000A8F8300143C0208008C420020ED
+:10EB7000244200013C010800AC2200200E000F7D61
+:10EB8000000020210A001133000000002402BFFF12
+:10EB9000006210241040000800000000240287FFDB
+:10EBA00000621024144000083C020060008210241F
+:10EBB00010400005000000000E000CC50000000021
+:10EBC0000A001133000000000E00119D000000003B
+:10EBD000104000063C0240008F4301243C026020AC
+:10EBE000AC430014000000003C024000AF4201387A
+:10EBF000000000008FBF001003E0000827BD0018D0
+:10EC000027BDFFE8AFBF00108F4201403C04400029
+:10EC1000AF4200208F4301483C027000006218247C
+:10EC2000106400100083102B144000063C026000AA
+:10EC30003C02200010620007000000000A00115F83
+:10EC40003C0240001062000B3C0240000A00115FD1
+:10EC5000000000000E001096000000000A00115F86
+:10EC60003C0240000E0011C1000000000A00115FCC
+:10EC70003C0240000E001B46000000003C02400029
+:10EC8000AF420178000000008FBF001003E00008D1
+:10EC900027BD001827BDFFE8AFBF00140E0010A667
+:10ECA000AFB000103C028000344200708C43000082
+:10ECB00000403821AF830020006030218CE8000044
+:10ECC0003C0508008CA500FC3C0408008C8400F87E
+:10ECD000010630230000102100A6282100A6302BB9
+:10ECE00000822021008620213C010800AC2500FC88
+:10ECF0003C010800AC2400F88F50000032020003F1
+:10ED00001040FFEE010030218CE600003C050800B9
+:10ED10008CA500FC3C0408008C8400F800C830235B
+:10ED200000A628210000102100A6302B00822021FF
+:10ED300000862021320700013C010800AC2500FCC0
+:10ED4000AF8800203C010800AC2400F810E000046B
+:10ED5000320200020E0010FF00000000320200022A
+:10ED60005040FFD13C0280000E00113F0000000027
+:10ED70000A0011693C0280008F4201003042003ECF
+:10ED80001440001124020001AF4000488F420100EE
+:10ED9000304207C01040000500000000AF40004CAA
+:10EDA000AF40005003E0000824020001AF400054CF
+:10EDB000AF4000408F420100304238005440000113
+:10EDC000AF4000442402000103E0000800000000FE
+:10EDD0003C0290003442000100822025AF44002014
+:10EDE0008F4200200440FFFE0000000003E0000806
+:10EDF000000000003C028000344200010082202517
+:10EE000003E00008AF44002027BDFFE0AFB20018C8
+:10EE1000AFBF001CAFB10014AFB000108F50014065
+:10EE20008F5101483C0280000011940202222024EC
+:10EE3000324300FF2402000E1062008A2862000F95
+:10EE40001040001228620037240200061062003BC6
+:10EE50002862000710400007240200091060001A11
+:10EE60002402000110620025000000000A00127652
+:10EE7000000000001062007B2402000B1062005BA7
+:10EE80003222FFFF0A001276000000001040000846
+:10EE90002402003828620035104000802402001F40
+:10EEA0001062007E000000000A00127600000000E0
+:10EEB0001062007A2402008010620042000000000C
+:10EEC0000A001276000000008F4201B80440FFFEE5
+:10EED00024020001AF500180AF400184A752018895
+:10EEE000A342018A24020002A342018BA751019090
+:10EEF0008F4201440A001271AF4201A41080000A3F
+:10EF0000240200023C010800A02271783C010800A4
+:10EF1000AC3071808F4201443C010800AC22717C0E
+:10EF20000A0012788FBF001C8F4201B80440FFFE18
+:10EF3000240200020A00125B000000008F4201B8A8
+:10EF40000440FFFE00000000AF5001803C020800BA
+:10EF50009042717810400003000018213C03080023
+:10EF60008C637180AF430184A75201883C02080082
+:10EF7000904271780000182134420001A342018AB6
+:10EF800024020002A342018BA75101908F42014449
+:10EF9000AF4201A43C020800904271781040000387
+:10EFA0003C0210003C0308008C63717CAF4301A855
+:10EFB000AF4201B83C010800A02071780A00127825
+:10EFC0008FBF001C8F4201B80440FFFE24020002E4
+:10EFD000A342018BA7520188A75101908F4201449F
+:10EFE000A74201920A0012733C0210001440001D57
+:10EFF0000000000093620005304200041440003716
+:10F00000000000000E0011B30200202193620005F1
+:10F0100002002021344200040E0011BCA36200054E
+:10F02000936200053042000414400002000000001A
+:10F030000000000D9362000024030020304200FF16
+:10F0400014430008000000008F4201B80440FFFE96
+:10F0500024020005AF500180A342018B3C02100046
+:10F06000AF4201B88F4201B80440FFFE2402000203
+:10F07000AF400180AF500184A7520188A342018AAA
+:10F08000A342018BA7510190AF4001A48F420144DC
+:10F09000AF4201A80A0012733C0210008F4201B86F
+:10F0A0000440FFFE24020001AF500180AF40018404
+:10F0B000A7520188A342018A24020002A342018BC5
+:10F0C000A7510190AF4001A4AF4001A83C0210003D
+:10F0D000AF4201B80A0012788FBF001C0000000D7B
+:10F0E0008FBF001C8FB200188FB100148FB00010BA
+:10F0F00003E0000827BD002027BDFFE8AFBF0010D8
+:10F100000E000EA400000000AF4001808FBF001071
+:10F11000000020210A000F7D27BD00183084FFFF6A
+:10F1200030A5FFFF0000182110800007000000003C
+:10F1300030820001104000020004204200651821C6
+:10F140000A0012890005284003E000080060102131
+:10F1500010C0000624C6FFFF8CA2000024A50004F6
+:10F16000AC8200000A0012932484000403E000082B
+:10F170000000000010A0000824A3FFFFAC860000E0
+:10F1800000000000000000002402FFFF2463FFFFD6
+:10F190001462FFFA2484000403E000080000000069
+:10F1A00027BDFFE0AFB20018AFB10014AFB0001040
+:10F1B000AFBF001C9482000C00A088212490001492
+:10F1C0000002130200021080008290210000302112
+:10F1D00000A020210E00129C240500050212102B15
+:10F1E0001040005700001021920300002C6200091B
+:10F1F0005040005192020001000310803C030800BF
+:10F20000246370DC004310218C42000000400008A1
+:10F2100000000000920300012402000C1462004868
+:10F2200024020001025010232C42000A1440003630
+:10F23000261000028E22000034420100AE2200009F
+:10F240009202000092030001920400029205000362
+:10F2500000031C00000216000043102500042200D9
+:10F26000004410250045102526100004AE2200049D
+:10F270009202000092030001920400029205000332
+:10F280000002160000031C000043102500042200A9
+:10F290000044102500451025261000040A0012B66F
+:10F2A000AE2200089203000124020004146200163A
+:10F2B0002610000292020000920400018E2300003A
+:10F2C00000021200004410253463000426100002DE
+:10F2D000AE22000C0A0012B6AE2300009203000119
+:10F2E0002402000314620008261000028E2200008F
+:10F2F000920300002610000134420008A2230010EF
+:10F300000A0012B6AE2200000A00130F2402000108
+:10F31000920300012402000210620002260400028F
+:10F32000024020210A0012B6008080210A0012B695
+:10F3300026100001920200010A0012B6020280218A
+:10F340008FBF001C8FB200188FB100148FB0001057
+:10F3500003E0000827BD002027BDFFE8AFBF001471
+:10F36000AFB000100E0011B3008080219362007DC9
+:10F3700002002021344200200E0011BCA362007D57
+:10F38000020020218FBF00148FB000100A000C9BD8
+:10F3900027BD0018308300FF30A500FF30C600FFF6
+:10F3A000274701808F4201B80440FFFE00000000A3
+:10F3B0008F42012834634000ACE2000024020001C7
+:10F3C000ACE00004A4E30008A0E2000A240200026A
+:10F3D000A0E2000B3C021000A4E50010ACE0002409
+:10F3E000ACE00028A4E6001203E00008AF4201B838
+:10F3F00027BDFFE8AFBF00109362003F2403001257
+:10F40000304200FF1043000D008030218F62004425
+:10F41000008210230440000A8FBF00108F62004852
+:10F42000240400390000282100C2102304410004F4
+:10F43000240600120E001324000000008FBF0010ED
+:10F440002402000103E0000827BD001827BDFFC803
+:10F45000AFB1002C00A08821AFB2003027A500106A
+:10F460000080902102202021AFBF00340E0012A79F
+:10F47000AFB0002810400009024020218E22000871
+:10F48000AF6200840E001315AF6000402404003802
+:10F490002405008D0A0013D1240600129362003463
+:10F4A000936300378F640084304200FF306300FFB5
+:10F4B0000043282100A4202B1080000B0000000036
+:10F4C0009763003C8F6200843063FFFF0045102388
+:10F4D0000062182B14600004000000008F6200849A
+:10F4E0000A00137B004580239762003C3050FFFFE9
+:10F4F0008FA3001030620004504000032E02021857
+:10F500008FA2001C0202102B1440000502002021D3
+:10F510003062000410400002240402188FA4001C72
+:10F520002C82008010400002008080212410008086
+:10F530000E0011B30240202124020001AF62000C32
+:10F540009362003E001020403042007FA362003EE4
+:10F550008E22000424420001AF620040A770003CEC
+:10F560008F6200509623000E00431021AF620058B6
+:10F570008F62005000441021AF62005C8E220004B4
+:10F58000AF6200188E220008AF62001C8FA200102C
+:10F59000304200085440000A93A20020A3600036C5
+:10F5A000936200362403FFDFA36200359362003EBE
+:10F5B00000431024A362003E0A0013B18E2200080B
+:10F5C000A36200358E220008AF62004C8F620024D7
+:10F5D0008F63004000431021AF6200489362000037
+:10F5E00024030050304200FF144300122403FF8024
+:10F5F0003C0208008C4231A002421021004310243A
+:10F60000AF4200283C0208008C4231A08E24000842
+:10F610003C03000C024210213042007F03421021C3
+:10F6200000431021AC4400D88E230008AF8200288C
+:10F63000AC4300DC0E0011BC024020212404003841
+:10F64000000028212406000A0E00132400000000F8
+:10F650008FBF00348FB200308FB1002C8FB00028E4
+:10F660002402000103E0000827BD003827BDFFE8A1
+:10F67000AFBF001090C7000D00C0282130E6001079
+:10F6800010C0000A30E200048CA300088F6200540E
+:10F690001062000630E20004144000178FBF001013
+:10F6A000000020210A000CB227BD00181040000DF8
+:10F6B00030E3001210C000108FBF00108CA30008B0
+:10F6C0008F6200541462000D2402000124040038EB
+:10F6D0002405008D0E001324240600120A0013FDD9
+:10F6E0008FBF001024020012146200038FBF0010AD
+:10F6F0000A00135227BD00182402000103E000088D
+:10F7000027BD001827BDFFF827420180AFA20000E7
+:10F71000308A00FF8F4201B80440FFFE0000000065
+:10F720008F4601283C0208008C4231A02403FF8050
+:10F73000AF86005000C2102100431024AF420024C5
+:10F740003C0208008C4231A08FA900008FA8000065
+:10F7500000C210213042007F034218213C02000AFF
+:10F7600000621821946400D48FA700008FA50000C8
+:10F7700024020002AF830028A0A2000B8FA3000088
+:10F78000354260003084FFFFA4E200083C02100014
+:10F79000AD260000AD040004AC60002427BD0008C5
+:10F7A000AF4201B803E00008240200018C8200048B
+:10F7B0008F83002800451023AC8200049062006310
+:10F7C0003042007FA06200638C820020938300306F
+:10F7D0008F85002834420002AF830044A780004296
+:10F7E000AC820020A4A000E490A200632403FFBF29
+:10F7F0000043102403E00008A0A200632743018017
+:10F800008F4201B80440FFFE8F820050AC620000BE
+:10F810008F420124AC62000424026083A4620008C9
+:10F8200024020002A062000B3C02100003E000086A
+:10F83000AF4201B88F880044938200308F83002844
+:10F840003C07080024E7759400481023304200FF6D
+:10F85000304900FC246500888F860048304A000348
+:10F860001120000900002021248200048CA3000044
+:10F87000304400FF0089102AACE3000024A50004F6
+:10F880001440FFF924E70004114000090000202182
+:10F890002482000190A30000304400FF008A102B56
+:10F8A000A0E3000024A500011440FFF924E70001B3
+:10F8B00030C20003144000048F850044310200036D
+:10F8C0001040000D0000000010A0000900002021E1
+:10F8D0002482000190C30000304400FF0085102BFB
+:10F8E000A0E3000024C600011440FFF924E7000152
+:10F8F00003E00008000000001100FFFD00002021CF
+:10F90000248200048CC30000304400FF0088102BC8
+:10F91000ACE3000024C600041440FFF924E700040F
+:10F9200003E00008000000008F8300449382003051
+:10F9300030C600FF30A500FF00431023304300FF16
+:10F940008F820028008038210043102114C000025B
+:10F95000244800880083382130E200031440000569
+:10F9600030A2000314400003306200031040000D79
+:10F970000000000010A000090000202124820001E6
+:10F9800090E30000304400FF0085102BA10300002D
+:10F9900024E700011440FFF92508000103E00008F6
+:10F9A0000000000010A0FFFD0000202124820004C0
+:10F9B0008CE30000304400FF0085102BAD030000F5
+:10F9C00024E700041440FFF92508000403E00008C0
+:10F9D0000000000027BDFFF82402FFFFAFA20000D7
+:10F9E000008038212405002F3C0908002529719446
+:10F9F000240800FF2406FFFF90E2000024A3FFFF7D
+:10FA00000006220200C21026304200FF00021080D1
+:10FA1000004910218C420000306500FF24E70001FE
+:10FA200014A8FFF50082302600061027AFA20004BC
+:10FA3000AFA200000000282127A6000400C5102363
+:10FA40009044000324A2000100BD1821304500FFAE
+:10FA50002CA200041440FFF9A06400008FA2000053
+:10FA600003E0000827BD00080080482130AAFFFFFE
+:10FA700030C600FF30E7FFFF274801808F4201B802
+:10FA80000440FFFE8F820050AD0200008F4201242F
+:10FA9000AD0200048D220020A5070008A102000A83
+:10FAA00024020016A102000B934301208D220008BE
+:10FAB0008D240004306300FF00431021978300422F
+:10FAC000004410218D250024004310233C0308002E
+:10FAD0008C6331A08F840028A502000C246300E809
+:10FAE0002402FFFFA50A000EA5030010A5060012C0
+:10FAF000AD050018AD020024948201142403FFF721
+:10FB00003042FFFFAD0200288C820118AD02002CAC
+:10FB10003C021000AD000030AF4201B88D22002041
+:10FB20000043102403E00008AD2200208F8200284B
+:10FB300030E7FFFF00804821904200D330A5FFFF4F
+:10FB400030C600FF0002110030420F0000E23825ED
+:10FB5000274801808F4201B80440FFFE8F82005089
+:10FB6000AD0200008F420124AD0200048D2200206E
+:10FB7000A5070008A102000A24020017A102000B39
+:10FB8000934301208D2200088D240004306300FF80
+:10FB90000043102197830042004410218F840028E5
+:10FBA000004310233C0308008C6331A0A502000C25
+:10FBB000A505000E246300E8A5030010A5060012A9
+:10FBC000AD0000148D220024AD0200188C82005C70
+:10FBD000AD02001C8C820058AD0200202402FFFF01
+:10FBE000AD020024948200E63042FFFFAD020028FF
+:10FBF00094820060948300BE30427FFF3063FFFF39
+:10FC00000002120000431021AD02002C3C02100043
+:10FC1000AD000030AF4201B8948200BE2403FFF76C
+:10FC200000A21021A48200BE8D22002000431024D7
+:10FC300003E00008AD220020274301808F4201B875
+:10FC40000440FFFE24020018AC640000A062000B18
+:10FC50008F820028944200E6A46200103C0210004B
+:10FC6000AC60003003E00008AF4201B827430180D8
+:10FC70008F4201B80440FFFE8F82002C9442001C8A
+:10FC80003042FFFF000211C0AC62000024020019E4
+:10FC9000A062000B3C021000AC60003003E00008E2
+:10FCA000AF4201B88F87003430C300FF8F4201B8E4
+:10FCB0000440FFFE8F82005034636000ACA200005D
+:10FCC0009382004CA0A200058CE20010A4A20006C2
+:10FCD000A4A300088C8200202403FFF7A0A2000A3E
+:10FCE00024020002A0A2000B8CE20000ACA20010D3
+:10FCF0008CE20004ACA200148CE2001CACA2002434
+:10FD00008CE20020ACA200288CE2002CACA2002CDB
+:10FD10008C820024ACA200183C021000AF4201B853
+:10FD20008C8200200043102403E00008AC820020F5
+:10FD30009382004C2403000127BDFFE800433004F8
+:10FD40002C420020AFB00010AFBF00142410FFFE03
+:10FD500010400005274501803C0208008C4231908C
+:10FD60000A00159C004610243C0208008C42319485
+:10FD70000046102414400007240600848F830028C6
+:10FD80002410FFFF906200623042000F34420040B6
+:10FD9000A06200620E001568000000000200102141
+:10FDA0008FBF00148FB0001003E0000827BD0018BB
+:10FDB0008F83002C27BDFFE0AFB20018AFB1001455
+:10FDC000AFB00010AFBF001C9062000D00A09021EA
+:10FDD00030D100FF3042007FA062000D8F850028E7
+:10FDE0008E430018008080218CA2007C14620005E4
+:10FDF0002402000E90A20063344200200A0015C5C0
+:10FE0000A0A200630E00158BA382004C2403FFFF09
+:10FE1000104300472404FFFF52200045000020212A
+:10FE20008E4300003C020010006210245040000489
+:10FE30003C020008020020210A0015D4240200150B
+:10FE400000621024504000098E450000020020216D
+:10FE5000240200140E00158BA382004C2403FFFF24
+:10FE6000104300332404FFFF8E4500003C020002D3
+:10FE700000A21024104000163C0200048F86002CC3
+:10FE80008CC200148CC300108CC4001400431023D7
+:10FE90000044102B50400005020020218E43002C0E
+:10FEA0008CC2001010620003020020210A00160517
+:10FEB000240200123C02000400A210245040001C46
+:10FEC00000002021020020210A0016052402001350
+:10FED00000A21024104000068F83002C8C620010BA
+:10FEE00050400013000020210A0015FF02002021CD
+:10FEF0008C620010504000048E42002C0200202131
+:10FF00000A001605240200115040000900002021BB
+:10FF100002002021240200170E00158BA382004C42
+:10FF20002403FFFF104300022404FFFF00002021F0
+:10FF30008FBF001C8FB200188FB100148FB000105B
+:10FF40000080102103E0000827BD002093830030CB
+:10FF500027BDFFE024020034AFB20018AFB1001497
+:10FF6000AFBF001CAFB00010008088211462000CED
+:10FF700000A090218F8400340E0014B48C900030C7
+:10FF80001202000724020005022020210E00158B1A
+:10FF9000A382004C2403FFFF1043005F2404FFFFF3
+:10FFA00092420004104000098F8200280220202184
+:10FFB0002402000C0E00158BA382004C2403FFFFCB
+:10FFC000104300552404FFFF8F820028A3800024E3
+:10FFD0008E4300048C4400803C0200FF3442FFFF4B
+:10FFE000006218240083202B10800008AF83003C9F
+:10FFF00002202021240200190E00158BA382004C40
+:020000040001F9
+:100000002403FFFF104300442404FFFF97820042B3
+:100010008F8700448F88003C00471023110000396F
+:10002000A78200428F8600283045FFFF8F84005052
+:1000300090C300BC3C0208008C4231A0000318822F
+:100040003070000100822021001010800102102178
+:1000500000A2282B10A00010248200888F84003476
+:100060001082000D3C033F018E420000004310242B
+:100070003C0325001443000630E500FF8C8200009D
+:10008000ACC200888C8200100A001665ACC20098D1
+:100090000E001489000030218F85003C93830024DA
+:1000A0008F86002830A20003000210233042000394
+:1000B00000433821A387002494C400E400A228212F
+:1000C0008F8300448F82004834841000A4C400E46D
+:1000D00000431021AF8200481200000EAF8500449B
+:1000E00024E20004A382002494C200E424A30004B8
+:1000F000AF83004434422000A4C200E40A00168505
+:10010000000020218F820048AF800044004710216A
+:10011000AF820048000020218FBF001C8FB2001862
+:100120008FB100148FB000100080102103E0000890
+:1001300027BD00208F86002827BDFFE8AFBF001431
+:10014000AFB0001090C200633042002010400008A1
+:1001500030A500FF8CC2007C2403FFDF2442000195
+:10016000ACC2007C90C2006300431024A0C20063B4
+:1001700010A000238F83002827500180020028212F
+:100180000E001568240600828F82002890420063CA
+:100190003042004050400019A380004C8F8300344F
+:1001A0008F4201B80440FFFE8F820050AE02000073
+:1001B00024026082A602000824020002A202000BB0
+:1001C0008C620008AE0200108C62000CAE020014BB
+:1001D0008C620014AE0200188C620018AE0200247B
+:1001E0008C620024AE0200288C620028AE02002C33
+:1001F0003C021000AF4201B8A380004C8F8300285E
+:100200008FBF00148FB000109062006327BD0018EC
+:100210003042007FA0620063978200428F860044D4
+:100220008F8500289383003000461023A782004268
+:10023000A4A000E490A400638F820048AF83004430
+:100240002403FFBF0046102100832024AF82004812
+:10025000A0A400638F820028A04000BD8F820028E8
+:1002600003E00008A44000BE8F8A002827BDFFE0FD
+:10027000AFB10014AFB000108F880044AFBF0018BA
+:1002800093890024954200E430D100FF0109182B26
+:100290000080802130AC00FF3047FFFF0000582174
+:1002A00014600003310600FF0120302101095823AA
+:1002B000978300420068102B1440003200000000B9
+:1002C00014680007240200018E0200202403FFFBB3
+:1002D00034E7800000431024AE0200202402000115
+:1002E00034E70880158200053165FFFF0E0014D940
+:1002F000020020210A00171A020020210E00150A10
+:10030000020020210E00154D8F8400508F8400289C
+:100310009482006024420001A48200609482006004
+:100320003C0308008C63318830427FFF5443000F48
+:1003300002002021948200602403800000431024E6
+:10034000A48200609082006090830060304200FFD1
+:10035000000211C200021027000211C03063007FAA
+:1003600000621825A08300600200202102202821BD
+:100370008FBF00188FB100148FB000100A00168CC8
+:1003800027BD0020914200632403FF800043102515
+:10039000A1420063978200423048FFFF1100002015
+:1003A000938300248F840028004B1023304600FFE5
+:1003B000948300E42402EFFF0168282B00621824D4
+:1003C000A48300E414A000038E0200200100582141
+:1003D000000030212403FFFB34E780000043102499
+:1003E000AE02002024020001158200053165FFFFE6
+:1003F0000E0014D9020020210A0017429783004200
+:100400000E00150A02002021978300428F820044CB
+:10041000A780004200431023AF820044938300244E
+:100420008F8200288FBF00188FB100148FB000108A
+:1004300027BD002003E00008A04300BD8F820028F4
+:1004400090430088904500BD244900883063003FF8
+:100450002463FFE024020001006238042C630020C2
+:1004600030E80019A385002410600010AF89003423
+:100470003C028000344200022405000124060001F1
+:100480001500000800E2182400002821146000056F
+:1004900030E20020104000052405000191260001F3
+:1004A00030C600010A0016D90000000003E0000871
+:1004B0000000000027BDFFD8AFB000108F900034BF
+:1004C000AFB40020AFB10014AFBF0024AFB3001C25
+:1004D000AFB200188E0500103C0208008C4231B00B
+:1004E0008F86003830A33FFF0062182B8CD3001496
+:1004F000008088218CD20020106000780000A021AC
+:1005000090C3000D2402FF8000431024304200FFFE
+:100510005040007302202021000513823042000366
+:100520005440006F0220202194C3001C8F820028B9
+:100530008E050028A44301148CC200100262182307
+:10054000146500072402001F8F82003C0062102106
+:100550000262102B104000088F83002C2402001828
+:100560000E00158BA382004C2403FFFF1043006F85
+:100570002404FFFF8F83002C8F84003C8C620010CA
+:100580000244902100441023AC6200108F820028A6
+:10059000AC7200208C4200680052102B1040000901
+:1005A0008F830038022020212402001D0E00158BAD
+:1005B000A382004C2403FFFF1043005C2404FFFFD0
+:1005C0008F8300388E0200248C63002410430007C0
+:1005D000022020212402001C0E00158BA382004C57
+:1005E0002403FFFF104300512404FFFF8F84002CDD
+:1005F0008C82002424420001AC82002412530004A7
+:100600008F8200288C4200685642000E8E02000045
+:100610008E0200003C030080004310241440000DB3
+:100620002402001A022020210E00158BA382004C08
+:100630002403FFFF1043003D2404FFFF0A0017D6E8
+:100640008E0200143C03008000431024504000033D
+:100650008E020014AC8000208E0200142412FFFFD2
+:10066000105200062402001B022020210E00158BD0
+:10067000A382004C1052002D2404FFFF8E030000C3
+:100680003C020001006210241040001F3C02008068
+:100690000062102414400008022020212402001AC5
+:1006A0000E00158BA382004C2403FFFF1043001F94
+:1006B0002404FFFF02202021020028210E0015AB98
+:1006C000240600012403FFFF2404FFFF1443000E4F
+:1006D000241400010A00180B8FBF002402202021DF
+:1006E0002402000D8FBF00248FB400208FB3001CA4
+:1006F0008FB200188FB100148FB0001027BD0028F2
+:100700000A00158BA382004C8F83002C022020212D
+:100710000280302194620036240500012442000149
+:100720000E0016D9A4620036000020218FBF0024DD
+:100730008FB400208FB3001C8FB200188FB100144B
+:100740008FB000100080102103E0000827BD0028B2
+:100750008F83002827BDFFD8AFB40020AFB3001CA3
+:10076000AFB20018AFB10014AFB00010AFBF00249B
+:10077000906200638F9100342412FFFF34420040E6
+:1007800092250000A06200638E2200100080982154
+:1007900030B0003F105200060360A0212402000D7B
+:1007A0000E00158BA382004C105200522404FFFF50
+:1007B0008F8300288E2200188C63007C1043000772
+:1007C000026020212402000E0E00158BA382004C33
+:1007D0002403FFFF104300472404FFFF24040020EC
+:1007E000120400048F8300289062006334420020CA
+:1007F000A06200638F85003C10A0001E0000000076
+:10080000560400048F820028026020210A00185537
+:100810002402000A9683000A2404FFFD944200602B
+:100820003042FFFF104300348FBF00243C02080019
+:100830008C42318C0045102B1440000602602021B0
+:10084000000028210E0016D9240600010A00187C99
+:10085000000020212402002D0E00158BA382004CE5
+:100860002403FFFF104300232404FFFF0A00187C29
+:1008700000002021160400058F8400288E23001418
+:100880002402FFFF50620018026020219482006061
+:1008900024420001A4820060948200603C030800AE
+:1008A0008C63318830427FFF5443000F0260202167
+:1008B000948200602403800000431024A48200601E
+:1008C0009082006090830060304200FF000211C2FD
+:1008D00000021027000211C03063007F006218255B
+:1008E000A0830060026020210E00168C2405000108
+:1008F000000020218FBF00248FB400208FB3001C84
+:100900008FB200188FB100148FB00010008010213A
+:1009100003E0000827BD00288F83002827BDFFE8DB
+:10092000AFB00010AFBF0014906200638F87003437
+:1009300000808021344200408CE60010A0620063F9
+:100940003C0308008C6331B030C23FFF0043102BE2
+:100950001040004E8F8500382402FF8090A3000DC8
+:1009600000431024304200FF504000490200202183
+:10097000000613823048000324020002550200449E
+:100980000200202194A2001C8F850028240300234C
+:10099000A4A201148CE60000000616023042003FBB
+:1009A000104300103C0300838CE300188CA2007CF1
+:1009B000106200062402000E0E00158BA382004C6C
+:1009C0002403FFFF104300382404FFFF8F83002817
+:1009D0009062006334420020A06200630A0018C1E4
+:1009E0008F83002C00C31024144300078F83002C36
+:1009F00090A200623042000F34420020A0A20062A8
+:100A0000A38800408F83002C9062000D3042007F4D
+:100A1000A062000D8F83003C1060001802002021AE
+:100A20008F8400388C8200100043102B1040000986
+:100A300024020018020020210E00158BA382004C16
+:100A40002403FFFF104300182404FFFF0A0018E9E5
+:100A5000000020218C8200102405000102002021CA
+:100A6000004310238F83002C240600010E0016D9AA
+:100A7000AC6200100A0018E9000020210E00168C5C
+:100A8000240500010A0018E90000202102002021AD
+:100A90002402000D8FBF00148FB0001027BD001876
+:100AA0000A00158BA382004C8FBF00148FB000107A
+:100AB0000080102103E0000827BD001827BDFFD8E3
+:100AC000AFB000108F900034AFB3001CAFBF002058
+:100AD000AFB20018AFB100148E1200103C03080032
+:100AE0008C6331B032423FFF0043102B1040007C3A
+:100AF000008098218F8500382402FF8090A3000D8C
+:100B000000431024304200FF504000760260202154
+:100B10000012138230420003240300015443007189
+:100B20000260202190A2000D3042000854400003D2
+:100B30008F82003C0A00191924020024504000034F
+:100B40008E03000C0A001919240200278CA2002031
+:100B500014620005240200208E0300088CA20024E9
+:100B600010620008240200200E00158BA382004CA6
+:100B70002403FFFF1043006A2404FFFF0A00194406
+:100B80008F84002C8E0200142411FFFF14510003E7
+:100B90008F8700280A00193F240200258E030018C1
+:100BA0008CE2007C146200162402000E8E030024E6
+:100BB0008CA2002814620012240200218E06002854
+:100BC0008CA2002C14C2000E2402001F8E03002CE5
+:100BD0001060000B240200238CE200680043102BFD
+:100BE00014400007240200268CA20014006618217D
+:100BF0000043102B504000078F84002C2402002259
+:100C00000E00158BA382004C105100452404FFFFF9
+:100C10008F84002C2403FFF79082000D00431024E2
+:100C2000A082000D8F8600283C0308008C6331AC45
+:100C30008F82005094C400E08F85002C0043102167
+:100C400030847FFF00042040004410213043007FA7
+:100C5000034320213C03000E008320212403FF8056
+:100C600000431024AF42002CA49200008CA2002864
+:100C700024420001ACA200288CA2002C8E03002C80
+:100C800000431021ACA2002C8E02002CACA200303C
+:100C90008E020014ACA2003494A2003A2442000157
+:100CA000A4A2003A94C600E03C0208008C4231B095
+:100CB00024C4000130837FFF1462001300803021C0
+:100CC000240280000082302430C2FFFF000213C2E1
+:100CD000304200FF000210270A001981000233C0D1
+:100CE000026020212402000D8FBF00208FB3001C62
+:100CF0008FB200188FB100148FB0001027BD0028EC
+:100D00000A00158BA382004C8F82002802602021EC
+:100D1000240500010E00168CA44600E000002021EE
+:100D20008FBF00208FB3001C8FB200188FB100144A
+:100D30008FB000100080102103E0000827BD0028BC
+:100D400027BDFFE0AFB100148F910034AFB00010A9
+:100D5000AFBF00188E2600103C0308008C6331B032
+:100D600030C23FFF0043102B1040005E0080802106
+:100D70008F8500382402FF8090A3000D00431024CB
+:100D8000304200FF50400058020020218F82003C7A
+:100D900010400008000613828F8200289763000A23
+:100DA0002404FFFD944200603042FFFF10430055D1
+:100DB00000061382304200031440000E00000000C1
+:100DC00092220002104000058E230024506000157E
+:100DD000922300030A0019BA020020218CA20024E9
+:100DE0005062001092230003020020210A0019C261
+:100DF0002402000F90A2000D304200085440000968
+:100E00009223000302002021240200100E00158B03
+:100E1000A382004C2403FFFF1043003A2404FFFF89
+:100E200092230003240200025462000C9222000369
+:100E30008F82003C544000099222000302002021CE
+:100E40002402002C0E00158BA382004C2403FFFF0C
+:100E50001043002C2404FFFF9222000302202821CB
+:100E600002002021384600102CC600012C4200014F
+:100E70000E0015AB004630252411FFFF1051002154
+:100E80002404FFFF8F83003C106000120200202129
+:100E90003C0208008C42318C0043102B14400006A9
+:100EA00000000000000028210E0016D924060001D1
+:100EB0000A001A00000020212402002D0E00158BCC
+:100EC000A382004C1051000F2404FFFF0A001A00F7
+:100ED000000020210E00168C240500010A001A00D3
+:100EE00000002021020020212402000D8FBF0018E5
+:100EF0008FB100148FB0001027BD00200A00158BA1
+:100F0000A382004C8FBF00188FB100148FB0001067
+:100F10000080102103E0000827BD002093830040DB
+:100F200027BDFFE024020002AFB10014AFB00010F3
+:100F300000808821AFBF0018000080211062008C63
+:100F40002404FFFD978500428F83004430A2FFFFF9
+:100F50000043102B5440007D8F8400480E00144C39
+:100F6000000000003C020800244275940220202169
+:100F7000004028210E001612AF8200342409FFFF22
+:100F80001049007B2404FFFF3C0808008D0875A46D
+:100F90003C0208008C4231B03C0308009063759419
+:100FA00031043FFF0082102B1040001B3067003FD0
+:100FB0003C0208008C4231A88F830050000421803D
+:100FC00000621821006418213062007F034228214A
+:100FD0003C02000C00A228213C02008034420001A7
+:100FE0003066007800C230252402FF8000621024A1
+:100FF000AF42002830640007AF4208048F82002807
+:101000000344202124840940AF460814AF85002CF6
+:10101000AF840038AC4301189383004024020003DE
+:101020001462003B240200012402002610E2003D6D
+:1010300028E200271040001324020032240200227C
+:1010400010E2003828E200231040000824020024A7
+:101050002402002010E200242402002110E2001EDD
+:10106000022020210A001A7F2402000B10E2002D2A
+:101070002402002510E20010022020210A001A7F1D
+:101080002402000B10E2001A28E200331040000690
+:101090002402003F2402003110E2000B0220202134
+:1010A0000A001A7F2402000B10E200110220202106
+:1010B0000A001A7F2402000B0E00176C0220202168
+:1010C0000A001A9A004080210E0018EE022020210A
+:1010D0000A001A9A004080210E00198F0220202158
+:1010E0000A001A9A004080211509000E0000000035
+:1010F0000E001813022020210A001A9A00408021B5
+:101100000E00158BA382004C0A001A9A0040802121
+:1011100014620017020020212402002314E20005BB
+:101120002402000B0E001885022020210A001A9AC2
+:101130000040802102202021A382004C0E00158B4C
+:101140002410FFFF0A001A9B0200202130A500FF97
+:101150000E00148924060001978300428F82004408
+:10116000A780004200431023AF82004402002021E8
+:101170008FBF00188FB100148FB0001000801021B5
+:1011800003E0000827BD002027BDFFE0AFB1001439
+:10119000AFBF0018AFB000108F4601283C03080015
+:1011A0008C6331A02402FF80AF86005000C3182159
+:1011B0003065007F03452821006218243C02000AA4
+:1011C000AF43002400A2282190A200620080882161
+:1011D000AF850028304200FF00021102A3820040C8
+:1011E00090A200BC304200021440000224030034EC
+:1011F000240300308F820028A383003093830040B3
+:101200008C4200C0A380004CAF8200442402000442
+:10121000106200308F8400448E2400045080002D22
+:101220008F8400448E2200103083FFFFA784004289
+:101230001060001FAF8200488F8300282405FF80C4
+:10124000022020219062006300A21024304200FF9F
+:101250001440000D000000000E001A069790004296
+:1012600010400010004018212402FFFD54620011BC
+:101270008E230020020028210E00142A02202021A3
+:101280000A001AEC8E2300209062006300A2102452
+:10129000304200FF10400003022020210E00174EB4
+:1012A00000000000978200421440FFE48F83002872
+:1012B0008E23002030620004104000068F8400441A
+:1012C0002402FFFB006210240E00143EAE22002018
+:1012D0008F8400448F8300288FBF00188FB10014C3
+:1012E0008FB000102402000127BD002003E0000899
+:1012F000AC6400C030A500FF2403000124A9000154
+:101300000069102B1040000C00004021240A00014D
+:1013100000A31023004A3804246300013082000136
+:101320000069302B104000020004204201074025D4
+:1013300054C0FFF800A3102303E0000801001021AF
+:1013400027BDFFE03C021EDCAFB20018AFB10014B5
+:10135000AFBF001CAFB0001034526F4100008821B5
+:10136000240500080E001AFC0220202100118080B4
+:101370003C07080024E771940002160002071821B8
+:10138000AC6200000000282124A200013045FFFFCC
+:101390008C6200002CA600080441000200022040DC
+:1013A0000092202614C0FFF8AC64000002078021E0
+:1013B0008E0400000E001AFC2405002026230001E4
+:1013C0003071FFFF2E2301001460FFE5AE02000024
+:1013D0008FBF001C8FB200188FB100148FB00010A7
+:1013E00003E0000827BD00203C02080024426A8474
+:1013F0003C010800AC2271883C02080024425000E5
+:101400003C010800AC22718C240200063C0108005B
+:10141000A02271900A001B0F0000000027BDFFD81A
+:10142000AFB3001CAFB20018AFBF0020AFB10014C3
+:10143000AFB000108F5101408F480148000894025E
+:10144000324300FF311300FF8F4201B80440FFFE1A
+:1014500027500180AE1100008F420144AE0200040B
+:1014600024020002A6120008A202000B24020014AB
+:10147000AE130024106200252862001510400008F9
+:101480002402001524020010106200302402001211
+:10149000106200098FBF00200A001C308FB3001CAF
+:1014A0001062006724020022106200378FBF002004
+:1014B0000A001C308FB3001C3C0208008C4231A093
+:1014C0002403FF800222102100431024AF42002495
+:1014D0003C0208008C4231A0022210213042007FE1
+:1014E000034218213C02000A00621821166000B372
+:1014F000AF830028906200623042000F3442003017
+:10150000A06200620A001C2F8FBF00203C04600014
+:101510008C832C083C02F0033442FFFF0062182445
+:10152000AC832C083C0208008C4231A08C832C0830
+:101530002442007400021082000214800062182508
+:10154000AC832C080A001C2F8FBF00203C0208002F
+:101550008C4231A02403FF8002221021004310247A
+:10156000AF4200243C0208008C4231A03C03000A38
+:10157000022210213042007F03421021004310213B
+:101580000A001C2EAF8200283C0208008C4231A0C9
+:101590002404FF800222102100441024AF420024C2
+:1015A0003C0208008C4231A0022210213042007F10
+:1015B000034218213C02000A006218219062006375
+:1015C00000821024304200FF1040007CAF830028CE
+:1015D00024620088944300123C0208008C4231A827
+:1015E00030633FFF000319800222102100431021C5
+:1015F0003043007F03431821004410243C04000CB6
+:1016000000641821AF4200280E00155AAF83002C49
+:101610008F4201B80440FFFE00000000AE11000040
+:101620008F420144AE02000424020002A612000808
+:10163000A202000BAE1300240A001C2F8FBF002053
+:101640002406FF8002261024AF4200203C0208003E
+:101650008C4231A031043FFF00042180022210217E
+:1016600000461024AF4200243C0308008C6331A8DC
+:101670003C0208008C4231A03227007F022318214F
+:1016800002221021006418213042007F3064007F64
+:10169000034228213C02000A0066182400A22821E7
+:1016A000034420213C02000C00822021AF4300288B
+:1016B0003C0200080347182100629021AF850028F2
+:1016C000AF84002C0E00155A010080218F4201B812
+:1016D0000440FFFE8F82002C8F8400282745018064
+:1016E0009042000DACB10000A4B00006000216004C
+:1016F0000002160300021027000237C214C00016B1
+:10170000248200889442001232033FFF30423FFFA0
+:101710001443001224026082908300632402FF803D
+:1017200000431024304200FF5040000C240260822D
+:10173000908200623042000F34420040A08200627A
+:1017400024026084A4A200082402000DA0A20005C7
+:101750000A001C193C02270024026082A4A200088F
+:10176000A0A000053C02270000061C00006218250E
+:1017700024020002A0A2000BACA30010ACA0001435
+:10178000ACA00024ACA00028ACA0002C8E42004CE1
+:101790008F84002CACA200189083000D2402FF80DF
+:1017A00000431024304200FF104000058FBF00208E
+:1017B0009082000D3042007FA082000D8FBF00207C
+:1017C0008FB3001C8FB200188FB100148FB00010BF
+:1017D0003C02100027BD002803E00008AF4201B81A
+:1017E00008004C2808004C2808004BA008004BD8E3
+:1017F00008004C0C08004C3008004C3008004C30FD
+:0418000008004B1081
+:0C1804000A0001220000000000000000AB
+:101810000000000D747061342E362E313600000049
+:10182000040610010000000000000000000000009D
+:1018300000000000000000000000000000000000A8
+:101840000000000000000000000000000000000098
+:101850000000000000000000000000000000000088
+:101860000000000000000000000000000000000078
+:101870000000000000000000000000000000000068
+:101880000000000000000000000000000000000058
+:1018900010000003000000000000000D0000000D1B
+:1018A0003C02080024421B803C03080024632014EF
+:1018B000AC4000000043202B1480FFFD24420004B4
+:1018C0003C1D080037BD2FFC03A0F0213C10080090
+:1018D000261004883C1C0800279C1B800E00015A1F
+:1018E000000000000000000D3084FFFF3082000780
+:1018F0008F85001810400002248300073064FFF831
+:101900000085302130C41FFF03441821247B400090
+:10191000AF85001CAF84001803E00008AF440084CA
+:101920003084FFFF308200078F8500208F860028DB
+:1019300010400002248300073064FFF80085202156
+:101940000086182B14600002AF8500240086202337
+:101950000344282134068000AF840020AF44008077
+:1019600000A6202103E00008AF84003827BDFFD87F
+:10197000AFB3001CAFB20018AFB00010AFBF00246F
+:10198000AFB40020AFB100143C0860088D145000C3
+:101990002418FF7F3C1A8000029898243672380C75
+:1019A000AD1250008F5100083C07601C3C086000DD
+:1019B00036300001AF500008AF800018AF40008003
+:1019C000AF4000848CE600088D0F08083C076016C5
+:1019D0008CEC000031EEFFF039CA00103C0DFFFF27
+:1019E000340B80003C030080034B48212D44000150
+:1019F000018D28243C0253533C010800AC230420F1
+:101A0000AF890038AF860028AF840010275B400004
+:101A100014A2000334E37C008CF90004032818218D
+:101A20008C7F007C8C6500783C0280003452007012
+:101A3000AF85003CAF9F00403C13080026731BC4D9
+:101A40000240A0218E4800008F46000038C30001EC
+:101A50003064000110800017AF88003402804821F4
+:101A60008D2D00003C1908008F39045C3C110800E2
+:101A70008E31045801A8F823033F7821000040214B
+:101A80000228382101FF802B00F070213C01080062
+:101A9000AC2F045C3C010800AC2E04588F4C0000B5
+:101AA000398B0001316A00011540FFED01A0402192
+:101AB000AF8D00348E4E00003C0C08008D8C045C11
+:101AC0003C0A08008D4A045801C86823018D28216A
+:101AD0000000582100AD302B014B20210086102141
+:101AE0003C010800AC25045C3C010800AC22045811
+:101AF0008F4501088F44010030A92000AF85000008
+:101B0000AF84000C1120000A00A030213C0708001F
+:101B10008CE7042C24EF00013C010800AC2F042CBE
+:101B20003C104000AF5001380A0001900000000056
+:101B300030B002001600001424110F0010910012A2
+:101B400024070D001087023330B000065200FFF565
+:101B50003C104000936D0000240C001031A600F0F2
+:101B600010CC0269240E007010CE02DD8F8B0014A1
+:101B700025670001AF8700143C104000AF500138CA
+:101B80000A00019000000000974801041100FFE5E1
+:101B90003C10400030B84000170000A200000000D8
+:101BA0008F5901780720FFFE8F870038240900082D
+:101BB000240508008CE30008AF450178A7490140DF
+:101BC000A7400142974201048F8600003049FFFF81
+:101BD00030DF000113E002D5012040212524FFFE63
+:101BE000240A0002A74A01463088FFFFA7440148A3
+:101BF0003C0B08008D6B043C156002C48F8F000CF9
+:101C000030C30020146000022404000924040001F1
+:101C100030CD0C00240C040051AC000134840004CD
+:101C2000A744014A3C0508008CA504203C0200485A
+:101C30003C19000100A2F82530D8000203F928253C
+:101C400013000004000018213C04010000A4282512
+:101C50002403000130CA000451400005AF8300088E
+:101C60003C06001000A6282524030001AF830008CD
+:101C7000AF45100000000000000000000000000060
+:101C8000000000008F8300081060002300000000A7
+:101C90008F4B10000561FFFE000000001060001E69
+:101CA000000000008F4D10003C03002001A36024C1
+:101CB000118000198F8F000031EE000211C0001654
+:101CC00000000000975010141600001300000000E0
+:101CD0009745100830BFFFFF27F800060018C8829C
+:101CE0000019308000C7282133110001330300039D
+:101CF000122003208CA200000000000D00C7F82174
+:101D0000AFE200003C1908008F390430272600019B
+:101D10003C010800AC2604308F6A00003405FFFF48
+:101D2000AF8A00048CE200001045029A00002021D6
+:101D30008CE5000030BF010013E0027E010020218D
+:101D40003C0708008CE704743C1008008E10044C1B
+:101D500000E858213C1808008F1804700168882B8F
+:101D60003C0808008D080448000078210204602126
+:101D7000030F18210184702B010F6821007150217D
+:101D800001AE10213C010800AC2C044C3C010800C1
+:101D9000AC2204483C010800AC2B04743C01080050
+:101DA000AC2A04708F8D00180120302131290007E2
+:101DB00025AE000831C21FFF03426021AF8D001C19
+:101DC000AF820018259B4000AF4200841120000321
+:101DD0008F90002024C800073106FFF88F84002868
+:101DE00000D0282100A4782B15E00002AF90002439
+:101DF00000A4282303452021340380000083102100
+:101E00003C061000AF850020AF820038AF4500804F
+:101E1000AF4601788F8B0014256700010A0001DDB1
+:101E2000AF8700148F6200088F6700002411003014
+:101E30000007C602330300F0107100A2241900400D
+:101E40001479FF4B8F8B00148F4A01780540FFFEF9
+:101E500030A7020014E00003000512820000000D0C
+:101E6000000512823050000300104900013070213B
+:101E7000000E688001B06021000C58800173802141
+:101E80008E08000015000002000000000000000D98
+:101E90008F6F000405E202B192030006920700056D
+:101EA000920F00043C0200010007288000B060216E
+:101EB0008D8900182771000825EE00050122682190
+:101EC000000E3082AD8D0018022020210E0005800A
+:101ED00026050014920B00068F7F00043C087FFF4C
+:101EE000000B2080009130218CC30004350AFFFFD5
+:101EF00003EAC8240079C021ACD800049207000589
+:101F000092090004960D00080007288000B1F8210E
+:101F10008FEF0000974201043C07FFFF01E75024C8
+:101F2000304EFFFF01C96021018D58233168FFFF4A
+:101F300001482025AFE400009203000724190001A6
+:101F4000107902692406000310660279000000007F
+:101F50008E190010241F000AA75F0140A7590142F3
+:101F6000920300048F86000024070001A743014468
+:101F7000A74001469758010430D100023C050041BA
+:101F8000A758014800001821A747014A1220000362
+:101F900030CA00043C050141240300015140000502
+:101FA000AF8300083C08001000A828252403000186
+:101FB000AF830008AF4510000000000000000000E3
+:101FC00000000000000000008F8B0008116000047A
+:101FD000000000008F4410000481FFFE000000009C
+:101FE0008F6A0000920700043C0508008CA5044499
+:101FF000AF8A0004975F01043C0F08008DEF044096
+:1020000030E300FF33F9FFFF0079C02100B86821F9
+:102010000000102124E6000A30C8FFFF01B8482B59
+:1020200001E2702101C96021311000073C01080064
+:10203000AC2D04443C010800AC2C04401200000309
+:102040008F8D0018250B00073168FFF8010D7021F6
+:1020500031CC1FFFAF8D001CAF8C0018AF4C00843B
+:1020600097440104034C80213084FFFF308800072F
+:1020700011000003261B4000248900073124FFF8CB
+:102080008F8200208F850028008220210085782BF8
+:1020900015E00002AF82002400852023034488213C
+:1020A00034058000022510213C061000AF8400207A
+:1020B000AF820038AF440080AF4601780A00028545
+:1020C0008F8B00148F5F017807E0FFFE30AA0200BB
+:1020D00015400003000542820000000D0005428209
+:1020E000310200030002710001C26821000D60800E
+:1020F000018248210009288000B380218E0B000056
+:1021000011600002000000000000000D8F6F000C45
+:1021100005E001F38F87003824190001AE19000093
+:102120008CE30008A20000078F78000400181C024E
+:10213000306600FF24D10005001130832CC400411B
+:1021400014800002A20300040000000D8F6B000445
+:102150003C0EFFFF00E028213164FFFF248F000BBD
+:10216000000F408200081080004748218D2D00009C
+:1021700026040014A60B000801AE60240E000580A2
+:10218000AD2C00008F5F01083C0A100003EA3824E0
+:1021900010E001A30000000097460104920300072D
+:1021A00024D1FFEC346500023224FFFFA2050007B2
+:1021B000960600082CC7001354E0000592030007A0
+:1021C000920A0007355F0001A21F00079203000773
+:1021D000240B0001106B01BA24090003106901CD22
+:1021E0008F88003830CFFFFF25E400020004C88349
+:1021F000333F00FF001F2880A219000500A85821C6
+:102200008D780000975101043C03FFFF0303602415
+:102210003222FFFF004F702325CDFFFE018D4825A0
+:10222000AD690000920600053C02FFF6344EFFFF48
+:1022300030CA00FF000A388000F020219099001475
+:102240003C1FFF7F37E7FFFF3323000F0066782135
+:1022500031F800FF0018288000B088218E2D002062
+:1022600000A86021A20F000601AE4824AE0D000CAC
+:10227000AD89000C920B00068E04000C0127F82497
+:10228000000B50800150C821972600260148C0212C
+:1022900000874024AF260024AE08000CAF3F00208A
+:1022A000AF0600108F860000240C001024090002E5
+:1022B000A74C0140A7400142A7400144A74901465D
+:1022C000974B01042407000130C80002256AFFFE75
+:1022D000A74A01483C050009A747014A110000032D
+:1022E000000018213C0501092403000130CD000441
+:1022F00051A00005AF8300083C06001000A6282569
+:1023000024030001AF830008AF4510000000000067
+:10231000000000000000000000000000921800040F
+:1023200027110002322F0007000F1023304E000744
+:10233000AE0E00108F900008120000040000000094
+:102340008F4310000461FFFE000000008F78000042
+:102350008F8F00183C1008008E100444AF980004C2
+:102360009751010425E6001030CA1FFF3222FFFFFB
+:10237000AF8F001CAF8A0018AF4A00842449FFFECB
+:102380003C0B08008D6B0440974E0104012068212E
+:10239000000967C3020D282131C9FFFF00AD402BA2
+:1023A000016C382100E82021034AF8213139000767
+:1023B0003C010800AC2504443C010800AC24044066
+:1023C0001320000327FB4000252300073069FFF896
+:1023D0008F9F00208F840028013F382100E4C82B04
+:1023E00017200002AF9F002400E438230347202178
+:1023F00034058000008510213C061000AF870020C6
+:10240000AF820038AF470080AF4601780A000285EE
+:102410008F8B0014975801041300FDC23C1040003C
+:102420008F4301780460FFFE30B9400013200003A1
+:102430003C0400080000000D3C040008AF440140CB
+:1024400024080800AF4801788F8B0000974A0104E8
+:10245000317F000113E000E93146FFFF24D0FFFE89
+:10246000240C0002A74C0146A75001488F8F00188A
+:102470002405000DA745014A8F71000025E20008E0
+:1024800030491FFF0349702130CD0007AF91000490
+:10249000AF8F001CAF89001800C03821AF490084FD
+:1024A00011A0000325DB400024C6000730C7FFF859
+:1024B0008F9800208F84002800F8302100C4382B2A
+:1024C00014E00002AF98002400C430238F8A001467
+:1024D00003465821340880000168F821255900017D
+:1024E0003C0310003C104000AF860020AF9F003836
+:1024F000AF460080AF430178AF990014AF50013868
+:102500000A000190000000008F6900009744010458
+:102510003127FFFF3088FFFF8F4F017805E0FFFE76
+:1025200030FF0007001F18233078000724E6FFFE65
+:102530002419000AA7590140A7580142A74601449F
+:10254000A7400146A74801488F42010830510020AA
+:1025500016200002240300092403000130AA00020F
+:10256000A743014A3C040041114000030000182128
+:102570003C0401412403000130AB0004516000051C
+:10258000AF8300083C0500100085202524030001CE
+:10259000AF830008AF4410000000000000000000FE
+:1025A00000000000000000008F90000812000004EE
+:1025B000000000008F4C10000581FFFE00000000AD
+:1025C0008F780000276200088F8D003CAF980004D0
+:1025D000944600089451000A944F000C30CEFFFF3F
+:1025E0000011240031E9FFFF11CD00A20089202550
+:1025F0003C0308008C6304443C1808008F18044016
+:1026000000E85021255FFFFE007F782100001021A7
+:1026100001FF302B03028821022648213C010800DB
+:10262000AC2F04443C010800AC29044024EB000812
+:102630003162FFFF3047000710E000038F8500186C
+:10264000245000073202FFF83106FFFF30C80007B0
+:102650000045702131CD1FFF034D6021AF85001C67
+:10266000AF8D0018259B4000AF4D00841100000382
+:102670008F8F002024C400073086FFF88F84002845
+:1026800000CF282100A4482B15200002AF8F002482
+:1026900000A42823AF850020AF4500803C1108002E
+:1026A0008E3104340345C0213402800003023021FE
+:1026B00012200005AF860038938300172419000EFE
+:1026C0001079000D241F043F3C0A1000AF4A017826
+:1026D0008F8B0014256700010A0001DDAF8700140D
+:1026E0000E0005A63C1040008F8B001425670001EA
+:1026F0000A0001DEAF8700143C0A1000A75F014802
+:10270000AF4A01780A0004B48F8B0014240E0F0026
+:1027100011EE003D30D100201620000224030009F4
+:10272000240300010A000208A743014A0A0001FB32
+:10273000A740014694E5000894E2000A94EB000CDF
+:102740008F86003C0002FC00316AFFFF30B9FFFFBA
+:102750001326003703EA20253C0508008CA5044415
+:102760003C1F08008FFF04400000502100A83821C2
+:1027700000E8302B03EAC8210326C0213C010800F1
+:10278000AC2704443C010800AC3804400A0002694C
+:102790008F8D00183C1908008F39047C3C03080019
+:1027A0008C6304543C0608008CC604783C0F080077
+:1027B0008DEF0450032838210068682100E8C02B01
+:1027C00000C4882101A8402B01E47021023858215F
+:1027D00001C860213C010800AC2D04543C010800F4
+:1027E000AC2C04503C010800AC27047C3C010800E0
+:1027F000AC2B04780A0002698F8D0018A7400146AF
+:102800000A00041B8F8F001830D000201600FFC56F
+:102810002403000D240300050A000208A743014A0F
+:10282000975901042738FFF00A00036B3304FFFFB8
+:102830008F8C0040148CFFC8000080213C110800E0
+:102840008E31046C3C0408008C84046802287021DA
+:1028500001C8782B00904021010F68213C0108003D
+:10286000AC2E046C3C010800AC2D04680A0002691F
+:102870008F8D00188F9900401499FF5D0000602132
+:102880003C0508008CA5046C3C1008008E10046800
+:1028900000E82021248EFFFE00AEF82103EE582B25
+:1028A000020C5021014B18213C010800AC3F046C84
+:1028B0003C010800AC2304680A00048B24EB0008E8
+:1028C0008F8800383C02FFFF8D0E000C01C2682487
+:1028D00001A46025AD0C000C0A00037930CFFFFF86
+:1028E0000A0003A9AE000000974B01049204000403
+:1028F0008E2A000C01644021251FFFF20147182495
+:1029000033F9FFFF0079C025AE38000C0A0002D46D
+:102910008E1900103C03FFFF8D11001002232824A4
+:1029200000A47825AD0F00100A00037930CFFFFF17
+:1029300097450104920600048E2F001000A6102176
+:102940002449FFEE01E76824312EFFFF01AE602528
+:10295000AE2C00100A0002D48E1900108E06000C56
+:10296000AE0000000003C080031088210A0002A608
+:10297000AE2600201460000D3050FFFF3C04FFFF26
+:102980000044602401846826000D582B000C502B55
+:10299000014B102410400002000000000000000D58
+:1029A0008CA300000A00023E006410253A11FFFFCC
+:1029B0000011782B0010702B01CF20241080000212
+:1029C000000000000000000D8CB800000A00023E6C
+:1029D0003702FFFF3084FFFF30A5FFFF10800007A4
+:1029E0000000182130820001104000020004204243
+:1029F000006518211480FFFB0005284003E0000853
+:102A00000060102110C00007000000008CA2000030
+:102A100024C6FFFF24A50004AC82000014C0FFFB05
+:102A20002484000403E000080000000010A0000857
+:102A300024A3FFFFAC86000000000000000000009F
+:102A40002402FFFF2463FFFF1462FFFA24840004C2
+:102A500003E0000800000000308EFFFF30D8FFFFC9
+:102A600000057C0001F8602539CDFFFF01AC502145
+:102A7000014C582B014B4821000944023127FFFF2C
+:102A800000E830210006240230C5FFFF00A4182111
+:102A90003862FFFF03E000083042FFFF3C0C0800F3
+:102AA0008D8C0484240BFF8027BDFFD0018450212E
+:102AB000014B4824AF4900203C0808008D080484DD
+:102AC000AFB20020AFB00018AFBF0028AFB30024F2
+:102AD000AFB1001C936600040104382130E4007F8C
+:102AE000009A10213C0300080043902130C50020CB
+:102AF000036080213C080111277B000814A000021C
+:102B0000264600702646006C92130004975101047B
+:102B1000920F00043267000F322EFFFF31ED0040AC
+:102B200001C7282311A0000500004821925900BCCC
+:102B3000333800041700009000000000924300BCEE
+:102B4000307F000413E0000F0000000010A0000D13
+:102B500000000000960E0002240AFF8000A76021FA
+:102B600025CDFFFEA74D1016920B0004014B20242B
+:102B7000308200FF10400085010C40253C0F04000E
+:102B8000010F40258F5301780660FFFE2404000AE0
+:102B9000A7440140960D00022404000931AC00074F
+:102BA000000C5823316A0007A74A0142960200022E
+:102BB0002443FFFEA7430144A7400146975F010459
+:102BC000A75F01488F5901083338002053000001E6
+:102BD00024040001920F000431EE001015C0000221
+:102BE0003483001000801821A743014A0000000030
+:102BF000000000000000000000000000AF481000CE
+:102C000000000000000000000000000000000000C4
+:102C10008F5110000621FFFE3113FFFF12600003E9
+:102C2000000000008F481018ACC800009603000692
+:102C3000307FFFFF27F90002001998820013888077
+:102C4000023B30218CD800001520005700183402B8
+:102C5000920300042405FF8000A3F82433F100FF51
+:102C60001220002C00000000924700BC30F200024D
+:102C70001240002800000000974B100C2562FFFE58
+:102C8000A7421016000000003C0A0400354900303D
+:102C9000AF4910000000000000000000000000002C
+:102CA000000000008F4C10000581FFFE00000000B6
+:102CB0009749100C8F51101C00C020213127FFFFB5
+:102CC00024F20030001218820003288000BBF82193
+:102CD0003226FFFFAFF100000E00059500112C0217
+:102CE0000013C880033B98218E7800000002740016
+:102CF000AFB800108FA80010310FFFFFAFAF00106A
+:102D00008FA4001001C46825AFAD00108FA600107D
+:102D1000AE66000097730008976D000A9766000C76
+:102D20008F8A003C000D5C0030CCFFFF3262FFFF59
+:102D3000104A0036016C2025960600023C10100057
+:102D400024D300080E0001393264FFFF974C0104C0
+:102D50000E0001473184FFFFAF5001788FBF00287C
+:102D60008FB300248FB200208FB1001C8FB00018E9
+:102D700003E0000827BD003010A0FF700000000035
+:102D800024A5FFFC0A0005CE240900048CD1000014
+:102D9000AF5110188F5301780660FF7A2404000A9F
+:102DA0000A0005E30000000000A7C8218F88003852
+:102DB0008F4E101C0019C0820018788001E8202175
+:102DC000AC8E0000000E2C0200C020210E000595E4
+:102DD00031C6FFFF023B28218CAD000000025400E9
+:102DE00000403021AFAD00108FAC0010318BFFFFE1
+:102DF000AFAB00108FA2001001424825AFA9001010
+:102E00008FA700100A000613ACA700008F8F0040A8
+:102E1000148FFFC90000000097420104960B0002C6
+:102E20003C0508008CA5046C3049FFFF316AFFFFA8
+:102E30003C1108008E310468012A382124F2FFFE7B
+:102E400000B240210012FFC30112C82B023FC02173
+:102E5000031920213C010800AC28046C3C01080047
+:102E6000AC2404680A00064D0000000000A4102BEA
+:102E700010400009240300010005284000A4102B85
+:102E800004A00003000318405440FFFC0005284044
+:102E900010600007000000000085302B14C0000205
+:102EA00000031842008520231460FFFB0005284220
+:102EB00003E00008008010218F85002C27BDFFE86B
+:102EC000000530272CC300012CA40002008310252C
+:102ED00010400003AFBF00102405007FAF85002C19
+:102EE0000005282730A5FFFF0E000574240426F5F1
+:102EF0008F830030240402BD004030210083382B32
+:102F000010E0000924050001000420400083102B7C
+:102F100004800003000528405440FFFC00042040CA
+:102F200010A0000800C350210064402B15000002CF
+:102F3000000528420064182314A0FFFB000420426F
+:102F400000C350218FBF0010000A4C02312200FF45
+:102F500027BD0018AF8A002C03E00008AF890030BD
+:102F60000A00002600000000000000000000000D24
+:102F7000747870342E362E313600000004061000AE
+:102F80000000000A000001360000EA6000000000B6
+:102F90000000000000000000000000000000000031
+:102FA0000000000000000000000000000000000021
+:102FB0000000000000000000000000000000000011
+:102FC0000000001D000000000000000000000000E4
+:102FD00000000000000000000000000000000000F1
+:102FE00000000000000000000000000000000000E1
+:102FF00000000000000000000000000010000003BE
+:10300000000000000000000D0000000D3C02080060
+:1030100024423AA03C03080024633C54AC40000026
+:103020000043202B1480FFFD244200043C1D0800B7
+:1030300037BD7FFC03A0F0213C100800261000984B
+:103040003C1C0800279C3AA00E000305000000006D
+:103050000000000D8F8300383C08800035070070A9
+:103060008CE50000008330253C02900000C2202542
+:10307000AF850030AF4400208F4900200520FFFEBF
+:103080003C038000346200708C4500008F86003065
+:103090003C1908008F39007C3C0E08008DCE00786A
+:1030A00000A6202303245821000078210164682B06
+:1030B00001CF6021018D50213C010800AC2B007C28
+:1030C0003C010800AC2A007803E000080000000082
+:1030D0000A00003D240400018F8400383C05800074
+:1030E00034A200010082182503E00008AF4300204D
+:1030F00003E00008000010213084FFFF30A5FFFF2F
+:1031000010800007000018213082000110400002EA
+:1031100000042042006518211480FFFB00052840B0
+:1031200003E000080060102110C00007000000004C
+:103130008CA2000024C6FFFF24A50004AC8200007E
+:1031400014C0FFFB2484000403E00008000000001A
+:1031500010A0000824A3FFFFAC86000000000000C0
+:10316000000000002402FFFF2463FFFF1462FFFA47
+:103170002484000403E0000800000000308AFFFF00
+:1031800093A80013A74A014497490E1630C600FFC2
+:103190003C021000A7490146AF450148A346015231
+:1031A000A748015AAF4701608FA400188FA30014ED
+:1031B000A7440158AF43015403E00008AF4201782F
+:1031C00003E00008000000003C038000346200704F
+:1031D0008C4900008F88003C2484000727BDFFF83D
+:1031E0003084FFF8AF890030974D008A31ACFFFF83
+:1031F000AFAC00008FAB0000016850232547FFFFF4
+:1032000030E61FFF00C4282B14A0FFF73C0C800001
+:10321000358B00708D6A00003C0708008CE7008445
+:103220003C0608008CC60080000810820149182363
+:103230000002788000E370210000202101C3C82B28
+:1032400000C4C02101FA4021031948212502400091
+:1032500027BD00083C010800AC2E00843C0108009A
+:10326000AC29008003E00008000000008F82003CD1
+:103270002486000730C5FFF800A2182130641FFF24
+:1032800003E00008AF84003C3C0E20FF27BDFFE0B8
+:103290003C1A80003C0F800835CDFFFDAFBF001801
+:1032A000AFB10014AFB00010AF8F0044AF4D0E00AF
+:1032B000000000000000000000000000000000000E
+:1032C000000000003C0C00FF358BFFFDAF4B0E00F3
+:1032D0003C0660048CC95000240AFF7F3C1160004A
+:1032E000012A40243507380CACC750008E2404381E
+:1032F00024050009AF4500083083FFFF38622F71B5
+:103300002450C0B3AF80004C0E000064AF80003C7E
+:1033100052000001AE20442C0E00046000000000AA
+:103320008FBF00188FB100148FB000100A000E7705
+:1033300027BD002027BDFFD0AFB20028AFB10024C9
+:10334000AFBF002CAFB00020936200080080902136
+:1033500000A088211440002D240400100E00009AC3
+:10336000000000008F8E004C3C10320031C600FF80
+:1033700000067C0001F0602525CD0001AF8D004CDA
+:10338000AC4C0000936B00099369000A316A00FF9E
+:10339000000A3C00312800FF00E82025AC4400046E
+:1033A0008F83004C06400043AC430008AC40000C47
+:1033B000979800403305000814A000022628000654
+:1033C0002628000297420E148F450E1C8F670004BA
+:1033D000937F00023044FFFF33F900FFAFB90010C4
+:1033E0008F710014AFA800180E000087AFB1001451
+:1033F0008FBF002C8FB200288FB100248FB0002027
+:10340000240400100A0000C327BD0030936900099E
+:103410009368000B312300FF310200FF006280211E
+:10342000261F000A33F0FFFF0E00009A0200202141
+:103430008F86004C3C0D410024D90001AF99004C0F
+:103440009378000930C600FF00067400330500FFC2
+:1034500024AF000201CF6025018D5825AC4B000040
+:103460008F6A000C97440E1401523825AC470004B3
+:103470008F450E1C8F670004936900023084FFFFA4
+:10348000312800FFAFA800108F630014AFB10018FF
+:103490000E000087AFA30014020020218FBF002C74
+:1034A0008FB200288FB100248FB000200A0000C323
+:1034B00027BD00303C1280000A000114AC52000C01
+:1034C00027BDFFD8AFB3001CAFBF0020AFB20018BC
+:1034D000AFB10014AFB00010936200081440008137
+:1034E00000809821AF60000C9785004030A4400018
+:1034F0001080008B2403001624104007A363000AE9
+:10350000AF700014938F00428F6C001431EE0007EF
+:10351000000E6A40018D5825AF6B0014978A004059
+:103520008F6800143149001001093825AF67001475
+:103530009786004030C300085060008D00002821AD
+:103540008F6600143C0310003C02810000C3282554
+:10355000AF65001497440E0A2418000E3405FFFCD2
+:10356000309FFFFF03E2C825AF790004A378000273
+:103570009372000A26510004A371000A9783004049
+:103580009364000A30661F00000611830044F8218E
+:1035900027F90028A379000997580E0CA778001086
+:1035A0009372000926510002323000070010782380
+:1035B00031EE0007A36E000B936D0009976C0010AD
+:1035C0008F9000349789004031AB00FF016C50218F
+:1035D000014540213127004010E000053105FFFF83
+:1035E00000B0382B3C06800010E000140000882159
+:1035F0000205402B15000033000020218F4A0E14D5
+:10360000AF4A0E108F490E1CAF490E18AF450E0081
+:103610008F4C0000318B00081160FFFD000000009E
+:10362000974D0E0800A0802100003021A78D00409A
+:103630008F450E0424110001AF850034976E0010F1
+:1036400031D2FFFF8E640000009010231440000967
+:10365000AE6200008F6A00148F8700483549004031
+:10366000AF6900148F480E10ACE800208F430E188D
+:10367000ACE3002400C020210E0000F50200282148
+:103680008E66000014C00005000000008F6B00145F
+:10369000240CFFBF016C9824AF7300148F6D000CD5
+:1036A00001B22821AF65000C937200081640000398
+:1036B000000000001620003100000000A371000887
+:1036C000020020218FBF00208FB3001C8FB2001892
+:1036D0008FB100148FB000100080102103E00008AB
+:1036E00027BD00288F900034979100403C06800051
+:1036F00002009021322F004015E0FFD20000882107
+:10370000977F00108F98003433F9FFFF1738FFEDD3
+:1037100000002021000030210A0001B9241100011D
+:103720002403000E24104007A363000AAF700014A6
+:10373000938F00428F6C001431EE0007000E6A4038
+:10374000018D5825AF6B0014978A00408F680014D4
+:103750003149001001093825AF67001497860040F1
+:1037600030C300081460FF7600000000000028212C
+:10377000AF6000040A000187A36000028F6F00148D
+:103780003C19EFFF3738FFFE01F870240A0001D71B
+:10379000AF6E00148F8700388F8A004427BDFFE08A
+:1037A0008F860048AFB00018AFBF001C8F450104E2
+:1037B0008D4900ACAF4700808CC8002000A9382399
+:1037C00000008021AF480E108F440E1000004821E9
+:1037D000AF440E148CC20024AF420E188F430E1853
+:1037E000AF430E1C10E000362D390001936B00082A
+:1037F0001160004F00000000976E001031CDFFFFF8
+:1038000000ED602B1580004A000000009778001042
+:10381000330FFFFFAF4F0E008F5F000033F900083A
+:103820001320FFFD0000000097420E088F460E0493
+:103830003045FFFF30A300011060008A0000000047
+:103840000000000D30A8A040240400401104003BFB
+:1038500030A9A0001120008500000000936C000832
+:103860005180000927A40010976F001031EEFFFF70
+:1038700000CE682B11A0000427A4001030B800402F
+:103880001300007A00000000AFA70010A7850040D9
+:10389000AF8600340E0001580000000000404821AF
+:1038A0001440FFD08FA700108F420E148F84004861
+:1038B000AC8200208F470E1CAC8700242D390001FC
+:1038C0000330302510C000178FBF001C8F840038D4
+:1038D00024100F0010900085000000008F4F017829
+:1038E00005E0FFFE24180F001098006F0000000094
+:1038F0008F470E14240202403C101000AF470144D1
+:103900008F490E1CAF490148A3400152A740015AFC
+:10391000AF400160A7400158AF420154AF50017859
+:103920008FBF001C8FB0001803E0000827BD0020E7
+:10393000AF470E000A00022E000000008F490178F8
+:103940000520FFFE240A08008F84003CAF4A01785E
+:103950009758008A330FFFFF01E4702325CDFFFF46
+:1039600031AC1FFF2D8B00081560FFF9000000002F
+:103970008F83004C8F9F003800C0482103442021D2
+:103980002466000124190F00AF86004C306A00FF46
+:1039900000E938232486400013F9000524080001BB
+:1039A000938B004231680007000812403448000140
+:1039B000000A7C003C18010001F87025AC8E400024
+:1039C0008F8D004C30AC003630A40008ACCD000424
+:1039D0001080002E010C3025974D0E0A8F8C003C74
+:1039E0003C02810031A4FFFF258B000800824025A6
+:1039F0003C03100031651FFF25390006241F000E0F
+:103A0000AF48016000C33025A75F015AAF85003C75
+:103A1000A759015814E0000A8F9F003824050F00B1
+:103A200053E500022410000134C600408F430E10FD
+:103A30008F880048AD0300208F4B0E18AD0B00247B
+:103A40008F420E14AF4201448F440E1CAF44014814
+:103A5000A34A01523C0A1000AF4601540A00022159
+:103A6000AF4A017814C0FF7830A8A0408F420E14EE
+:103A70008F84004800004821AC8200208F470E1C34
+:103A8000AC8700240A0002582D3900018F98003CB1
+:103A900025390002A7590158270F000831EE1FFFF2
+:103AA0000A0002ADAF8E003CAF40014C1120002C4B
+:103AB000000000008F460E10AF4601448F430E18E1
+:103AC000240200403C101000AF430148A3400152C3
+:103AD000A740015AAF400160A7400158AF420154CE
+:103AE000AF5001780A0002718FBF001C1120000640
+:103AF00000000000975F0E0833E5004014A00002AC
+:103B0000000000000000000D8F4801780500FFFE56
+:103B100000000000974E0E103C0D0500240320000D
+:103B200031CCFFFF018D1025AF42014C8F440E14A4
+:103B30003C0B1000AF4401448F4A0E1CAF4A0148B1
+:103B4000A34001528F840038A740015AAF40016062
+:103B5000A7400158AF4301540A00025FAF4B017800
+:103B60008F590E14AF5901448F430E1C0A0002D91D
+:103B70002402004027BDFFE0AFB20018AFB100142F
+:103B8000AFB00010AFBF001C0E0000CA0000000064
+:103B90003C0280008F8A0044345000703C120800C0
+:103BA00026523B70020088218E0800008F450000DD
+:103BB00038A400013083000110600017AF88003086
+:103BC000022048218D2C00003C0208008C42006C31
+:103BD0003C1808008F1800680188182300436821EA
+:103BE0000000C82101A3782B0319702101CF4021C7
+:103BF0003C010800AC2D006C3C010800AC280068BA
+:103C00008F4B00003967000130E6000114C0FFED62
+:103C100001804021AF8C00308E1800003C0E08005F
+:103C20008DCE006C3C0C08008D8C00680308782356
+:103C300001CF28210000402100AF302B01885821FE
+:103C4000016620213C010800AC25006C3C01080005
+:103C5000AC2400688F49010025470088AF870048E1
+:103C6000AF890038AF4900208E070000AF870030D1
+:103C70008F5901780720FFFE000000008E0F000022
+:103C80003C0D08008DAD00743C0C08008D8C00705C
+:103C900001E7702301AE28210000302100AE582B2F
+:103CA00001862021008B3821240908003C010800EE
+:103CB000AC2500743C010800AC270070AF490178C6
+:103CC00093430108A383004293820042305F0001C6
+:103CD00017E000158F830038241F0D00107F001996
+:103CE00024020F001062001D000000009147000038
+:103CF0002403005030E900FF112300043C0540007C
+:103D0000AF4501380A000312000000000E0008DA77
+:103D1000000000008F8A00443C054000AF45013898
+:103D20000A00031200000000939900423338000695
+:103D3000001851000E00020D0152D8210A00036E36
+:103D40008F8A00443C1B0800277B3BF00E00020DCD
+:103D5000000000000A00036E8F8A00443C1B08002C
+:103D6000277B3C100E00020D000000000A00036ECD
+:103D70008F8A004490AA00018FAB00108CAC001019
+:103D80003C0300FF8D680004AD6C00208CAD001476
+:103D900000E060213462FFFFAD6D00248CA70018A5
+:103DA0003C09FF000109C024AD6700288CAE001C4F
+:103DB0000182C82403197825AD6F0004AD6E002C74
+:103DC0008CAD0008314A00FFAD6D001C94A90002C3
+:103DD0003128FFFFAD68001090A70000A560000229
+:103DE000A1600004A167000090A30002306200FF00
+:103DF0000002198210600005240500011065000E04
+:103E00000000000003E00008A16A00018CD800282F
+:103E1000354A0080AD7800188CCF0014AD6F0014C7
+:103E20008CCE0030AD6E00088CC4002CA16A00015D
+:103E300003E00008AD64000C8CCD001CAD6D0018D3
+:103E40008CC90014AD6900148CC80024AD6800084A
+:103E50008CC70020AD67000C8CC200148C830064FA
+:103E60000043C82B13200007000000008CC2001480
+:103E7000144CFFE400000000354A008003E0000815
+:103E8000A16A00018C8200640A0003C400000000E3
+:103E900090AA000027BDFFF88FA9001CA3AA00006C
+:103EA0008FAE00003C0FFF808FA8001835E2FFFFA7
+:103EB0008CCD002C01C26024AFAC0000A120000416
+:103EC00000E06021A7A000028FB800008D27000449
+:103ED0000188182100A0582100C05021006D28261B
+:103EE0003C06FF7F3C0F00FF2CAD000135EEFFFFCD
+:103EF00034D9FFFF3C02FF0003193024000D1DC020
+:103F0000010EC82400E2C02400C3702503197825DF
+:103F1000AD2E0000AD2F00048D450024AFAE000093
+:103F2000AD2500088D4D00202405FFFFAD2D000CB0
+:103F3000956800023107FFFFAD2700109166001859
+:103F400030C200FF000219C2506000018D450034EC
+:103F5000AD2500148D67000827BD0008AD27001CA3
+:103F60008C8B00CCAD2C0028AD20002CAD2B002478
+:103F7000AD20001803E00008AD20002027BDFFE0C1
+:103F8000AFB20018AFB10014AFB00010AFBF001C4B
+:103F90009098000000C088213C0D00FF330F007F87
+:103FA000A0CF0000908E000135ACFFFF3C0AFF005F
+:103FB000A0CE000194A6001EA22000048CAB001429
+:103FC0008E29000400A08021016C2824012A4024AD
+:103FD0000080902101052025A6260002AE240004C1
+:103FE00026050020262400080E0000722406000288
+:103FF00092470000260500282624001400071E0012
+:104000000003160324060004044000032403FFFFFA
+:10401000965900023323FFFF0E000072AE230010FA
+:10402000262400248FBF001C8FB200188FB100140B
+:104030008FB0001024050003000030210A00007C2E
+:1040400027BD002027BDFFD8AFB1001CAFB00018BE
+:10405000AFBF002090A80000240200018FB0003CF8
+:104060003103003F00808821106200148FAA0038BD
+:10407000240B0005506B0016AFAA001000A02021F1
+:1040800000C028210E00040702003021922400BC49
+:10409000308300021060000326060030ACC0000030
+:1040A00024C600048FBF00208FB1001C8FB0001801
+:1040B00000C0102103E0000827BD0028014038217E
+:1040C0000E000385AFB000100A00044B0000000092
+:1040D0000E0003CCAFB000140A00044B0000000037
+:1040E0003C02000A034218213C04080024843B08D7
+:1040F0002405001A000030210A00007CAF83002C48
+:104100003C038000346200708C48000000A05821FD
+:1041100000C04821308A00FFAF8800308F4401780A
+:104120000480FFFE3C0C8000358600708CC50000CA
+:104130003C0308008C6300743C1808008F18007062
+:1041400000A82023006468210000C82101A4782B66
+:104150000319702101CF60213C010800AC2D0074CF
+:104160003C010800AC2C00708F480E14AF4801448D
+:10417000AF47014CA34A0152A74B0158934601088F
+:1041800030C5000854A0000135291000934B0900E8
+:1041900024070050316A00FF1147000700000000AB
+:1041A0008F450E1CAF450148AF4901543C09100032
+:1041B00003E00008AF490178934D010831A80008D9
+:1041C0001100001000000000934F010831EE0010B4
+:1041D00051C00001352900083C04080090843B6C64
+:1041E000A34401508F4309A4AF4301488F4209A063
+:1041F000AF420144AF4901543C09100003E00008FC
+:10420000AF4901783C1908008F393B283338000842
+:104210005700FFF1352900080A00049E0000000045
+:1042200024070040AF470814AF4008108F420944EC
+:104230008F4309508F4409548F45095C8F46094CC0
+:10424000AF820064AF830054AF840050AF85005C40
+:1042500003E00008AF8600609346010930C5007F87
+:10426000000518C0000521400083102103E000086C
+:10427000244200883C0A0800914A3B2D3C09080072
+:1042800095293B263C051100000A3C002528000228
+:1042900000E8302500C5182524820008AC83000002
+:1042A00003E00008AC8000048F4A002C974D0908F9
+:1042B0003C0E000E034E382131ACFFFF000C41C014
+:1042C000AF48002C9743090894EB001A0080402166
+:1042D000240200013169FFFFAC8900008CE6001C5C
+:1042E00000A05821AC8600048CE40020AD04000836
+:1042F00090E30019306300031062003E00000000EC
+:104300002865000214A00071240F0002106F004CF9
+:104310000000000024180003107800550000000081
+:104320003C09080095293B1C93430934934F09210C
+:104330003C05080094A53B22306400FF94EE002A5F
+:104340000004688231EC00FF978F0058000DC60012
+:10435000000CCC003127FFFF0319102500A73021E6
+:104360000046202501CF68213C03400000836025E2
+:10437000000D4C00AD090004AD0C0000935909205C
+:104380003C1800062509001400193E0000F82825F5
+:10439000AD0500088F4E092C25E6000130C27FFFD5
+:1043A000AD0E000C8F440930A7820058250200286A
+:1043B000AD0400108F4D0938AD0D0014AD2B000475
+:1043C0008F4C0940AD2C0008934309373C19080075
+:1043D00093393B2CAD200010000347000019C400A6
+:1043E000011858253567FFFFAD27000C03E00008D2
+:1043F000AF4A002C3C09080095293B1C3C0D0800E5
+:1044000095AD3B263C0C0800958C3B1894E40024A9
+:10441000312EFFFF01AE302100CC18230004CC0068
+:104420002462FFF20322C025240F0800AD18000CFF
+:10443000AD0F0014AD0000100A0004F225080018AA
+:1044400094E5002494EE00283C09080095293B1CC3
+:1044500000056C00000E640035A68100358408005C
+:10446000AD06000CAD0400100A0004F2250800148B
+:104470003C09080095293B1C3C0F080095EF3B26A2
+:104480003C06080094C63B1894E400243125FFFF45
+:1044900094ED002801E5702101C660230004CC00E2
+:1044A000000D1C002582FFEE006278253738810060
+:1044B00024050800AD18000CAD0F0010AD05001864
+:1044C000AD0000140A0004F22508001C1460FF94DB
+:1044D0000000000094E300243C09080095293B1CDF
+:1044E0000003140034590800AD19000C0A0004F24E
+:1044F0002508001003E00008240201F427BDFFE8AE
+:10450000AFB00010AFBF00140E00005C008080212F
+:1045100024050040AF4508148F8300548F84005059
+:104520008F85005C0070182100641023184000047F
+:10453000AF830054AF6300548F660054AF860050C1
+:104540001200000C000000008F440074936800818A
+:104550003409FA002D07000710E00005008910213A
+:10456000936C0081240B01F4018B50040144102151
+:10457000AF62000C8F4E095C01C5682319A00004CE
+:104580008FBF00148F4F095CAF8F005C8FBF00148A
+:104590008FB000100A00005E27BD00188F840064F1
+:1045A0008F8300548F820050AF640044AF6300508B
+:1045B00003E00008AF6200543C03800034620070E6
+:1045C0008C43000027BDFFF8308700FF30A900FFB3
+:1045D00030C800FFAF8300308F4401780480FFFEB5
+:1045E0003C028000345900708F380000A3A70003FC
+:1045F0003C0708008CE700748FAC00003C06080004
+:104600008CC60070030378233C0E7FFF00EFC821A7
+:1046100035CDFFFF00005021018D282400CA18214C
+:10462000000847C0032F202B00A810250064C021DC
+:10463000AFA200003C010800AC3900743C01080046
+:10464000AC380070934F010AA3A000023C0E80FF1B
+:10465000A3AF00018FAC0000312B007F35CDFFFFF1
+:10466000018D4824000B5600012A40252407300004
+:104670002406FF803C05100027BD0008AF48014C10
+:10468000AF470154A7400158A346015203E0000878
+:10469000AF45017827BDFFE8AFBF0014AFB00010F1
+:1046A0008F6500743C068000309000FF00A6202536
+:1046B0000E00005CAF640074936300053462000870
+:1046C0000E00005EA3620005020020218FBF0014CF
+:1046D0008FB0001024050005240600010A0005968D
+:1046E00027BD001827BDFFE03C038000AFB00010DD
+:1046F000AFBF0018AFB10014346200708C470000E7
+:10470000309000FF30A800FFAF8700308F44017861
+:104710000480FFFE3C188000371100708E2F0000CF
+:104720003C0D08008DAD00743C0A08008D4A0070F5
+:1047300001E7702301AE28210000582100AE302B84
+:10474000014B4821012638213C010800AC250074AA
+:10475000000088213C010800AC2700701100000F08
+:10476000000000008F6200742619FFFF3208007FEE
+:104770000002FE0233E5007F15000006332200FF31
+:104780002407FF800207202624A3FFFF0083802543
+:10479000320200FF00408021241110080E00005C4E
+:1047A000000000008F4908183125000414A0FFFD07
+:1047B0003218007F001878C00018714001CF6821BE
+:1047C00025AC0088AF4C0818274A09808D4B002083
+:1047D000AF4B01448D460024AF460148A350015021
+:1047E0000E00005EA7400158022010218FBF001864
+:1047F0008FB100148FB0001003E0000827BD002027
+:1048000027BDFFE8308400FFAFBF00100E0005E1B8
+:1048100030A500FF8F8300548FBF00103445004047
+:104820002404FF903C02100027BD0018AF43014C48
+:10483000A3440152AF45015403E00008AF420178A0
+:1048400027BDFFE8AFBF0014AFB000109345093F8C
+:10485000240200063C08080095083B2230A300FF14
+:104860002487FFD8240500041062003624060002C5
+:10487000974E093C3C0D02040006340031CCFFFF8A
+:10488000018D5825AC8B0000934A093E3149002028
+:104890001120000800000000935F09363C19010355
+:1048A0003738030033F000FF02187825240500088C
+:1048B000AC8F000493580934934D09213C104000FB
+:1048C000330E00FF000E608231AB00FF000C56007B
+:1048D000000B1400014218250068F82503F0C825D4
+:1048E000AC99FFD8935809378F4C09488F4D094030
+:1048F00000057882330E00FF01CF5821018D282357
+:10490000000B57000146102530A3FFFF0043402550
+:10491000000F488001273021ACE800200E00005C29
+:1049200024D00028240400040E00005EA364003F8D
+:10493000020010218FBF00148FB0001003E00008A8
+:1049400027BD00180A0006442406001227BDFFD028
+:1049500024090010AFB50024AFB40020AFB3001C91
+:10496000AFB10014AFB000103C010800A0293B2CEF
+:10497000AFBF0028AFB2001897480908309400FF75
+:104980003C02000E3107FFFF000731C0AF46002C8C
+:10499000974409089344010B30B300FF0342802180
+:1049A000308300300000A821106000E4000088215E
+:1049B000240C00043C010800A02C3B2C934B093E26
+:1049C000000B5600000A2E0304A001310000000075
+:1049D000AF4000489352010B324F002011E0000617
+:1049E00000000000935F093E001FCE000019C603BF
+:1049F00007000148000000009346010B30C2004050
+:104A0000104000038F9200548F87005424F2FFFF60
+:104A1000960A002C9345093493490937A78A005810
+:104A200030A600FF312700FF00071080004620213C
+:104A30000091F8213C010800A43F3B22920300189A
+:104A40003C010800A4203B1C3C010800A4203B18AA
+:104A5000307000FF03F04021250B000A3170FFFF8A
+:104A60003C010800A4283B243C010800A4283B2664
+:104A70000E00009A020020210E0004C500402021F3
+:104A80008F4B002C975809083C19000E0359402100
+:104A9000330FFFFF000F71C0AF4E002C9743090882
+:104AA000950D001A241100010040382131ACFFFFA0
+:104AB000AC4C00008D0A001CAC4A00048D0500209F
+:104AC000AC4500089109001931230003107100BDA5
+:104AD0002871000216200103240C0002106C00F55E
+:104AE000240D0003106D00CA000000003C090800FE
+:104AF00095293B1C93430934935809213C0A08002B
+:104B0000954A3B22306400FF950C002A0004F8828D
+:104B1000331900FF97980058001F760000197C0099
+:104B20003126FFFF01CF402501466821010D882570
+:104B3000019828213C0840000228102500054C005F
+:104B4000ACE90004ACE20000934309203C040006F9
+:104B500024E900140003FE0003E4C825ACF90008B2
+:104B60008F4E092C270F000131E67FFFACEE000CC1
+:104B70008F4D0930A786005824E60028ACED0010C0
+:104B80008F4C0938ACEC0014AD3200048F51094051
+:104B9000AD310008934209373C05080090A53B2C35
+:104BA000AD2000100002270000051C000083F8253E
+:104BB00037F2FFFFAD32000CAF4B002C12A00033D8
+:104BC000000000009352093F24150006240500044C
+:104BD000324B00FF117500CD24090002974F093CAC
+:104BE0003C0E020431EDFFFF01AE6025ACEC002865
+:104BF0009351093E322200201040000800000000BE
+:104C0000934409363C180103371F0300309900FF15
+:104C1000033F2825ACC5000424050008935209343D
+:104C20009343092100056082324B00FF000BA882EC
+:104C3000306400FF0015FE000004C40003F8C8251E
+:104C4000032A782501E87025ACCEFFD8934D0937AB
+:104C50008F5209488F42094031B100FF022C582180
+:104C600002423023000B1F000009AC0000754825EC
+:104C700030C8FFFF012850250E00005CACEA002080
+:104C8000240700040E00005EA367003F0E0000C36F
+:104C9000020020213C05080090A53B2C30B0000309
+:104CA0001200000F028020218F8800542509000186
+:104CB000AF890054AF6900508F6A0054014938230E
+:104CC00018E00002012020218F640054AF640054DA
+:104CD0008F420074244601F4AF66000C028020214C
+:104CE00002602821A76000680E0005E13C13100057
+:104CF0008F8E005434540006AF4E014C8F8D004C03
+:104D00008FBF00288FB5002431B100FF25AC000112
+:104D1000AF8C004C8FB20018A35101528FB000101D
+:104D2000AF5401548FB10014AF5301788FB40020F9
+:104D30008FB3001C03E0000827BD00309359093EE3
+:104D40000019C6000018960306420048241100020C
+:104D500093420923304400021080FF1E8F870060B9
+:104D60008F86005414E6FF1B000000000E00005C5C
+:104D7000000000009365003F2408001630A900FFE2
+:104D80001128000C240A00083C0D080091AD3B2CB2
+:104D900035AC00013C010800A02C3B2C936B003F7C
+:104DA000316300FF106A0065240E000A106E005E79
+:104DB0002402000C0E00005E000000000A00069DA8
+:104DC000000000003C09080095293B1C3C19080024
+:104DD00097393B263C18080097183B18950E00247D
+:104DE000313FFFFF033F782101F86823000E8C005C
+:104DF00025ACFFF2022C502524050800244700189A
+:104E0000AC4A000CAC4500140A0006E5AC400010AA
+:104E10003C09080095293B1C3C18080097183B26C4
+:104E20003C0F080095EF3B18950D00243139FFFF2A
+:104E3000950C00280319702101CF8823000D2C0048
+:104E4000000C54002622FFEE0142302534A48100DC
+:104E500024030800ACE4000CACE60010ACE300183E
+:104E6000ACE000140A0006E524E7001C3C01080041
+:104E7000A0313B2C9343093E24150001307F0020D4
+:104E800017E0FED4241100080A00069D2411000436
+:104E90008F6E00848F4D094011A0FECDAF8E00545F
+:104EA000240F00143C010800A02F3B2C0A00069C94
+:104EB0000000000095020024950600283C09080027
+:104EC00095293B1C0002240000061C00349F810031
+:104ED00034790800ACFF000CACF900100A0006E5BC
+:104EE00024E700141460FF0100000000951800245E
+:104EF0003C09080095293B1C2447001000187C0041
+:104F000035EE08000A0006E5AC4E000C0A00071F4B
+:104F1000240900128F64004CAF6400548F63005466
+:104F20000A0006A6AF630050A362003F0E00005EB9
+:104F3000000000000A00069D00000000240200148A
+:104F40000A0007F3A362003F27BDFFE8308400FF9B
+:104F5000AFBF00100E0005E130A500FF9378007E82
+:104F60009379007F936E00809368007A332F00FF5F
+:104F700000186600000F6C0031CB00FF018D482542
+:104F8000000B52008FBF0010012A3825310600FFA8
+:104F90003444700000E628252402FF813C03100001
+:104FA00027BD0018AF45014CAF440154A342015244
+:104FB00003E00008AF43017827BDFFD8AFB2001867
+:104FC000AFB10014AFB00010AFBF0020AFB3001CF2
+:104FD00093420109308600FF30B000FF000618C27E
+:104FE000320400023071000114800005305200FFCD
+:104FF0009367000530E5000810A0000D30C80010D0
+:10500000024020210E0005CD0220282124040001A9
+:105010008FBF00208FB3001C8FB200188FB1001417
+:105020008FB000100080102103E0000827BD002889
+:105030001500003200000000934301090000282100
+:105040003062007F000220C00002F94003E4982192
+:1050500026790088033B98218E7800248E6F000803
+:10506000130F0046000000008F6400842418000223
+:105070000004FD8233F900031338007C00000000B7
+:1050800093660083934A0109514600043205007C6F
+:1050900010A00060000000003205007C14A0005346
+:1050A0000240202116200006320400018E7F0024D9
+:1050B0008F59010417F9FFD60000202132040001A6
+:1050C0001080000A024020218F4209408F93006423
+:1050D00010530006000000000E00067B022028216D
+:1050E0008F430940AF630044024020210E00062890
+:1050F000022028210A00082C240400013C09080091
+:105100008D290064252600013C010800AC260064BE
+:1051100016000012000000008F6D00843C0E00C0DD
+:1051200001AE602415800005024020210E0007FA20
+:10513000022028210A00082C240400012405000470
+:105140000E00059624060001024020210E0007FAF9
+:10515000022028210A00082C240400010E00003D32
+:1051600024040001936B007D020B50250E00005EAD
+:10517000A36A007D0A00086F8F6D00848F6600743B
+:105180008F4801048E67002400064E021507FFB603
+:105190003126007F936B008326440001308A007F14
+:1051A00011460043316300FF5464FFB08F640084F4
+:1051B0002645000130B1007F30A200FF1226000416
+:1051C00024050001004090210A000842241100013A
+:1051D000240FFF80024F702401CF9026324200FF3F
+:1051E000004090210A000842241100010E00067BB5
+:1051F00002202821321800301300FFAA321000824A
+:10520000024020210E0005CD022028210A00082C92
+:10521000240400018F6E00743C0F800024050003FD
+:1052200001CF9025AF7200749371008324060001B2
+:105230000E000596322400FF0E00003D24040001FC
+:10524000936D007D020D60250E00005EA36C007D55
+:105250003C0B08008D6B0054257000013C010800D8
+:10526000AC3000540A00082C240400018F6800743C
+:105270003C0980002405000401093825AF6700744B
+:1052800093630083240600010E000596306400FF3E
+:105290000E00003D240400019362007D0202982567
+:1052A0000E00005EA373007D0A00082C2404000198
+:1052B000324D008039AC0080546CFF6C8F640084E8
+:1052C0000A0008952645000127BDFFD03C0A0008CA
+:1052D000AFBF002CAFB40028AFB30024AFB20020A2
+:1052E000AFB1001CAFB00018034AD8212409004018
+:1052F000AF490814AF4008108F4209448F4309504A
+:105300008F4609548F47095C8F48094C9344010824
+:105310009345010BAF820064308400FF30A500FF8D
+:10532000AF830054AF860050AF87005C0E000816B4
+:10533000AF8800601440015A8FBF002CA76000683E
+:10534000934D0900240B00503C14080026943C3077
+:1053500031AC00FF3C12080026523C40118B000388
+:10536000000000000000A02100009021934F0109DF
+:105370008F8800542402001031F1007F001170C0AA
+:105380000011694001AE282124B80088AF580818E0
+:105390008F4A01048F4B09A43C0C000E034CC8211A
+:1053A000014B48233C010800AC293B088F440958B5
+:1053B0003C010800A0223B2C9746090800881823CE
+:1053C0003C010800AC233B0C30C7FFFF0007F9C0CD
+:1053D0003C010800AC283B30AF5F002C9742090825
+:1053E0009730002C8E910000932F0018037898219D
+:1053F000A7900058AF9300480220F80931F000FF51
+:10540000304E000215C0018E30530001126001427F
+:10541000000000008F4F09A4241300013C01080084
+:10542000AC2F3B3493510934934E0937322500FF9A
+:1054300031CD00FF000D60800185502101505821C1
+:105440003C010800A42B3B243C010800A42A3B2279
+:1054500093490934312200FF0202202124900010D8
+:105460003C010800A4303B20240800068F9900541A
+:105470003C010800AC283B288F9F005C8F580958DE
+:105480000000802103F9282304A0013C03192023F4
+:105490000480013A00A4382B10E0013C0000000019
+:1054A0003C010800AC253B0C8E4200000040F8098E
+:1054B000000000003046000214C000DD00408821DA
+:1054C00030430001546000108E4200043C04080088
+:1054D0008C843B103C09C00000898025AF500E0031
+:1054E0008F4B0000316A00081140FFFD00000000F2
+:1054F00097450E0824100001A78500408F4C0E042C
+:10550000AF8C00348E4200040040F8090000000017
+:1055100002228825322D000215A00159000000004A
+:105520003C09080095293B183C06080094C63B241A
+:105530003C04080094843B1A3C1908008F393B1046
+:10554000012658213C1808008F183B343C1F0800E6
+:1055500097FF3B2E016418218F4E09400329282113
+:10556000246F00020319682100BF60213C0108007C
+:10557000A42B3B26AF8E00643C010800AC2D3B34CD
+:105580003C010800A42C3B1C0E00009A31E4FFFFF4
+:105590008F87004C004020213C010800A0273B2DB4
+:1055A0008E42000824E80001AF88004C0040F80952
+:1055B000000000008F4B002C974909083C0A000EA0
+:1055C000034A38213124FFFF000419C08F8A005498
+:1055D000AF43002C9743090894E6001A004040218D
+:1055E00030DFFFFFAC5F00008CF9001CAC590004F9
+:1055F0008CF80020AC58000890EF001931E300034C
+:10560000107300E60000000028620002144001024E
+:10561000240C0002106C00F4240D0003106D00A790
+:10562000000000003C09080095293B1C9345093403
+:10563000934C09213C0F080095EF3B2230BF00FF3F
+:1056400094EE002A001F6882319900FF978C005861
+:10565000000D16000019C4003124FFFF01E43021C1
+:10566000005848250126382501CC28213C0340005C
+:1056700000E3F82500056C00AD0D0004AD1F00002F
+:10568000935909203C180006250D001400197E00CE
+:1056900001F87025AD0E00088F42092C2586000107
+:1056A0008E4C000CAD02000C8F44093030C97FFFD6
+:1056B000A7890058AD0400108F4709382504002839
+:1056C000AD070014ADAA00048F450940ADA5000840
+:1056D000934309373C1F080093FF3B2CADA00010FB
+:1056E0000003C700001FCC000319782535EEFFFF2B
+:1056F000ADAE000CAF4B002C0180F809000000009B
+:105700003C06080094C63B263C02080094423B1A23
+:1057100000C24821252B00020E0000C33164FFFFA8
+:105720003C0808008D083B083C0708008CE73B104C
+:10573000010750233C010800AC2A3B081540000635
+:10574000000000003C0808008D083B28350A004096
+:105750003C010800AC2A3B28120000848F830048DB
+:105760008F470E108F900048AE0700208F4B0E1809
+:10577000AE0B00243C10080096103B1C0E00005C91
+:1057800000000000240F0040AF4F08148F86005423
+:105790008F89005000D018210069702319C00004BF
+:1057A000AF830054AF6300548F640054AF84005043
+:1057B0001200000C000000008F44007493780081F8
+:1057C0003419FA002F020007104000050099182133
+:1057D000937F0081240C01F403EC680401A41821D8
+:1057E000AF63000C8F4A095C8F88005C0148282356
+:1057F00018A00003000000008F50095CAF90005C0F
+:105800000E00005E000000008F8300548E470010E1
+:105810003C010800AC233B3000E0F8090000000028
+:105820003C0B08008D6B3B081560FF102408000638
+:105830008F590024975F09088F8900648F8E005468
+:105840003C0C001F978400588F8F002C8F930050C2
+:1058500033F8FFFF358DFF80032D3024001811C071
+:1058600032320010AF420024A5E4002CAF460024E1
+:10587000AF690044AF6E0050AF7300545640007CD7
+:105880008E850004322A0040554000318E91000878
+:105890008E88000C0100F809000000008FBF002C6A
+:1058A0008FB400288FB300248FB200208FB1001C6A
+:1058B0008FB0001803E0000827BD00303C09080045
+:1058C00095293B1C3C03080094633B263C040800DC
+:1058D00094843B1894F900243125FFFF94F80028A4
+:1058E0000065F82103E478230019640000186C00B7
+:1058F00025EEFFEE01AE302535828100240308003D
+:10590000AD02000CAD060010AD030018AD00001490
+:105910000A0009B32508001C934301098F8600384B
+:1059200000033E0000E64025AF4800808F5F09A0DD
+:105930008F5809A4AFBF0010AF5F0E148FB90010CD
+:10594000AF590E10AF580E1C0A00092DAF580E1893
+:105950000220F809000000008E88000C0100F80900
+:10596000000000000A000A508FBF002CA460002035
+:10597000A47300220A000A05AC7300243C0108004D
+:10598000AC203B0C0A0009538E4200003C01080089
+:10599000AC243B0C0A0009538E4200003C0908006D
+:1059A00095293B1C3C1F080097FF3B263C0508003F
+:1059B00094A53B1894F800243124FFFF03E4C82188
+:1059C0000325782300186C0025EEFFF201AE602558
+:1059D000AC4C000C24020800AD020014AD00001015
+:1059E0000A0009B32508001894E6002494E300286F
+:1059F0003C09080095293B1C000624000003FC001C
+:105A00003485810037F90800AD05000CAD19001090
+:105A10000A0009B3250800141460FF02000000000A
+:105A200094F800243C09080095293B1C00187C00D0
+:105A300035EE0800AD0E000C0A0009B32508001071
+:105A400093520109000028210E000628324400FF6D
+:105A50008FBF002C8FB400288FB300248FB200209A
+:105A60008FB1001C8FB0001803E0000827BD003084
+:105A700000A0F809000000000A000A4A322A00408B
+:105A80001200FF6B000000008F4E0E148F92004832
+:105A9000AE4E00208F530E1C0A000A34AE53002471
+:105AA0008F820018008040213C040100904700854F
+:105AB00030E3002010600009000000003C070800EF
+:105AC0008CE73B308F83001400E320230480000820
+:105AD0009389000014E300030100202103E0000883
+:105AE000008010213C04010003E000080080102128
+:105AF0001120000B006738238F8C001C2409003410
+:105B0000918B00BC316A0002514000012409003031
+:105B100000E9682B15A0FFF10100202100E93823DE
+:105B20002419FFFC00B9C02400F9782400F8702B78
+:105B300015C0FFEA01E8202130C20003000218234B
+:105B400014C00012306900030000302100A9702148
+:105B500001C6682100ED602B1180FFE03C040100CC
+:105B60002D2F00010006482B0105382101E93024C2
+:105B700014C0FFDA24E4FFFC2419FFFC00B9C024A0
+:105B80000308202103E00008008010218F8B001CF7
+:105B900024060004916A00BC314400041480FFEC28
+:105BA00000A970210A000AFC0000302127BDFFE88F
+:105BB000AFBF00108F460100934A01093C1F080047
+:105BC0008FFF00902407FF80314F00FF31E8007FF6
+:105BD0000008614003E6C821032CC02127090120E9
+:105BE000012770243C010800A02F3B6CAF4E080C2D
+:105BF0003C0D08008DAD00903C0400803482000311
+:105C000001A65821016C18212465012030AA0078D2
+:105C100001424025AF48081C3C1F08008FFF009040
+:105C20008F88004403E6C021331900070307482486
+:105C3000033A7821AF49002825E909C0952E0002D2
+:105C40003C0D08008DAD008C3C0A08008D4A009088
+:105C500031CC3FFF01A61821000C5980006B282190
+:105C600000A72024AF44002C952200023C1F08000E
+:105C70008FFF008C9107008530593FFF03E67821A4
+:105C80000019C1800146702101F8682131CC007FE4
+:105C900031AB007F019A2821017A50213C03000C8E
+:105CA0003C04000E00A328210144102130E600200E
+:105CB00027470980AF820028AF880018AF890020ED
+:105CC000AF85001C10C00006AF8700248D02005075
+:105CD0008CA4010C0044302318C0007700000000A1
+:105CE000910C0085240DFFDF018D3824A10700856C
+:105CF0008F8B00188F8900208F8700248D65004CC2
+:105D0000AF850014912F000D31EE002011C0001757
+:105D10000000000024090001A3890000AF800008F2
+:105D20008CE400248F850008240A0008AF8000045A
+:105D3000AF80000C3C010800A42A3B1A3C0108007B
+:105D4000A4203B2E0E000AD0000030218F850020B9
+:105D50008FBF0010AF82001090A8000D27BD001863
+:105D60000008394203E0000830E20001913F0002E0
+:105D70002418000133F900FF00192182109800391E
+:105D8000240800021088005B8F8600288CE5002420
+:105D900014A0001B8F9F001C91220000240A000504
+:105DA0003046003F10CA0047240400018F860004DB
+:105DB000A3840000AF86000CAF8600088CE40024AA
+:105DC0008F850008240A00083C010800A42A3B1A19
+:105DD0003C010800A4203B2E0E000AD00000000069
+:105DE0008F8500208FBF0010AF82001090A8000D9B
+:105DF00027BD00180008394203E0000830E2000126
+:105E00008CF800088CF900248FEE00C4A3800000F9
+:105E10008CE40024AF8E00088F8500088F86000474
+:105E200003197823240A0008AF8F000C3C010800F6
+:105E3000A42A3B1A3C010800A4203B2E0E000AD0E5
+:105E4000000000008F8500208FBF0010AF8200107F
+:105E500090A8000D27BD00180008394203E0000893
+:105E600030E20001912300003062003F104400271F
+:105E70008F85001C8CE400241480002100000000A9
+:105E80008D2E00183C187FFF8F85001C370FFFFFF9
+:105E900001CF1824AF8300048F9F00048CA80084D6
+:105EA00003E8C82B1720000203E020218CA4008403
+:105EB0000A000B8BAF8400048CA3010C0A000B6951
+:105EC000AF8300148D2C00188F8600043C0D7FFFDB
+:105ED0008F89001C35A3FFFF01835824240400018F
+:105EE000AF8B000CAD2000CCA38400000A000B9700
+:105EF000AF8600088CCA00140A000B8BAF8A00041E
+:105F00008CA300C80A000BCEAF8300048F84002846
+:105F10008CAC00648C8D0014018D582B1160000432
+:105F2000000000008CA200640A000BCEAF820004C7
+:105F30008C8200140A000BCEAF8200048F8500080B
+:105F400027BDFFE0AFBF0018AFB1001414A00007D9
+:105F5000AFB000108F8600202402000590C400001E
+:105F60003083003F106200B68F84001C8F910004C4
+:105F700000A080218F8C00243C0508008CA53B0CE0
+:105F80008D8B000431663FFF00C5502B554000014A
+:105F900000C02821938D000011A0007300B0F82BE1
+:105FA0008F98001C24040034930F00BC31EE0002D3
+:105FB00051C000012404003000A4C82B172000D1D8
+:105FC0000000000000A4282300B0F82B3C010800CA
+:105FD000A4243B1817E00068020020213C030800BD
+:105FE0008C633B080083102B544000010080182173
+:105FF0008F8800203C010800AC233B1000004821A2
+:106000009104000D30830020506000018F490E186C
+:106010008F8300100123382B10E00059000000008E
+:106020003C0408008C843B1000895821006B502BE5
+:10603000114000560090602B0069302300C02021E1
+:106040003C010800AC263B1012000003241FFFFC9B
+:106050001090008A32270003009FC8243C010800EA
+:10606000AC393B103C010800A4203B2E8F84000873
+:10607000120400078F83001CAF910004020020214E
+:106080008C7100CCAF90000826300001AC7000CCC1
+:106090003C0208008C423B108F8A000C2407001839
+:1060A0000082202301422823AF84000810800002D0
+:1060B000AF85000C240700108F8600183C010800F3
+:1060C000A0273B2C2407004090CC0085318B00C0DA
+:1060D000116700408F8D001014A0001500002021D2
+:1060E000934A01098F420974314500FF00022602DC
+:1060F00024A300013090007F3071007F1230007ABD
+:106100002407FF80A0C300833C0908008D293B2899
+:106110008F880020240D0002352C00083C01080067
+:10612000A02D3B6D3C010800AC2C3B282404001042
+:10613000910E000D31C6002010C00005008018210E
+:10614000240800013C010800AC283B103483000106
+:106150008FBF00188FB100148FB0001000601021A5
+:1061600003E0000827BD00203C010800A4203B18E4
+:1061700013E0FF9A020020210A000C1F00A020213A
+:106180003C0408008C843B100090602B1180FFAE13
+:10619000000000003C0F080095EF3B1801E470215F
+:1061A00001C6682B11A000072C8200043C1F600070
+:1061B0008FF954043338003F1700FFE524030042F1
+:1061C0002C8200041040FFA0240300420A000C7D32
+:1061D0008FBF0018152DFFC0000000008CDF007479
+:1061E0003C0380002405FF8003E3C825ACD900747C
+:1061F00090D80085240E000424040010330F003FC3
+:1062000001E54025A0C800858F8800203C010800DA
+:10621000A02E3B6D240300019106000D30C9002023
+:1062200015200003000000003C0308008C633B10B5
+:106230003C010800AC233B080A000C74000000007D
+:106240008F87000C8C88008400E8282B14A00002A3
+:1062500000E088218C91008424090001A3890000BA
+:106260008F440E18022028210E000AD0022030216F
+:10627000022080210A000C05AF82001000071823BD
+:10628000306600033C010800A4263B2E12200005C6
+:106290008F8C001C918B00BC316A000415400015E6
+:1062A00024CD00043C0F080095EF3B2E01E4702143
+:1062B00000AE302B50C0FF6E8F8400082C85000587
+:1062C00014A0FFA32403004230980003170000022B
+:1062D000009818232483FFFC3C010800AC233B10EA
+:1062E0000A000C410000000000A758240A000C69B5
+:1062F000016718263C010800A42D3B2E0A000CD192
+:10630000000000003C010800AC203B100A000C7C9F
+:10631000240300428F83000C14600007000010214A
+:106320008F880020240500059106000030C400FF7E
+:10633000108500030000000003E0000800000000DA
+:10634000910A0018314900FF000939C214E0FFFA30
+:106350008F8500183C04080094843B183C03080017
+:106360008C633B303C1908008F393B103C0F080010
+:1063700095EF3B2E0064C0218CAD005403197021B1
+:1063800001CF6021018D58231960001D000000001D
+:10639000910E001C8F8C0028974B0E1031CD00FF02
+:1063A0008D850004016D30238D88000030CEFFFF05
+:1063B000000E510000AAC821000038210107202149
+:1063C000032A182B0083C021AD990004AD9800006A
+:1063D000918F000A01CF6821A18D000A8F880028C3
+:1063E000974B0E12A50B0008950A003825490001AD
+:1063F000A50900389107000D34E60008A106000D3C
+:1064000003E000080000000027BDFFE093870000C4
+:106410008F8F00208FAD00143C0E7FFF8F89000806
+:1064200035C8FFFFAFBF001CAFB0001801A818248B
+:1064300091EA000D000717C03C1FBFFF00625825FE
+:106440002D2E00018F90001437F9FFFF3C18080033
+:106450008F183B303C0F080095EF3B2601796824EC
+:10646000000E47803C07EFFF3C05F0FF01A8182510
+:106470003149002034E2FFFF34ACFFFF0310582302
+:1064800027A500102406000225EA00020062182455
+:106490000080802115200002000040218F480E1C42
+:1064A000A7AA0012056000372407000030FF00FF94
+:1064B000001FCF008F8B001800793825AFA700147C
+:1064C000916F00853C08080091083B2D3C18DFFFC8
+:1064D00031EE00C0370AFFFF000E182B3C1F0800EA
+:1064E00097FF3B2000EA6824A3A80011000317408F
+:1064F00001A248258FB90010AFA900143C0A08007A
+:10650000914A3B2FA7BF00168FA80014032CC0246C
+:106510003C0B01003C0F0FFF030B18253147000314
+:1065200035EEFFFF010C682400071600006EF8240A
+:106530003C09700001A2C82503E95825AFB9001431
+:10654000AFAB00100E000072A3A000158F8C0020CE
+:10655000260200089186000D30C40020108000063D
+:106560008FBF001C3C05080094A53B1C24B0FFFF16
+:106570003C010800A4303B1C8FB0001803E0000869
+:1065800027BD00208F9800100118502B5540FFC7E1
+:10659000240700010A000D5430FF00FF9382000021
+:1065A00027BDFFE0AFBF00181040000F0080502152
+:1065B0008F880020240B00058F89000491070000BC
+:1065C0008F84001C0100282130E3003F8F860028C3
+:1065D000106B000800003821AFA900100E0004392C
+:1065E000AFAA0014A38000008FBF001803E00008CA
+:1065F00027BD00208D1900183C0F08008DEF3B10BF
+:106600008F98000C3C027FFF8D080014345FFFFF61
+:10661000033F682401F8702101AE6023018838210E
+:10662000AFA900100E000439AFAA00140A000DA291
+:10663000A38000008F8700203C05080094A53B2E16
+:106640003C0208008C423B2890E6000D0005240027
+:1066500030C300201060002C004440258F850018B6
+:1066600000006021240B000190A300850000482158
+:10667000240A00013C0F800035EE00708DC7000039
+:10668000AF8700308F5801780700FFFE3C03800081
+:10669000347900708F3800003C0508008CA5007428
+:1066A0003C0D08008DAD00700307782300AF382142
+:1066B0000000102100EF302B01A2202100861821BC
+:1066C0003C010800AC2700743C010800AC230070BA
+:1066D000AF4B01483C1908008F393B30A7490144B2
+:1066E000A74A0146AF59014C3C0B0800916B3B2D6A
+:1066F000A34B0152AF4801543C081000A74C01586D
+:1067000003E00008AF4801788F4B0E1C3C0A0800DC
+:106710008D4A3B1097490E16974D0E140145602186
+:10672000312AFFFF0A000DC531A9FFFF8F8300202A
+:106730009064000D3082002010400029000000000D
+:106740000000482100005021000040213C0780004B
+:1067500034EB00708D670000AF8700308F4C0178FC
+:106760000580FFFE3C0D800035AC00708D8B000075
+:106770003C0508008CA500743C0408008C84007063
+:106780000167302300A678210000102101E6C82B04
+:106790000082C021031970213C010800AC2F007455
+:1067A0003C010800AC2E0070AF4901483C0D0800C8
+:1067B0008DAD3B30A748014424090040A74A01465B
+:1067C0003C081000240AFF91AF4D014CA34A01522E
+:1067D000AF490154A740015803E00008AF480178D1
+:1067E0008F490E1897460E1297450E1030CAFFFFBC
+:1067F0000A000DFB30A8FFFF8F83002027BDFFF8A4
+:106800009064000D308200201040003A000000002B
+:10681000240B000100004821240A00013C088000EC
+:10682000350700708CE30000AF8300308F4C017897
+:106830000580FFFE3C0E80003C04080090843B6C09
+:1068400035C700708CEC00003C0508008CA5007476
+:10685000A3A400033C1908008F3900708FAD00001D
+:106860000183302300A63821000010210322782163
+:1068700000E6C02B01F8602101AE4025AFA8000062
+:106880003C010800AC2700743C010800AC2C0070EF
+:106890009346010A3C04080090843B6DA3A00002CB
+:1068A000A3A600018FA300003C0580FF3099007F64
+:1068B00034A2FFFF006278240019C60001F8702599
+:1068C000240D3000AF4E014C27BD0008AF4D0154E0
+:1068D000A7400158AF4B0148A7490144A74A0146C8
+:1068E0003C091000240AFF80A34A015203E000087B
+:1068F000AF4901788F4B0E1897460E1297450E1030
+:1069000030CAFFFF0A000E2F30A9FFFF8F85001845
+:106910002402008090A40085308300C0106200052E
+:106920008F86001C8F8800048F870008ACC800C8C1
+:10693000ACC700C403E00008000000003C0A0800E7
+:10694000254A37CC3C090800252938983C0808001E
+:1069500025082C4C3C07080024E739AC3C0608000D
+:1069600024C6363C3C05080024A533B43C0408008A
+:1069700024842FDC3C030800246336D43C02080046
+:10698000244234A83C010800AC2A3C383C010800F1
+:10699000AC293C343C010800AC283C303C010800E8
+:1069A000AC273C3C3C010800AC263C4C3C010800B8
+:1069B000AC253C443C010800AC243C403C010800B0
+:1069C000AC233C503C010800AC223C4803E00008EA
+:0469D00000000000C3
+:00000001FF
+/*
+ * This file contains firmware data derived from proprietary unpublished
+ * source code, Copyright (c) 2004 - 2009 Broadcom Corporation.
+ *
+ * Permission is hereby granted for the distribution of this firmware data
+ * in hexadecimal or equivalent format, provided this copyright notice is
+ * accompanying it.
+ */
diff --git a/firmware/bnx2/bnx2-mips-09-4.6.17.fw.ihex b/firmware/bnx2/bnx2-mips-09-4.6.17.fw.ihex
new file mode 100644
index 0000000..7667c66
--- /dev/null
+++ b/firmware/bnx2/bnx2-mips-09-4.6.17.fw.ihex
@@ -0,0 +1,5816 @@
+:10000000080000F80800000000004AC8000000C80E
+:1000100000000000000000000000000008004AC8C6
+:100020000000003000004B90080000800800000035
+:10003000000053A800004BC0080055400000008499
+:1000400000009F68080053A80000016C00009FECAE
+:10005000080031D808000000000079080000A1580D
+:100060000000000000000000000000000800790807
+:100070000000012400011A60080004880800040040
+:10008000000013A400011B84000000000000000019
+:1000900000000000080017A40000000400012F2841
+:1000A000080000980800000000003AFC00012F2C16
+:1000B00000000000000000000000000008003AFC02
+:0800C0000000003000016A2875
+:0800C8000A00003E00000000E8
+:1000D000000000000000000D636F6D342E362E31DD
+:1000E00036000000040610020000000000000003BB
+:1000F00000000014000000320000000300000000B7
+:1001000000000000000000000000000000000000EF
+:1001100000000010000001360000EA60000000014D
+:1001200000000000000000000000000000000008C7
+:1001300000000000000000000000000000000000BF
+:1001400000000000000000000000000000000000AF
+:10015000000000000000000000000000000000009F
+:10016000000000020000000000000000000000008D
+:10017000000000000000000000000000000000007F
+:10018000000000000000000000000010000000005F
+:10019000000000000000000000000000000000005F
+:1001A000000000000000000000000000000000004F
+:1001B000000000000000000000000000000000003F
+:1001C0000000000010000003000000000000000D0F
+:1001D0000000000D3C02080024424B203C030800B4
+:1001E00024634C18AC4000000043202B1480FFFD1A
+:1001F000244200043C1D080037BD9FFC03A0F021F1
+:100200003C100800261000F83C1C0800279C4B20DE
+:100210000E000273000000000000000D27BDFFE883
+:100220003C028000AFB00010AFBF0014345001009A
+:10023000920200091040001A240300013C02080049
+:100240008C42002010400016000018210E000D7195
+:1002500000000000960300083C06080094C64BFE10
+:100260008E0400188F82002C9605000C00031C00E1
+:1002700000661825AC440000AC45000424040001CD
+:10028000AC400008AC40000CAC400010AC40001486
+:10029000AC4000180E000D98AC43001C0000182163
+:1002A0008FBF00148FB000100060102103E0000821
+:1002B00027BD001827BDFFE8AFBF00103C0280003B
+:1002C0009442010830437000240220001062000AAA
+:1002D00028642001548000128FBF001024024000C7
+:1002E00010620008240260001062000A8FBF001034
+:1002F0000A000097000010218FBF00100A0000556F
+:1003000027BD00180E000433000000000A0000960C
+:100310008FBF00100E000C81000000008FBF001086
+:100320000000102103E0000827BD00183C0208006F
+:100330008C42002027BDFFE810400028AFBF00100E
+:100340000E000D71000000003C05800094A2010821
+:1003500094A3010C8F86002C3042003E3063FFFFD7
+:100360000002140000431025ACC200008CA2010062
+:100370003C07080094E74BFE8FBF0010ACC200049E
+:1003800094A3011694A4010E3C02200000031C005B
+:100390003084FFFF00641825ACC3000800E2382554
+:1003A00094A2011094A3011224040001000214007D
+:1003B0003063FFFF00431025ACC2000C94A201146F
+:1003C00027BD00183042FFFFACC20010ACC00014C3
+:1003D000ACC000180A000D98ACC7001C8FBF0010FD
+:1003E00003E0000827BD00183C0680008CC202B85C
+:1003F0002403000104410008008028213C02080079
+:100400008C420060244200013C010800AC220060E4
+:1004100003E00008006010218C8300209482001605
+:10042000ACC302802442FFFCA4C202843C02080048
+:100430008C42005C8C84000494A3000E24420001D2
+:100440003C010800AC22005C3C021000A4C3028600
+:10045000ACC4028800001821ACC202B803E0000856
+:10046000006010213C0208008C42002027BDFFE8FC
+:100470001040002BAFBF00100E000D7100000000F7
+:100480003C05800094A2010894A3010C8F86002CE7
+:100490003042003E3063FFFF00021400004310258D
+:1004A000ACC200008CA201003C07080094E74BFEA0
+:1004B0008FBF0010ACC2000494A3011694A4010ED7
+:1004C0003C02200000031C003084FFFF006418255C
+:1004D000ACC3000800E2382594A2011094A30112D5
+:1004E00024040001000214003063FFFF00431025C4
+:1004F000ACC2000C94A2011427BD00183042FFFFCB
+:10050000ACC200108CA20118ACC2001490A2010B66
+:10051000304200FFACC200180A000D98ACC7001CA6
+:100520008FBF001003E0000827BD001827BDFFE0C3
+:10053000AFB000103C108000AFB20018AFBF001C7D
+:10054000AFB10014361201009243000B2402001ACE
+:10055000965100081462005B00002821322200013D
+:1005600010400018000000008E42000000022340EE
+:100570003C02003F3442FFFF0044102B10400004B7
+:100580003C030040964200140A00013B00832021F6
+:100590008E030100240201005462000696420014FA
+:1005A0003C028008944200043042000F0002250003
+:1005B0009642001400821025AE0200800A00016FEE
+:1005C000000000003C0208008C420020104000287F
+:1005D000000000000E000D710000000096020108EE
+:1005E0009603010C8F85002C3042003E3063FFFFE4
+:1005F0000002140000431025ACA200008E0201008E
+:100600003C06080094C64BFEACA2000496030116FB
+:100610009604010E3C02200000031C003084FFFF02
+:1006200000641825ACA3000800C230259602011012
+:100630009603011224040001000214003063FFFF3E
+:1006400000431025ACA2000C960201143042FFFFBB
+:10065000ACA200108E020118ACA200149202010B91
+:10066000304200FFACA200180E000D98ACA6001C92
+:100670003C0208008C420040244200013C0108007A
+:10068000AC2200403C0308008C630044322200028C
+:1006900032240004246300013C010800AC23004420
+:1006A000108000080002282B024020218FBF001C70
+:1006B0008FB200188FB100148FB000100A0000C86C
+:1006C00027BD00208FBF001C8FB200188FB100140F
+:1006D0008FB0001000A0102103E0000827BD00200B
+:1006E00027BDFFE03C058000AFB10014AFBF00188C
+:1006F000AFB0001034B101009223000B24020003BC
+:1007000014620043963000083202000110400016C7
+:100710003C02003F8E2300003442FFFF00032340D1
+:100720000044102B504000052402010096220014C2
+:100730003C0300400A0001A400832021546200060B
+:10074000962200143C028008944200043042000FBC
+:10075000000225009622001400821025ACA2008021
+:100760000A0001AF000000000E0000990000000028
+:100770003C0208008C420040244200013C01080079
+:10078000AC2200403C0208008C42004432030004CA
+:10079000244200013C010800AC2200441060000724
+:1007A00032020002022020218FBF00188FB10014F6
+:1007B0008FB000100A0000C827BD002010400015AF
+:1007C0008FBF00183C0480008C8301043C02602031
+:1007D000AC4300148C420004240301FE304203FFAA
+:1007E0001443000C8FBF00188C820100000219C254
+:1007F0002462FFFC2C420008104000032404000285
+:100800002462FFFD004420043C026000AC446914F3
+:100810008FBF00188FB100148FB00010000010219E
+:1008200003E0000827BD00203C0480008C83010009
+:1008300024020100506200033C0280080000000D09
+:100840003C02800894430004000010213063000F34
+:1008500000031D0003E00008AC8300803C02800818
+:10086000344200809042000003E00008AF800000A6
+:1008700003E000080000102127BDFFE83C028000D3
+:10088000AFBF0014AFB000108C430100AC43002098
+:100890008C430104AC4300A89050010B0E0001E50D
+:1008A000321000FF3C02080024424B580010188010
+:1008B0002E10001D16000005006210210E0001EA36
+:1008C000004018210A000205000000008C420000D0
+:1008D0000040F80900000000004018213C02080018
+:1008E0008C42003410600005244400013C0280006A
+:1008F0008C4301043C026020AC4300148FBF001401
+:100900008FB000103C0340003C02800027BD00185F
+:10091000AC4301383C010800AC24003403E000087B
+:100920000000000027BDFFE8AFBF0014AFB000100B
+:100930003C1080008E0201400E0001E5AE02002056
+:100940000E000399000000003C04080024840038D5
+:100950008C8200003C034000AE0301788FBF00147E
+:100960008FB000102442000127BD001803E00008EA
+:10097000AC82000027BDFFE8AFB00010AFBF00148D
+:100980003C1080008E0201800E0001E5AE020020C6
+:100990008E03018024020F00546200083C0280088C
+:1009A0008E0201883C0300E03042FFFF0043102527
+:1009B000AE0200800A00024A3C02800034420080FD
+:1009C0009042000024030050304200FF144300080E
+:1009D0003C0280000E000379000000001440000477
+:1009E0003C0280000E000967000000003C0280000D
+:1009F0003C034000AC4301B83C0208008C42003C80
+:100A00008FBF00148FB00010244200013C01080089
+:100A1000AC22003C03E0000827BD001803E00008FA
+:100A2000000010213C05800034A4010094820008DD
+:100A30003043000230420004104000030000000078
+:100A40000A0000C800000000106000052404000136
+:100A50003C0208008C4200840A00026F244200011C
+:100A60008CA301048F82000C104300080000202199
+:100A70008CA301043C0208008C420084AF83000C6C
+:100A8000244200013C010800AC22008403E000087D
+:100A90000080102127BDFFE83C036010AFBF0014A9
+:100AA000AFB000108C6550002402FF7F3C04800032
+:100AB00000A2282434A5380C24020037AC6550006D
+:100AC000AC82000824020C80AC8200243C060800A2
+:100AD00024C607A83C02080024424B582405001CE9
+:100AE00024A5FFFFAC46000004A1FFFD2442000442
+:100AF0003C020800244201EC3C010800AC224B609F
+:100B00003C020800244206183C010800AC224B6459
+:100B10003C02080024420D103C010800AC224BA00E
+:100B20003C020800244204643C0308002463095486
+:100B30003C0408002484095C3C05080024A52C948E
+:100B40003C010800AC224BC03C0208002442076074
+:100B50003C010800AC264BA83C010800AC254BB476
+:100B60003C010800AC234BBC3C010800AC244BC446
+:100B70003C010800AC224BC83C010800AC234B5C94
+:100B80003C010800AC204B683C010800AC204B6CD9
+:100B90003C010800AC204B703C010800AC204B74B9
+:100BA0003C010800AC204B783C010800AC204B7C99
+:100BB0003C010800AC204B803C010800AC244B8475
+:100BC0003C010800AC204B883C010800AC204B8C59
+:100BD0003C010800AC204B903C010800AC204B9439
+:100BE0003C010800AC204B983C010800AC264B9C13
+:100BF0003C010800AC264BA43C010800AC204BACE7
+:100C00003C010800AC254BB03C010800AC234BB8BC
+:100C10000E00055A000000003C02800034420070C3
+:100C20008C420000AF8200103C0308008C6300205F
+:100C30008F820004104300043C0580000E000D3735
+:100C4000AF8300043C05800034A900708D280000AB
+:100C50008F8400103C0708008CE700BC3C060800AD
+:100C60008CC600B8010420230000102100E43821C4
+:100C700000C2302100E4202B00C430213C010800D8
+:100C8000AC2700BC3C010800AC2600B88CB00000CA
+:100C9000320200071040FFE4AF8800108D260000EC
+:100CA0003C0508008CA500BC3C0408008C8400B8FE
+:100CB00000C8302300A628210000102100A6302BF8
+:100CC0000082202100862021320700013C0108001B
+:100CD000AC2500BC3C010800AC2400B810E00004C6
+:100CE000320200020E0001EC00000000320200029D
+:100CF00010400004320200040E0002170000000041
+:100D0000320200045040FFC53C0280000E00022B5E
+:100D1000000000000A0002D53C0280003C02900066
+:100D200034420001008220253C028000AC440020B7
+:100D30003C0380008C6200200440FFFE00000000A5
+:100D400003E00008000000003C0280003443000182
+:100D50000083202503E00008AC44002027BDFFE00D
+:100D6000AFB10014AFB0001000808821AFBF0018F1
+:100D70000E00031530B000FF8F83FFAC022020214E
+:100D80009062002502028025A07000258C7000185A
+:100D90003C0280000E000320020280241600000A9C
+:100DA0008FBF00183C0380008C6201F80440FFFEF6
+:100DB00024020002AC7101C0A06201C43C02100018
+:100DC000AC6201F88FBF00188FB100148FB0001013
+:100DD00003E0000827BD002027BDFFB8AFBF0044D7
+:100DE000AFB000403C0780008CE601048F82FFA872
+:100DF000AFA600288C450020AFA5002C8C44003CF9
+:100E0000AFA400308C430040AFA300348C42004CB0
+:100E1000AFA60010AFA50014AFA20020AFA200380B
+:100E20003C0208008C420020AFA40018AFA3001CB5
+:100E30008CF00100104000198FBF00440E000D71AE
+:100E4000000000008F83002C3C05080094A54BFE99
+:100E50003C024018AC70000000A228258FA20010B0
+:100E600024040001AC6200048FA20014AC620008EC
+:100E70008FA20018AC62000C8FA2001CAC620010A4
+:100E80008FA20020AC6200148FA20024AC62001874
+:100E90000E000D98AC65001C8FBF00448FB0004061
+:100EA0000000102103E0000827BD004827BDFFE82F
+:100EB000AFBF00103C038000946201843042020006
+:100EC00010400005000020210E000FE3000000008C
+:100ED0000A00038F240400018C6201880440000A88
+:100EE0008FBF00108C6201883C03FF000043102478
+:100EF0003C03040014430004240400018F82FFAC6F
+:100F0000904200088FBF00100080102103E000080D
+:100F100027BD00188F82FFB024050001A040001AF1
+:100F20003C0280000A0003258C44014027BDFFE0FD
+:100F3000AFB100148F91FFACAFBF001CAFB200186F
+:100F4000AFB000109222000024030020304200FFC6
+:100F50001043000C3C028000922200002403003069
+:100F6000304200FF104300073C0280009222000044
+:100F700024030050304200FF144300818FBF001C47
+:100F80003C02800090420148304200FF2443FFFFB2
+:100F90002C6200051040007A8FBF001C00031080F7
+:100FA0003C03080024634AD8004310218C4200000F
+:100FB00000400008000000003C1180008E24014029
+:100FC0000E0003158F92FFAC8E50000C8E22014450
+:100FD0001602000224020001AE42000C0E000320A3
+:100FE0008E2401408E220144145000068FBF001C45
+:100FF0008FB200188FB100148FB000100A000F518B
+:1010000027BD00208E42000C0A00042700000000CB
+:10101000962200103C0480008C8301443042FFFF84
+:10102000146200090000000024020001A622001042
+:101030008C820140AC8202003C021000AC8202387B
+:101040000A00042E8FBF001C962200100A000427FD
+:10105000000000009222000024030020304200FF24
+:101060001443000B3C128000962200123C038000C7
+:101070008C6301443042FFFF14620018000000003E
+:1010800024020001A62200120A0004008FBF001CE7
+:101090008E4401400E00031500000000962200124D
+:1010A0008E4301443050FFFF16030002240200016A
+:1010B000A62200120E0003208E4401408E420144FD
+:1010C000160200068FBF001C8FB200188FB10014EB
+:1010D0008FB000100A00039327BD00209622001253
+:1010E0000A00042700000000962200143C03800040
+:1010F0008C6301443042FFFF1462000900000000CD
+:1011000024020001A62200148FBF001C8FB2001819
+:101110008FB100148FB000100A00126827BD0020A4
+:10112000962200140A0004270000000096220016F0
+:101130003C0380008C6301443042FFFF14620008CE
+:1011400024020001A62200168FBF001C8FB20018D7
+:101150008FB100148FB000100A000B0B27BD0020C8
+:1011600096220016144000068FBF001C3C020800A7
+:101170008C420070244200013C010800AC22007047
+:101180008FB200188FB100148FB0001003E0000878
+:1011900027BD002027BDFFE03C028000AFB1001456
+:1011A000AFBF001CAFB20018AFB000103451010047
+:1011B000922300098C5001002402001F106200AA33
+:1011C0002862002010400018240200382862000A1B
+:1011D0001040000C2402000B286200081040002C74
+:1011E0000000000004600100286200021440002892
+:1011F00024020006106200268FBF001C0A00054A68
+:101200008FB20018106200602862000B144000F7D3
+:101210008FBF001C2402000E106200778FB20018EE
+:101220000A00054A00000000106200D3286200395D
+:101230001040000A2402008024020036106200E3FD
+:1012400028620037104000C524020035106200D823
+:101250008FBF001C0A00054A8FB200181062002DD3
+:101260002862008110400006240200C824020039D0
+:10127000106200C98FBF001C0A00054A8FB2001817
+:10128000106200A28FBF001C0A00054A8FB200182E
+:101290003C0208008C420020104000D48FBF001C8C
+:1012A0000E000D71000000003C028000344201007D
+:1012B0008C4400008F83002C944700083C050800F4
+:1012C00094A54BFEAC6400008C44000400073C0075
+:1012D00000E53825AC6400048C4400189446000CEA
+:1012E000AC6400088C45001C000634002404000196
+:1012F000AC65000C9042000A00C23025AC660010BC
+:10130000AC600014AC600018AC67001C0A00050C4F
+:101310008FBF001C3C0208008C420020104000B32C
+:101320008FBF001C0E000D71000000009624000805
+:101330003C03080094634BFE9625000C0004220237
+:101340009626000E8F82002C0004260000832025A4
+:1013500000052C003C03008000A6282500832025E2
+:10136000AC400000AC400004AC400008AC40000CB5
+:10137000AC450010AC400014AC400018AC44001C5C
+:101380000A00050B240400019622000C14400017EB
+:101390008F91FFAC922200053042001014400013E0
+:1013A000000000000E00031502002021922200051B
+:1013B00002002021344200100E000320A22200056A
+:1013C0009222000024030020304200FF10430086D8
+:1013D000020020218FBF001C8FB200188FB10014B3
+:1013E0008FB000100A00104C27BD00200000000D37
+:1013F0000A0005498FBF001C3C0208008C420020F7
+:101400001040007A8FBF001C0E000D71000000001C
+:101410008E2200048F83002C9624000C3C050800CB
+:1014200094A54BFEAC6200003C0280089442002C64
+:10143000000424003042FFFF008220253C02400EC1
+:1014400000A22825AC640004AC600008AC60000C6D
+:10145000AC600010AC600014AC600018AC65001CFF
+:101460000A00050B240400010E00031502002021D0
+:101470008F92FFB0020020210E000320A640000C36
+:10148000020020210E000325240500013C02080073
+:101490008C420020104000558FBF001C0E000D71C3
+:1014A000000000009622000C8F83002C8F84FFAC7C
+:1014B00000021400AC700000AC620004AC600008D4
+:1014C0008C8200383C05080094A54BFEAC62000CF1
+:1014D0008C86003C3C02401F00A22825AC66001010
+:1014E0008E42000424040001AC620014AC600018B9
+:1014F000AC65001C8FBF001C8FB200188FB10014A8
+:101500008FB000100A000D9827BD00208F82FFAC1D
+:101510002403002090420000304200FF10430033BB
+:101520008FBF001C0E000F37000000001040002F7E
+:101530008FBF001C3C0380008C6201F80440FFFE5A
+:1015400024020002AC7001C0A06201C43C02100081
+:10155000AC6201F80A0005498FBF001C020020217F
+:101560008FBF001C8FB200188FB100148FB0001015
+:101570000A000E8027BD00209625000C02002021C5
+:101580008FBF001C8FB200188FB100148FB00010F5
+:101590000A000EA527BD0020020020218FB20018EE
+:1015A0008FB100148FB000100A000ED027BD0020AC
+:1015B0009225000D020020218FB200188FB1001477
+:1015C0008FB000100A000F2127BD0020020020214B
+:1015D0008FBF001C8FB200188FB100148FB00010A5
+:1015E0000A000EF827BD00208FBF001C8FB2001824
+:1015F0008FB100148FB0001003E0000827BD002059
+:101600003C0380008C6202780440FFFE240200024A
+:10161000AC640240A06202443C02100003E00008F7
+:10162000AC620278000411C003E0000824420240CA
+:10163000A380001803E00008A38000193C03800089
+:101640008C6202780440FFFE8F82001CAC62024074
+:1016500024020002A06202443C02100003E00008E1
+:10166000AC62027803E000080000000090830030C4
+:1016700024020005008040213063003F0000482123
+:1016800014620005000050219082004C9483004EAB
+:10169000304900FF306AFFFFAD00000CAD000010C4
+:1016A000AD000024950200148D05001C8D04001867
+:1016B0003042FFFF0049102300021100000237C32F
+:1016C000004038210086202300A2102B0082202316
+:1016D00000A72823AD05001CAD040018A5090014BF
+:1016E000A5090020A50A001603E00008A50A0022AB
+:1016F00003E000080000000027BDFFD8AFB20018CB
+:101700003C128008AFB40020AFB3001CAFB100148E
+:10171000AFBF0024AFB00010365101009222000C80
+:101720003C140800929400F7304300FF24020001AB
+:1017300010620031008098212402000214620034FB
+:10174000365000800E001242000000009204004C4F
+:101750000E0005573084007F026210212403FF80B1
+:10176000004318243C048000AC8300949245000898
+:101770009204004C3042007F3C0380061485000731
+:10178000004380212402FFFFA22200112402FFFF58
+:10179000A62200120A0005BA2402FFFF96020020CA
+:1017A000A222001196020022A62200128E0200241C
+:1017B0003C048008AE2200143485008090A2004CC6
+:1017C00034830100A06200108CA2003CAC620018BF
+:1017D0008C820068AC6200E48C820064AC6200E041
+:1017E0008C82006CAC6200E824020001A0A20068B8
+:1017F0000A0005D63C0480080E00125B00000000C1
+:1018000036420080A04000680A0005D63C048008EB
+:10181000A2000068A20000690A0006123C028008CB
+:10182000348300808C62003834850100AC62006C27
+:1018300024020001A062006990A200C59083000804
+:10184000305100FF3072007F1232001B3C088008CC
+:101850000E00055702202021026210212403FF8080
+:10186000004318243C048000AC8300943042007F85
+:101870003C038006004380218E02000C1040000DC6
+:10188000020020210E000569000000002622000150
+:10189000305100FF9203003C023410260002102B4E
+:1018A000000210233063007F022288240A0005E032
+:1018B000A203003C3C088008350401008C8200D063
+:1018C00035070080ACE2003C8C8200D0AD02000005
+:1018D00090E5004C908600C590E3004C908400C5D4
+:1018E0002402FF8000A228243063007F308400FFA0
+:1018F00000A628250064182A1060000230A500FF09
+:1019000038A50080A0E5004CA10500093C02800834
+:101910009043000E344400803C058000A043000A40
+:101920008C8300183C027FFF3442FFFF00621824C2
+:10193000AC8300188CA201F80440FFFE00000000F8
+:10194000ACB301C08FBF00248FB400208FB3001C44
+:101950008FB200188FB100148FB000102402000263
+:10196000A0A201C427BD00283C02100003E000082B
+:10197000ACA201F890A2000027BDFFE0AFB20018B2
+:1019800024420001A0A200003C0308008C6300F484
+:10199000304200FFAFB10014AFBF001CAFB0001069
+:1019A00000A088211443000200809021A0A0000024
+:1019B0000E000557922400008F90001C2403FF8026
+:1019C00002021021004310243C038000AC6200247A
+:1019D0000E00055792240000020280213210007F81
+:1019E0003C02800A02028021AE5000008FBF001C22
+:1019F0008FB200188FB100148FB0001003E0000800
+:101A000027BD002094820006908300058C85000C81
+:101A10008C8600108C8700188C88001C8C84002019
+:101A20003C010800A4224BD23C010800A0234BD16A
+:101A30003C010800AC254BD83C010800AC264BDC2F
+:101A40003C010800AC274BE43C010800AC284BE803
+:101A50003C010800AC244BEC03E00008000000004F
+:101A60003C028008344201008C4400343C03800076
+:101A700034650400AC6400388C420038AF85003017
+:101A8000AC62003C3C020005AC620030000000008B
+:101A90000000000003E00008000000003C02000617
+:101AA000308400FF008220253C028000AC440030DE
+:101AB0000000000000000000000000003C03800067
+:101AC0008C620000304200101040FFFD34620400C0
+:101AD00003E00008AF82003094C200003C08080018
+:101AE000950800CA30E7FFFF00804821010210215D
+:101AF000A4C2000094C200003042FFFF00E2102B9D
+:101B000054400001A4C7000094A200003C03080058
+:101B10008C6300CC24420001A4A2000094A2000027
+:101B20003042FFFF144300073C0280080107102BDE
+:101B3000A4A000005440000101003821A4C7000007
+:101B40003C028008344601008CC3002894A20000A7
+:101B50003C0480003042FFFE000210C000621021F1
+:101B6000AC82003C8C82003C0062182318600004A8
+:101B7000000000008CC200240A0006AF24420001CD
+:101B80008CC20024AC8200383C0200503442001069
+:101B90003C038000AC620030000000000000000048
+:101BA000000000008C620000304200201040FFFD69
+:101BB0000000000094A200003C04800030420001BC
+:101BC000000210C0004410218C430400AD2300002B
+:101BD0008C420404AD2200043C02002003E0000813
+:101BE000AC82003027BDFFE0AFB20018AFB10014E7
+:101BF000AFB00010AFBF001C94C2000000C0802135
+:101C00003C120800965200C624420001A6020000C1
+:101C10009603000094E2000000E030211443000528
+:101C20008FB100300E000684024038210A0006E61B
+:101C3000000000008C8300048C82000424420040D9
+:101C400004610007AC8200048C820004044000049C
+:101C5000000000008C82000024420001AC820000E1
+:101C6000960200003042FFFF50520001A600000023
+:101C70009622000024420001A62200003C028008B7
+:101C800034420100962300009442003C14430004B7
+:101C90008FBF001C24020001A62200008FBF001C81
+:101CA0008FB200188FB100148FB0001003E000084D
+:101CB00027BD002027BDFFE03C028008AFBF001811
+:101CC000344201008C4800343C0380003469040035
+:101CD000AC6800388C42003830E700FFAF89003034
+:101CE000AC62003C3C020005AC6200300000000029
+:101CF00000000000000000000000000000000000E4
+:101D0000000000008C82000C8C82000C978300166F
+:101D1000AD2200008C82001000604021AD22000442
+:101D20008C820018AD2200088C82001CAD22000CB1
+:101D30008CA20014AD2200108C820020AD22001471
+:101D400090820005304200FF00021200AD22001810
+:101D50008CA20018AD22001C8CA2000CAD22002029
+:101D60008CA20010AD2200248CA2001CAD22002801
+:101D70008CA20020AD22002C3402FFFFAD260030E3
+:101D8000AD200034506200013408FFFFAD28003858
+:101D900050E000113C0280083C04800834840100BB
+:101DA000948200503042FFFFAD22003C94830044F7
+:101DB00094850044240200013063FFFF000318C231
+:101DC000006418219064005430A5000700A210049C
+:101DD0000A0007510044102534420100AD20003CA8
+:101DE00094430044944400443063FFFF000318C24E
+:101DF000006218213084000790650054240200011D
+:101E0000008210040002102700451024A062005434
+:101E10000000000000000000000000003C0200067E
+:101E2000344200403C038000AC62003000000000FF
+:101E300000000000000000008C6200003042001032
+:101E40001040FFFD3C06800834C20150346304009A
+:101E500034C7014A34C4013434C5014034C6014496
+:101E6000AFA200100E0006C7AF8300308FBF00186E
+:101E700003E0000827BD00208F8300143C05080004
+:101E80008CA500E88F82001C30633FFF000319809F
+:101E900000451021004310212403FF800043182433
+:101EA0003C058000ACA300283042007F3C03800C3E
+:101EB0000043302190C2000D000038213442001050
+:101EC000A0C2000D8F8900143C028008344201003A
+:101ED00094430044000913823048000324020001A7
+:101EE000A4C3000E1102000B2902000210400005DD
+:101EF000240200021100000C240300010A000798CC
+:101F00000000182111020006000000000A000798D6
+:101F1000000018218CC2002C0A00079824430001FD
+:101F20008CC20014244300018CC200180043102B03
+:101F3000144000033C0380080A0007A224070001A4
+:101F4000346301009462004C24420001A462004CFE
+:101F500000091382304300032C6200021040000984
+:101F600000802821146000040000000094C20034A6
+:101F70000A0007B23046FFFF8CC600380A0007B2DD
+:101F800000802821000030213C04080024844BCC30
+:101F90000A0006FB0000000027BDFF90AFB60068F6
+:101FA000AFB50064AFB40060AFB3005CAFB200582F
+:101FB000AFB10054AFBF006CAFB000508C900000C8
+:101FC0000080B0213C0208008C4200E896040032F8
+:101FD0008F83001C2414FF8030843FFF006218218F
+:101FE0000004218000641821007410243C13800038
+:101FF00000A0902190A50000AE620028920400325B
+:102000003C02800C3063007F00628821308400C075
+:10201000240200401482002D0000A8218E350038D3
+:102020008E2200181440000224020001AE22001883
+:102030009202003C304200201440000F00000000DB
+:102040000E00055700A020218F83001C006218217C
+:10205000306400783C02008000822025007418243F
+:10206000AE630800AE6408108E2200188E030008CC
+:1020700000431021AE2200188E22002C8E2300185F
+:10208000244200010062182B1060003D0000000097
+:102090009242000024420001A24200003C030800DA
+:1020A0008C6300F4304200FF50430001A240000066
+:1020B0000E000557924400008F90001C0202102170
+:1020C000005410240A0008B8AE62002492030032C3
+:1020D0002402FFC000431024304200FF14400005DA
+:1020E00024020001AE220018962200340A00082EB5
+:1020F0003055FFFF8E22001424420001AE2200184A
+:102100009202003000021600000216030441001C77
+:10211000000000009602003227A400100080282151
+:10212000A7A2001696020032000030212407000109
+:102130003042FFFFAF8200140E0006FBAFA0001C70
+:10214000960200328F83001C3C0408008C8400E857
+:1021500030423FFF00021180006418210062182104
+:1021600000741024AE62002C3063007F3C02800EAD
+:10217000006218219062000D3042007FA062000DC5
+:102180009222000D30420010504000789242000030
+:102190003C028008344401009482004C8EC300004D
+:1021A0003C130800967300C62442FFFFA482004C33
+:1021B000946200329623000E3054FFFF3070FFFF10
+:1021C0003C0308008C6300D000701807A7A30038F8
+:1021D0009482003E3063FFFF3042FFFF146200072D
+:1021E000000000008C8200303C038000244200305C
+:1021F000AC62003C0A0008568C82002C948200409D
+:102200003042FFFF5462000927A400408C8200384E
+:102210003C03800024420030AC62003C8C820034DD
+:10222000AC6200380A0008653C03800027A500382E
+:1022300027A60048026038210E000684A7A00048A7
+:102240008FA300403C02800024630030AC43003880
+:102250008FA30044AC43003C3C0380003C020005DB
+:10226000AC6200303C028008344401009482004299
+:10227000346304003042FFFF0202102B14400007B9
+:10228000AF8300309482004E9483004202021021FA
+:10229000004310230A00087B3043FFFF9483004E65
+:1022A0009482004202631821005010230062182318
+:1022B0003063FFFF3C028008344401009482003CFC
+:1022C0003042FFFF14430003000000000A00088BA7
+:1022D000240300019482003C3042FFFF0062102B77
+:1022E000144000058F8200309482003C006210236D
+:1022F0003043FFFF8F820030AC550000AC4000043B
+:10230000AC540008AC43000C3C0200063442001000
+:102310003C038000AC6200300000000000000000C0
+:10232000000000008C620000304200101040FFFDF1
+:102330003C04800834840100001018C20064182195
+:102340009065005432020007240600010046100484
+:1023500000451025A0620054948300429622000E8E
+:1023600050430001A386001892420000244200015D
+:10237000A24200003C0308008C6300F4304200FFDE
+:1023800050430001A24000000E0005579244000097
+:102390008F90001C2403FF800202102100431024B0
+:1023A0003C038000AC6200240E00055792440000FC
+:1023B000020280213210007F3C02800A020280214A
+:1023C000AED000008FBF006C8FB600688FB5006480
+:1023D0008FB400608FB3005C8FB200588FB100548F
+:1023E0008FB0005003E0000827BD007027BDFFD864
+:1023F000AFB3001CAFB20018AFB10014AFB0001003
+:10240000AFBF00200080982100E0802130B1FFFFA5
+:102410000E000D7130D200FF00000000000000002F
+:10242000000000008F82002CAC510000AC52000470
+:10243000AC530008AC40000CAC400010AC400014A1
+:10244000AC4000183C03080094634BFE0203802557
+:10245000AC50001C00000000000000000000000064
+:10246000240400018FBF00208FB3001C8FB200181E
+:102470008FB100148FB000100A000D9827BD0028FE
+:1024800030A5FFFF30C600FF24030C803C02800013
+:10249000AC43002400000000000000000000000029
+:1024A00000000000000000000A0008C90000000051
+:1024B0003C028008344301009462000E3C0808008E
+:1024C000950800C63046FFFF14C000043402FFFF29
+:1024D000946500DA0A00091F8F84001C10C20027CF
+:1024E000000000009462004E9464003C3045FFFF01
+:1024F00000A6102300A6182B3087FFFF10600004F1
+:102500003044FFFF00C5102300E210233044FFFFDA
+:102510000088102B1040000E00E810233C028008B9
+:10252000344401002403000134420080A443001617
+:102530002402FFFFA482000E948500DA8F84001C21
+:102540000000302130A5FFFF0A0008EE3C076020A4
+:102550000044102A104000093C02800834430080E7
+:102560009462001630420001104000043C028000DA
+:102570009442007E24420014A462001603E0000886
+:102580000000000027BDFFE03C028008AFBF001C38
+:10259000AFB0001834420100944300429442004C12
+:1025A000104000193068FFFF9383001824020001D7
+:1025B000146200298FBF001C3C06800834D0010043
+:1025C000000810C20050102190420054310300074F
+:1025D00034C70148304200FF00621007304200015A
+:1025E00034C9014E34C4012C34C5013E10400016DC
+:1025F00034C601420E0006C7AFA900109602004281
+:102600000A00093C3048FFFF3C02800834440100C6
+:1026100094830044948200421043000F8FBF001C3B
+:1026200094820044A482004294820050A482004E0E
+:102630008C820038AC82003094820040A482003E3C
+:102640009482004AA48200488FBF001C8FB00018FB
+:102650000A0008FA27BD00208FB0001803E0000828
+:1026600027BD002027BDFFA0AFB1004C3C1180006A
+:10267000AFBF0058AFB30054AFB20050AFB0004886
+:102680003626018890C200033044007FA3A40010C6
+:102690008E32018090C200003043007F240200038C
+:1026A0001062003BAF92001C28620004104000063C
+:1026B0002402000424020002106200098FBF0058A7
+:1026C0000A000B038FB300541062004B2402000574
+:1026D0001062014C8FBF00580A000B038FB30054E7
+:1026E000000411C0024210212404FF802442024051
+:1026F0000044102426430040AE2200243063007FB3
+:102700003C02800A006218219062003CAFA3003CAA
+:1027100000441025A062003C8FA3003C9062003C66
+:10272000304200401040016A8FBF00583C108008C2
+:10273000A3800018361001008E0200D08C63003494
+:1027400027A4003C27A50010004310210E0007B469
+:10275000AE0200D093A200103C038000A20200C58C
+:102760008C6202780440FFFE8F82001CAC62024043
+:1027700024020002A06202443C021000AC62027813
+:102780000E00092F000000000A000B028FBF005846
+:102790003C05800890C3000190A2000B1443014C3B
+:1027A0008FBF005834A400808C8200189082004CA7
+:1027B00090A200088C8300183C027FFF3442FFFF88
+:1027C000006218243C0208008C4200B4AC8300185C
+:1027D0003C038000244200013C010800AC2200B40C
+:1027E0008C6201F80440FFFE8F82001CAC6201C0C5
+:1027F0000A000ACA240200023C10800890C30001AB
+:102800009202000B144301328FBF005836050110AD
+:1028100027A400180E000E202406000327A4002879
+:10282000360501E00E000E20240600038FA20028CA
+:1028300036030100AE0200648FA2002CAE020068D5
+:102840008FA20030AE02006C93A40018906300C504
+:102850002402FF800082102400431025305000FF26
+:102860003084007F3202007F0082102A5440000131
+:102870003A1000800E0005570000000002421021AF
+:102880002403FF8000431024AE22009493A4001878
+:102890003C130800927300F70E0005573084007F48
+:1028A000024210213042007F3C0380060043402159
+:1028B0008FA3001C2402FFFF10620034AFA8004069
+:1028C00093A2001995030014304400FF3063FFFF0A
+:1028D0000064182B10600010000000009504001424
+:1028E0008D07001C8D0600183084FFFF0044202354
+:1028F0000004210000E438210000102100E4202B16
+:1029000000C2302100C43021AD07001CAD06001804
+:102910000A000A2393A20019950400148D07001CD5
+:102920008D0600183084FFFF008220230004210060
+:10293000000010210080182100C2302300E4202B69
+:1029400000C4302300E33823AD07001CAD06001897
+:1029500093A200198FA30040A462001497A2001A4A
+:10296000A46200168FA2001CAC6200108FA2001C93
+:10297000AC62000C93A20019A462002097A2001A76
+:10298000A46200228FA2001CAC6200243C048008D8
+:10299000348300808C6200388FA2002002008821DE
+:1029A000AC62003C8FA20020AC82000093A2001811
+:1029B000A062004C93A20018A0820009A0600068E9
+:1029C00093A200181051005293A400183230007FD7
+:1029D0000E00055702002021024210212407FF802B
+:1029E0003046007F3C03800000471024AC62009416
+:1029F0003C02800600C2302190C2003CAFA60040DD
+:102A00000000202100471025A0C2003C8FA80040F4
+:102A100095020002950300148D07001C3042FFFF51
+:102A20003063FFFF8D0600180043102300021100E1
+:102A300000E2382100E2102B00C4302100C2302116
+:102A4000AD07001CAD06001895020002A502001497
+:102A5000A50000168D020008AD0200108D020008CE
+:102A6000AD02000C95020002A5020020A500002284
+:102A70008D020008AD0200249102003C304200406B
+:102A80001040001A26220001A3B000383C10800834
+:102A9000A3800018361001008E0200D08D03003490
+:102AA00027A4004027A50038004310210E0007B4DA
+:102AB000AE0200D093A200383C038000A20200C501
+:102AC0008C6202780440FFFE8F82001CAC620240E0
+:102AD00024020002A06202443C021000AC620278B0
+:102AE0000E00092F00000000262200013043007F65
+:102AF00014730004004020212403FF8002231024CB
+:102B00000043202693A200180A000A3F309100FFDC
+:102B10008FA3001C2402FFFF1062000A309000FF08
+:102B200024820001248300013042007F14530005F9
+:102B3000307000FF2403FF80008310240043102620
+:102B4000305000FF3C0280089042000802008821BB
+:102B5000305000FF123000193222007F000211C0F5
+:102B600002421021244202402403FF800043182423
+:102B70003C048000AC8300943042007F3C0380061C
+:102B8000004310218C43000C004020211060000BFA
+:102B9000AFA200400E0005690000000026230001DE
+:102BA0002405FF803062007F145300020225202498
+:102BB000008518260A000AA3307100FF3C04800833
+:102BC000348400808C8300183C027FFF3442FFFF76
+:102BD00000621824AC8300183C0380008C6201F86A
+:102BE0000440FFFE00000000AC7201C0240200029D
+:102BF000A06201C43C021000AC6201F80A000B02A2
+:102C00008FBF00583C04800890C300019082000BE5
+:102C10001443002F8FBF00583490008092020008A8
+:102C200030420040104000200000000092020008E6
+:102C30000002160000021603044100050240202194
+:102C40000E000EA5240500930A000B028FBF00584A
+:102C50009202000924030018304200FF1443000DC3
+:102C600002402021240500390E000E3D00003021D5
+:102C70000E0003158F84001C8F82FFAC240300120A
+:102C8000A04300090E0003208F84001C0A000B02E1
+:102C90008FBF0058240500360E000E3D0000302185
+:102CA0000A000B028FBF00580E00031502402021BE
+:102CB000920200058F84001C344200200E00032085
+:102CC000A20200050E00104C8F84001C8FBF00581C
+:102CD0008FB300548FB200508FB1004C8FB00048BA
+:102CE00024030C803C02800027BD006003E0000844
+:102CF000AC43002427BDFFE83C028008AFB00010C1
+:102D0000AFBF0014344501003C1080008E0201402A
+:102D100094A3000E0000302100402021AF82001C4F
+:102D20003063FFFF3402FFFF106200063C076020A3
+:102D30002402FFFFA4A2000E94A500DA0E0008EE04
+:102D400030A5FFFF24020C80AE0200248FBF0014C8
+:102D50008FB0001003E0000827BD001827BDFFC09A
+:102D60003C0980003C058008AFB70034AFB20020BA
+:102D7000AFBF0038AFB60030AFB5002CAFB40028FD
+:102D8000AFB30024AFB1001CAFB000183532010062
+:102D900034A801008D2701008E4200148D0300D459
+:102DA0000000B821A38000180043102318400055EC
+:102DB000AF87001C8E4200142403FF8024E40040EF
+:102DC000AD0200D490A60008910500C53084007FB4
+:102DD00030D3007F30A200FF000211C000E21021BA
+:102DE00024420240A3A50010004310248D1400D0FB
+:102DF0008D1500D4AD22002493A300103C02800A5C
+:102E000000822021AFA40014107300330000B02111
+:102E10008FA3001427A4001427A500108C6200348F
+:102E20000282802102B010230440002B2411FF8075
+:102E30009062003C02221024304200FF1440001B2C
+:102E40000200A0219062003C34420040A062003C9D
+:102E500093A2001024420001304300FFA3A20010FF
+:102E60003C0208008C4200F450620001A3A0001054
+:102E70000E00055793A400108F90001C3C038000A7
+:102E80000202102100511024AC6200240E000557EC
+:102E900093A40010020280213210007F3C02800ABD
+:102EA000020280210A000B81AFB000140E0007B4AB
+:102EB000000000003C02800834420100AC5000D009
+:102EC00093A3001024160001A04300C593A2001094
+:102ED0001453FFD08FA300142402000116C200096E
+:102EE0003C0380008C6202780440FFFE8F82001C4D
+:102EF000AC62024024020002A06202443C021000C4
+:102F0000AC6202789242000B24030002304200FFC0
+:102F1000144300720000000096420008304300FF96
+:102F20002402008214620040240200843C028000DB
+:102F3000344901008D22000C952300060002160280
+:102F40003063FFFF3044003F240200271082000F4F
+:102F5000AF830014288200281040000824020031AA
+:102F6000240200211082000924020025108200079B
+:102F7000938200190A000BC00000000010820007B5
+:102F8000938200190A000BC0000000000E00076CBD
+:102F9000012020210A000C40000000003C038000BA
+:102FA0008C6202780440FFFE8F82001CAC620240FB
+:102FB00024020002A06202443C021000AC620278CB
+:102FC0000A000C4000000000952300069124000533
+:102FD0008D25000C8D2600108D2700188D28001CD3
+:102FE0008D290020244200013C010800A4234BD27B
+:102FF0003C010800A0244BD13C010800AC254BD873
+:103000003C010800AC264BDC3C010800AC274BE43B
+:103010003C010800AC284BE83C010800AC294BEC13
+:103020000A000C40A38200191462000A24020081E5
+:103030003C02800834420100944500DA92460005C3
+:103040008F84001C30A5FFFF30C600FF0A000C0172
+:103050003C0760211462005C000000009242000AFC
+:10306000304300FF30620020104000073062004013
+:103070003C02800834420100944500DA8F84001C31
+:103080000A000BFF24060040104000070003160052
+:103090003C02800834420100944500DA8F84001C11
+:1030A0000A000BFF240600410002160304410046FB
+:1030B0003C02800834420100944500DA8F84001CF1
+:1030C0002406004230A5FFFF3C0760190E0008EE01
+:1030D000000000000A000C40000000009242000BBB
+:1030E00024040016304200FF104400063C06800015
+:1030F0009242000B24030017304200FF14430032B9
+:103100000000000034C5010090A2000B304200FF17
+:103110001444000B000080218CA200208CA400200D
+:103120002403FF8000431024000211403084007FFC
+:10313000004410253C03200000431025ACC2083099
+:1031400094A20008000214000002140304420001CB
+:103150002410000194A20008304200805040001A60
+:103160000200B82194A2000830422000504000160E
+:103170000200B8218CA300183C021C2D344219ED2A
+:10318000106200110200B8213C0208008C4200D4F9
+:10319000104000053C028008240300043442010072
+:1031A000A04300EC3C02800834420100944500DA60
+:1031B0008F84001C2406000630A5FFFF0E0008EED9
+:1031C0003C0760210200B8210E00092F000000001A
+:1031D0009242000A30420008104000043C02800085
+:1031E0000E00121F000000003C02800024030C802F
+:1031F000AC4300248FBF003802E010218FB60030AE
+:103200008FB700348FB5002C8FB400288FB3002403
+:103210008FB200208FB1001C8FB0001803E00008AF
+:1032200027BD00402402FF80008220243C02900041
+:1032300034420007008220253C028000AC4400207C
+:103240003C0380008C6200200440FFFE0000000070
+:1032500003E00008000000003C0380002402FF801F
+:10326000008220243462000700822025AC64002004
+:103270008C6200200440FFFE0000000003E0000814
+:10328000000000003C0280082403000534420100D5
+:10329000A04300EC3C0280008C4201003C03800013
+:1032A000AF82001C8C6202780440FFFE8F82001CFB
+:1032B000AC62024024020002A06202443C02100000
+:1032C000AC62027803E000080000000027BDFFE8C0
+:1032D0003C068000AFBF001034C5010094A2000876
+:1032E000304400FF38830082388200842C63000160
+:1032F0002C42000100621825106000302402008377
+:1033000093820019504000398FBF00103C02080022
+:1033100090424BD88CC401003C07080094E74BD284
+:103320003046003F38C3003238C2003F2C630001F2
+:103330002C42000100621825AF84001CAF870014E6
+:10334000A38000191460000600A0202124020020A0
+:1033500014C200113402FFFF14E2000F000000004D
+:103360002402002014C20005000000008CA30014F9
+:103370002402FFFF1062000A000000003C04080065
+:1033800024844BCC000030210E0006FB24070001F2
+:103390000A000CB6000000000E00076C00000000E0
+:1033A0000E00092F0000000024030C803C02800066
+:1033B000AC4300240A000CEF8FBF001014820006FB
+:1033C0002482FF808CC301043C026020AC430014C3
+:1033D0000A000CEF8FBF0010304200FF2C420002A9
+:1033E00010400004240200228FBF00100A000B25A9
+:1033F00027BD0018148200038FBF00100A000C6F55
+:1034000027BD00183C0208008C4200201040001D1F
+:103410002402001890A3000914620003240200167D
+:103420000A000CDB240300081462000724020017C2
+:10343000240300123C02800834420080A0430009AB
+:103440000A000CE894A700085462000794A700083B
+:103450008F82FFAC2404FFFE904300050064182413
+:10346000A043000594A7000890A6001B8CA40000B0
+:1034700094A500068FBF001000073C000A0008C991
+:1034800027BD001803E0000827BD00183C05080010
+:1034900094A54C0A3C0308008C634C143C04800047
+:1034A00030A2FFFF000230C02402FFF000C210244F
+:1034B00000621821AC83003C3C0208008C424C1096
+:1034C0003C038000AC8200383C02005034420010C3
+:1034D000AC620030000000000000000000000000AE
+:1034E0008C620000304200201040FFFD30C2000816
+:1034F000104000093C0280008C6204088C63040CBC
+:103500003C010800AC224C003C010800AC234C04F8
+:103510000A000D1B3C0300208C4304008C42040471
+:103520003C010800AC234C003C010800AC224C04D8
+:103530003C0300203C028000AC4300303C0780008C
+:103540008CE20030004310241440FFFD0000000016
+:103550003C03080094634C083C02080094424C0C65
+:103560003C06080094C64C0E24A5000100621821F8
+:1035700030A4FFFF3C020040ACE200303C010800F8
+:10358000A4234C083C010800A4254C0A148600031F
+:10359000000000003C010800A4204C0A03E00008E1
+:1035A0000000000027BDFFE83C04080024844BF025
+:1035B0003C05800AAFBF00100E000E202406000A52
+:1035C0003C02080094424BF23C03080094634C0E0A
+:1035D0003042000F244200030043180424027FFFFE
+:1035E0000043102B10400002AF8300280000000DA4
+:1035F0000E000CF1000000003C02080094424BF465
+:103600003C03080094634BFA8FBF00103042000F58
+:10361000000215000062182527BD00183C0280003A
+:1036200003E00008AC4300A03C02800A944300067B
+:103630003C02080094424BFA3C010800A4234BF6DC
+:10364000004310238F8300283042FFFF0043102BDC
+:1036500003E000083842000127BDFFE8AFBF0010BB
+:103660003C02800A944200063C010800A4224BF66A
+:103670000E000D58000000005440FFFA3C02800A82
+:103680008FBF001003E0000827BD001827BDFFE82A
+:10369000AFBF00100E000D580000000010400003E6
+:1036A000000000000E000D64000000003C02080055
+:1036B0008C424C003C0380008FBF0010AC6200388D
+:1036C0003C0208008C424C043464040027BD0018FE
+:1036D000AC62003C3C020005AC620030AF84002CC0
+:1036E00003E00008AF8000248F8200243C03000622
+:1036F00000021140004310253C038000AC62003002
+:103700000000000000000000000000008C620000CB
+:10371000304200101040FFFD34620400AF82002CE4
+:1037200003E00008AF8000243C0608008CC64C046F
+:103730008F8500248F83002C3C02080094424BFAB2
+:1037400027BDFFE024A500012463002024420001DE
+:1037500024C70020AFB10014AFB00010AFBF0018F5
+:10376000AF850024AF83002C3C010800A4224BFA53
+:10377000309000FF3C010800AC274C0404C1000855
+:103780000000882104E00006000000003C02080060
+:103790008C424C00244200013C010800AC224C0049
+:1037A0003C04080094844C083C02080094424BFA04
+:1037B0002E030001004410262C440001008318242D
+:1037C000106000040010102B24020001AF820020C2
+:1037D0000010102B00821025144000068F8200205C
+:1037E000144000048F830024240200101462000F90
+:1037F000000000000E000D88241100013C030800A9
+:1038000094634BFA3C02080094424C081462000393
+:10381000000000000E000CF1000000001600000384
+:10382000000000000E000D71000000003C030800C5
+:1038300094634BFE3C02080094424BFC246300015D
+:103840003064FFFF3C010800A4234BFE14820003F8
+:10385000000000003C010800A4204BFE1200000BF9
+:10386000000000003C02080094424BF43C030800B6
+:1038700094634BFA00021500006218253C02800098
+:10388000AC4300A00A000DF7AF8000200E000D58D9
+:103890000000000010400004022010210E000D6402
+:1038A00000000000022010218FBF00188FB100140B
+:1038B0008FB0001003E0000827BD002003E00008DF
+:1038C000000000008F8200343C030006000211401B
+:1038D000004310253C038000AC6200300000000073
+:1038E00000000000000000008C6200003042001068
+:1038F0001040FFFD34620400AF82003003E0000896
+:10390000AF80003403E000080000102103E000084D
+:10391000000000003084FFFF30A5FFFF00001821E9
+:1039200010800007000000003082000110400002FB
+:1039300000042042006518210A000E1600052840E8
+:1039400003E000080060102110C0000624C6FFFF3D
+:103950008CA2000024A50004AC8200000A000E2006
+:103960002484000403E000080000000010A0000808
+:1039700024A3FFFFAC860000000000000000000050
+:103980002402FFFF2463FFFF1462FFFA2484000473
+:1039900003E00008000000003C0280083442008080
+:1039A00024030001AC43000CA4430010A443001204
+:1039B000A443001403E00008A443001627BDFFD869
+:1039C000248200802407FF803043007FAFB00010C6
+:1039D00000808021004720243C0208008C42002007
+:1039E0003C08800EAFB3001CAFB20018AFB100149A
+:1039F000AFBF00203C0980000068182130B100FFF3
+:103A000030D200FF1040002900009821260201005A
+:103A1000AD24002C004728243042007F004820219C
+:103A20009062000024030050304200FF1443000461
+:103A300000000000AD25002C948200DA3053FFFF17
+:103A40000E000D71000000003C03080094634BFE63
+:103A50008F82002C00112C0000A3282500122400C6
+:103A60003C0340003484000100A32825AC50000032
+:103A70008FBF0020AC4000048FB20018AC53000888
+:103A80008FB10014AC40000C8FB3001CAC4400108C
+:103A90008FB00010AC40001424040001AC400018AA
+:103AA00027BD00280A000D98AC45001C8FBF0020E0
+:103AB0008FB3001C8FB200188FB100148FB00010AC
+:103AC00003E0000827BD00283C06800034C2010046
+:103AD0009043000F240200101062000E28650011B0
+:103AE00010A0000724020012240200082405003A56
+:103AF000106200060000302103E000080000000012
+:103B0000240500351462FFFC000030210A000E3D40
+:103B1000000000008CC200748F83FFAC24420FA011
+:103B200003E00008AC62000C27BDFFE8AFBF001047
+:103B30000E000325240500013C0480088FBF0010FF
+:103B40002402000134830080A462001227BD001803
+:103B50002402000103E00008A080001A27BDFFE056
+:103B6000AFB20018AFB10014AFB00010AFBF001C6F
+:103B700030B2FFFF0E000315008088213C02800850
+:103B8000345000809202000924030004304200FFF8
+:103B90001443000C3C028008124000082402000A72
+:103BA0000E000E3400000000920200052403FFFE08
+:103BB00000431024A202000524020012A202000900
+:103BC0003C02800834420080022020210E000320A5
+:103BD000A040002716400003022020210E000E986E
+:103BE0000000000002202021324600FF8FBF001C91
+:103BF0008FB200188FB100148FB000102405003868
+:103C00000A000E3D27BD002027BDFFE0AFBF001C0E
+:103C1000AFB20018AFB10014AFB000100E00031522
+:103C2000008080210E000E34000000003C0280085D
+:103C30003445008090A2000924120018305100FF82
+:103C4000123200030200202124020012A0A2000967
+:103C500090A200052403FFFE004310240E00032061
+:103C6000A0A2000502002021240500201632000732
+:103C7000000030218FBF001C8FB200188FB10014DC
+:103C80008FB000100A00032527BD00208FBF001C45
+:103C90008FB200188FB100148FB0001024050039C6
+:103CA0000A000E3D27BD002027BDFFE83C02800032
+:103CB000AFB00010AFBF0014344201009442000CBA
+:103CC000240500360080802114400012304600FF99
+:103CD0000E000315000000003C0280083442008002
+:103CE00024030012A0430009904300053463001030
+:103CF0000E000E34A04300050E0003200200202118
+:103D0000020020210E000325240500200A000F15C3
+:103D1000000000000E000E3D000000000E00031524
+:103D2000020020213C0280089043001B2405FF9FD5
+:103D300002002021006518248FBF00148FB00010EE
+:103D4000A043001B0A00032027BD001827BDFFE089
+:103D5000AFBF0018AFB10014AFB0001030B100FF1A
+:103D60000E000315008080213C028008240300120D
+:103D7000344200800E000E34A04300090E000320E0
+:103D80000200202102002021022030218FBF0018D4
+:103D90008FB100148FB00010240500350A000E3DCD
+:103DA00027BD00203C0480089083000E9082000A0A
+:103DB0001443000B000028218F82FFAC2403005025
+:103DC0002405000190420000304200FF144300042B
+:103DD000000000009082000E24420001A082000E2C
+:103DE00003E0000800A010213C0380008C6201F871
+:103DF0000440FFFE24020002AC6401C0A06201C4C2
+:103E00003C02100003E00008AC6201F827BDFFE0AF
+:103E1000AFB200183C128008AFB10014AFBF001C55
+:103E2000AFB0001036510080922200092403000A2E
+:103E3000304200FF1443003A000000008E430004AB
+:103E40008E2200385062007E8FBF001C922200003C
+:103E500024030050304200FF144300253C02800040
+:103E60008C4201408E43000436420100022028218A
+:103E7000AC43001C9622005C8E2300383042FFFFCA
+:103E80000002104000621821AE23001C8E43000483
+:103E90008E2400389622005C006418233042FFFF15
+:103EA00000031843000210400043102A104000068F
+:103EB000000000008E4200048E23003800431023CF
+:103EC0000A000F83000220439622005C3042FFFF6D
+:103ED000000220403C02800834430100344200804C
+:103EE000ACA4002CA040002424020001A062000C1D
+:103EF0000E000F3700000000104000518FBF001C63
+:103F00003C0280008C4401408FBF001C8FB200181F
+:103F10008FB100148FB000100A000F4827BD002099
+:103F20009222000924030010304200FF14430004D1
+:103F30003C0280008C4401400A000FC5000028218B
+:103F40009222000924030016304200FF14430006A9
+:103F500024020014A22200093C0280008C4401408B
+:103F60000A000FD88FBF001C8E2200388E23003C21
+:103F700000431023044100328FBF001C922200270F
+:103F800024420001A2220027922200273C030800BD
+:103F90008C630048304200FF144300163C10800040
+:103FA0009222000924030004304200FF1443000958
+:103FB0003C0280008C4401408FBF001C8FB200186F
+:103FC0008FB100148FB00010240500930A000EA5D5
+:103FD00027BD00208C440140240500938FBF001CA6
+:103FE0008FB200188FB100148FB000100A000F219B
+:103FF00027BD00208E0401400E00031500000000C4
+:104000008E4200042442FFFFAE4200048E22003C98
+:104010002442FFFFAE22003C0E0003208E0401402C
+:104020008E0401408FBF001C8FB200188FB10014A6
+:104030008FB00010240500040A00032527BD0020CE
+:104040008FB200188FB100148FB0001003E0000889
+:1040500027BD00203C0680008CC201883C038008FC
+:10406000346500809063000E00021402304400FFAB
+:10407000306300FF1464000E3C02800890A200260A
+:10408000304200FF104400098F82FFACA0A400263C
+:104090002403005090420000304200FF1443000609
+:1040A000000000000A00058C8CC401803C028008DE
+:1040B00034420080A044002603E000080000000015
+:1040C00027BDFFE030E700FFAFB20018AFBF001C14
+:1040D000AFB10014AFB000100080902114E00006D2
+:1040E00030C600FF000000000000000D00000000CE
+:1040F0000A001037240001173C0380089062000E6C
+:10410000304200FF144600233462008090420026B3
+:10411000304200FF1446001F000000009062000FB4
+:10412000304200FF1446001B000000009062000AAD
+:10413000304200FF144600038F90FFAC0000000DDA
+:104140008F90FFAC8F82FFB03C118000AE05003C29
+:10415000AC450000A066000A0E0003158E24010085
+:10416000A20000240E0003208E2401003C038000E6
+:104170008C6201F80440FFFE24020002AC7201C010
+:10418000A06201C43C021000AC6201F80A001038C1
+:104190008FBF001C000000000000000D00000000A8
+:1041A000240001408FBF001C8FB200188FB1001493
+:1041B0008FB0001003E0000827BD00208F83FFAC04
+:1041C0003C0280008C440100344201008C65003CBC
+:1041D0009046001B0A000FFE240700013C028008E5
+:1041E0009043000E9042000A00431026304200FF28
+:1041F00003E000080002102B27BDFFE03C0280080E
+:10420000AFB10014AFB00010AFBF00183450008041
+:104210009202000524030030304200301443008431
+:10422000008088213C0208008C4200201040008160
+:104230008FBF00180E000D71000000008F86002C4B
+:10424000ACD100009202000892030009304200FF46
+:1042500000021200306300FF00431025ACC20004CE
+:104260009202004D000216000002160304410005F0
+:10427000000000003C0308008C6300480A00107630
+:104280003C108008920200083042004014400003B5
+:104290000000182192020027304300FF3C108008E4
+:1042A000361100809222004D00031E003C050800DC
+:1042B00094A54BFE304200FF000214000062182556
+:1042C000ACC300088E2300303C02C00B00A228259E
+:1042D000ACC3000C8E22003400002021ACC20010C0
+:1042E0008E220038ACC200148E22003CACC20018F2
+:1042F0000E000D98ACC5001C8E0200048F84002CAB
+:104300003C058000AC8200008E220020AC820004BC
+:104310008E22001CAC8200088E2200588CA30074F0
+:1043200000431021AC82000C8E22002CAC820010C5
+:104330008E2200408E23004400021400004310250A
+:10434000AC8200149222004D24030080304200FF12
+:1043500014430003000000000A0010B8AC800018ED
+:104360008E23000C240200011062000E2402FFFFC5
+:1043700092220008304200401440000A2402FFFF4D
+:104380008E23000C8CA20074006218233C020800EB
+:10439000006210241440000200002821006028213F
+:1043A00000051043AC8200183C02080094424BFE0A
+:1043B0003C03C00C00002021004310258F83002CFB
+:1043C0000E000D98AC62001C3C0480083482010091
+:1043D0008C4200008F83002C348400803C0608004F
+:1043E00094C64BFEAC620000AC6000048C850048B3
+:1043F0003C02400D00C23025AC650008AC60000CEA
+:10440000AC600010908200058FBF00188FB10014BF
+:1044100000021600AC6200148FB00010AC600018EF
+:1044200024040001AC66001C0A000D9827BD002082
+:104430008FBF00188FB100148FB0001003E0000888
+:1044400027BD00203C0280009443007C3C02800891
+:1044500034460100308400FF3065FFFF2402000570
+:1044600024A34650A0C4000C5482000C3065FFFF0A
+:1044700090C2000D2C4200071040000724A30A0040
+:1044800090C3000D240200140062100400A2102149
+:104490000A0010F53045FFFF3065FFFF3C02800841
+:1044A0003442008003E00008A44500143C03800867
+:1044B00034680080AD050038346701008CE2001CD0
+:1044C000308400FF00A210231840000330C600FF14
+:1044D00024A2FFFCACE2001C308200015040000826
+:1044E0003C0380088D02003C00A21023044100120E
+:1044F000240400058C62000410A2000F3C03800815
+:104500008C62000414A20018000000003C020800A5
+:104510008C4200D830420020104000093C02800844
+:1045200034620080906300089042004C1443000401
+:104530003C028008240400040A0010DF0000000090
+:104540003443008034420100A040000C24020001EA
+:10455000A462001410C000043C0280008C440100DE
+:104560000A000F480000000003E0000800000000FF
+:1045700027BDFFE800A61823AFBF00101860004E4B
+:10458000308800FF3C02800834470080A0E000240F
+:1045900034440100A0E000278C82001C00A21023FC
+:1045A0000440002B000000008CE2003C94E3005C1F
+:1045B0008CE4002C004530233063FFFF00C318213A
+:1045C0000083202B1080000400E018218CE2002CD6
+:1045D0000A00114800A2102194E2005C3042FFFF63
+:1045E00000C2102100A21021AC62001C3C02800815
+:1045F0003447008094E2005C8CE3001C3042FFFFF3
+:104600000002104000A210210043102B10400003B4
+:10461000000000000A0011598CE2001C94E2005CCA
+:104620003042FFFF0002104000A21021ACE2001C4B
+:104630003C028008344201008FBF0010010020219D
+:1046400024060001A040000C0A0010F927BD001844
+:104650008CE2001C004610230043102B5440000144
+:10466000ACE5001C94E2005C3042FFFF0062102BBE
+:10467000144000072402000294E2005C8CE3001C5A
+:104680003042FFFF00621821ACE3001C240200024C
+:10469000ACE500380E000F37A082000C1040001867
+:1046A0008FBF00103C0280008C4401008FBF0010BF
+:1046B0000A000F4827BD00183102001010400010FA
+:1046C0008FBF00103C028008344500808CA3001C82
+:1046D00094A2005C006618233042FFFF006218219C
+:1046E0003C023FFF3444FFFF0083102B5440000185
+:1046F0000080182100C31021ACA2001C8FBF001045
+:1047000003E0000827BD001827BDFFE800C04021D6
+:1047100000A63023AFBF001018C00026308A00FF6B
+:104720003C028008344900808D24001C8D23002C1D
+:10473000008820230064182B1060000F344701000C
+:104740008CE2002000461021ACE200208CE2002028
+:104750000044102B1440000B3C023FFF8CE2002071
+:1047600000441023ACE200209522005C3042FFFFA1
+:104770000A0011AE00822021ACE00020008620213A
+:104780003C023FFF3443FFFF0064102B5440000104
+:10479000006020213C0280083442008000851821FE
+:1047A000AC43001CA0400024A04000270A0011FDDB
+:1047B0008FBF001031420010104000408FBF00102A
+:1047C0003C06800834C400808C82003C00481023E2
+:1047D0005840003B014020219082002424420001E7
+:1047E000A0820024908200243C0308008C630024F3
+:1047F000304200FF0043102B544000348FBF0010A4
+:1048000034C201008C42001C00A210231840002F6B
+:104810008FBF00108CC300049482005C00681823D2
+:104820003042FFFF00031843000210400043102AEB
+:1048300010400005000000008CC200040048102356
+:104840000A0011E3000210439482005C3042FFFF33
+:10485000000210403C068008AC82002C34C5008069
+:1048600094A2005C8CA4002C94A3005C3042FFFF57
+:1048700000021040008220213063FFFF00832021CE
+:1048800001041021ACA2001C8CC2000434C601003B
+:10489000ACC2001C240200020E000F37A0C2000CA4
+:1048A0001040000A8FBF00103C0280008C440100C1
+:1048B0008FBF00100A000F4827BD001801402021BB
+:1048C000240600010A0010F927BD001803E00008C3
+:1048D00027BD00183C098008352A00808D42003C25
+:1048E000308300FF352B01000046102330E700FF26
+:1048F000184000060060202124020001A160000C85
+:10490000A160000D0A001190A542001424020005C8
+:10491000352901000000302114E20008A1400027E1
+:104920009122000D2C4200061040000400000000FF
+:104930009122000D24420001A122000D0A0010F96D
+:10494000000000003C0380083C028000344201006B
+:1049500034640080346301009045000A8C480014E0
+:104960008C8900389062000C30AA00FF01281823BF
+:10497000304700FF1C60000E2CE4000624020001FA
+:1049800000E210041080000A30430003014020219F
+:10499000010028210120302114600007304B000C59
+:1049A000156000073042003014400007000000008E
+:1049B00003E00008000000000A0011900000000061
+:1049C0000A00112A000000000A0012030000000083
+:1049D0003C0380083464010024020003A082000C20
+:1049E0008C62000403E00008AC82001C3C058008D7
+:1049F00034A300809062002734A501002406004300
+:104A000024420001A0620027906300273C020800B6
+:104A10008C420048306300FF146200043C076021B0
+:104A200094A500DA0A0008EE30A5FFFF03E00008B5
+:104A30000000000027BDFFE8AFBF00103C0280006F
+:104A40000E0012498C4401803C028008344301006E
+:104A5000A060000C8C4200048FBF001027BD00181E
+:104A600003E00008AC62001C27BDFFE03C028008A8
+:104A7000AFBF0018AFB10014AFB0001034450080D4
+:104A8000344601003C0880008D09014090C3000CB1
+:104A90008CA4003C8CA2003814820038306700FFE0
+:104AA0009502007C90A30027146000093045FFFFA9
+:104AB0002402000554E200083C04800890C2000D66
+:104AC00024420001A0C2000D0A0012843C048008A8
+:104AD000A0C0000D3C048008348201009042000C0C
+:104AE00024030005304200FF1443000A24A205DC21
+:104AF00034830080906200272C420007504000055C
+:104B000024A20A009063002724020014006210040B
+:104B100000A210213C108008361000803045FFFFB5
+:104B2000012020210E001249A60500149602005C07
+:104B30008E0300383C1180003042FFFF000210401D
+:104B400000621821AE03001C0E0003158E240140E4
+:104B50009202002534420040A20200250E000320EC
+:104B60008E2401408E2401408FBF00188FB10014A5
+:104B70008FB000100A000F4827BD00208FBF00181B
+:104B80008FB100148FB0001003E0000827BD002093
+:104B90008008010080080080800800008008024032
+:104BA00008000EF008000F4808000F8C08001020C5
+:104BB0000800106480080100800800808008000060
+:104BC0000A00002000000000000000000000000DAE
+:104BD0006370342E362E31370000000004061104B5
+:104BE00000000000000000000000000000000000C5
+:104BF0000000000038003C00000000000000000041
+:104C00000000000000000000000000200000000084
+:104C10000000000000000000000000000000000094
+:104C20000000000000000000000000000000000084
+:104C30000000000021003800000000010000002BEF
+:104C40000000000010000003000000000000000D44
+:104C50000000000D3C020800244255C43C0308003B
+:104C6000246357F4AC4000000043202B1480FFFD68
+:104C7000244200043C1D080037BD9FFC03A0F02126
+:104C80003C100800261000803C1C0800279C55C4DE
+:104C90000E00026A000000000000000D00A01821B4
+:104CA00000801021008028213C0460003C07600047
+:104CB0002406000810600006348420788C4200002E
+:104CC000ACE220088C63000003E00008ACE3200C99
+:104CD0000A000E1C00000000240300403C0260009B
+:104CE00003E00008AC4320003C0760008F86000012
+:104CF0008CE520740086102100A2182B1460000798
+:104D0000000028218F8AFDEC24050001A144001336
+:104D10008F89000001244021AF88000003E00008D3
+:104D200000A010218F84FDEC8F8500009086001379
+:104D300030C300FF00A31023AF82000003E000088F
+:104D4000A08000138F84FDEC27BDFFE8AFB00010FA
+:104D5000AFBF001490890011908700112402002831
+:104D6000312800FF3906002830E300FF2485002C9D
+:104D70002CD00001106200162484001C0E000037A5
+:104D8000000000008F8FFDEC3C056000240202044F
+:104D900095EE003E95ED003C000E5C0031ACFFFF4F
+:104DA000016C5025ACAA201052000001240200041E
+:104DB000ACA2200000000000000000000000000085
+:104DC0008FBF00148FB0001003E0000827BD00184B
+:104DD0000A00006F000028218F85FDEC27BDFFD859
+:104DE000AFBF0020AFB3001CAFB20018AFB10014CA
+:104DF000AFB000100080982190A4001124B0001CD6
+:104E000024B1002C308300FF386200280E000059C6
+:104E10002C5200010E000061000000000200202161
+:104E20001240000202202821000028210E00003735
+:104E3000000000008F8DFDEC3C0880003C05600008
+:104E400095AC003E95AB003C02683025000C4C0050
+:104E5000316AFFFF012A3825ACA720102402020284
+:104E6000ACA6201452400001240200028FBF002093
+:104E70008FB3001C8FB200188FB100148FB00010D8
+:104E800027BD002803E00008ACA2200027BDFFE0FA
+:104E9000AFB20018AFB10014AFB00010AFBF001C2C
+:104EA0003C1160008E2320748F82000030D0FFFF01
+:104EB00030F2FFFF1062000C2406008F0E00003756
+:104EC000000000003C06801F0010440034C5FF00B5
+:104ED0000112382524040002AE27201000003021E2
+:104EE000AE252014AE2420008FBF001C8FB2001806
+:104EF0008FB100148FB0001000C0102103E0000833
+:104F000027BD002027BDFFE0AFB0001030D0FFFF6D
+:104F1000AFBF0018AFB100140E00003730F1FFFF33
+:104F200000102400009180253C036000AC7020102C
+:104F30008FBF00188FB100148FB00010240200043E
+:104F4000AC62200027BD002003E000080000102113
+:104F500027BDFFE83C0C6018AFBF00108D985000D3
+:104F60002419FF7F3C0880000319782435EE380CA3
+:104F7000340D8071240A003124090C00AD8E5000DC
+:104F80003C0B800AAD8D53BCAD0A0008AD0900246E
+:104F90000E00048DAF8B002C0E00044B00000000AF
+:104FA0000E000046000000003C0760008CE5080889
+:104FB0002406FFF03C03570900A620243462F000C9
+:104FC00010820048241F0001AF8000380E000BAE95
+:104FD000000000003C0660168CC700003C0860140E
+:104FE0008D0500A03C03FFFF00E320243C02535347
+:104FF00000051FC21082003534C57C0094A201F266
+:10500000A780005010400003A7800060384C1E1E8F
+:10501000A78C005094A201F8104000048F8E003835
+:10502000384D1E1EA78D00608F8E003811C0000401
+:1050300097840060240F0020A78F005097840060A1
+:105040002C980081530000012404008097850050B3
+:105050002CB9040153200001240504003C03600026
+:105060008C670438241F103C30E2FFFF105F000300
+:105070003088FFFF5100000B24060050A38000621F
+:10508000938900621120000B8FBF001027BD00180C
+:10509000A7800060A7800050A780005803E00008A8
+:1050A000A7800076A3860062938900621520FFF72F
+:1050B0008FBF001027BD0018A7840060A78500508F
+:1050C000A780005803E00008A780007600035880FE
+:1050D000016650218D4900043C0660000A00010F62
+:1050E000012628210A000103AF9F00383083FFFF0B
+:1050F0008F88002C8F870028000321403C0580000A
+:105100003C020050008248253C0660003C0A010039
+:1051100034AC04008CCD08E001AA582411600005CD
+:10512000000000008CCF08E024E7000101EA7025B0
+:10513000ACCE08E08D19001001805821ACB90038C0
+:105140008D180014ACB8003CACA900300000000081
+:10515000000000000000000000000000000000004F
+:105160000000000000000000000000003C03800080
+:105170008C640000308200201040FFFD3C0F600076
+:105180008DED08E03C0E010001AE18241460FFE133
+:1051900000000000AF87002803E00008AF8B003C50
+:1051A0008F85002C240BFFF03C06800094A7001A8A
+:1051B0008CA9002430ECFFFF000C38C000EB502419
+:1051C000012A4021ACC8003C8CA400248CC3003CC4
+:1051D0000083102318400033000000008CAD002035
+:1051E00025A200013C0F0050ACC2003835EE001083
+:1051F0003C068000ACCE0030000000000000000043
+:10520000000000000000000000000000000000009E
+:1052100000000000000000003C0480008C990000A9
+:10522000333800201300FFFD30E200081040001763
+:105230003C0980008C880408ACA800108C83040C06
+:10524000ACA300143C1900203C188000AF190030BA
+:1052500094AE001894AF001C01CF3021A4A6001812
+:1052600094AD001A25A70001A4A7001A94AB001A58
+:1052700094AC001E118B00030000000003E0000846
+:105280000000000003E00008A4A0001A8D2A04001A
+:10529000ACAA00108D240404ACA400140A0001A2DE
+:1052A0003C1900208CA200200A00018A3C0F00500B
+:1052B0000A000178000000003C0308008C63002015
+:1052C0008F82003427BDFFE810620008AFBF0010D6
+:1052D0000E0001BCAF8300343C0308008C63002047
+:1052E00024040001106400048F8C002C8FBF001078
+:1052F00003E0000827BD00188FBF00103C058000A8
+:10530000A580000A958B000A958A000427BD001825
+:105310003167FFFF3149000F0009450000E83025E3
+:1053200003E00008ACA600803C0208008C4200208C
+:1053300027BDFFC8AFBF0034AFBE0030AFB7002CF1
+:10534000AFB60028AFB50024AFB40020AFB3001C47
+:10535000AFB20018AFB1001410400053AFB000104E
+:105360008F84002C948600069483000A00C32823AF
+:1053700030B6FFFF12C0004D8FBF00349489001873
+:10538000948A000A012A40233102FFFF02C2382B0F
+:1053900014E0000202C02021004020212C8C0005D6
+:1053A000158000020080A021241400040E00014B8F
+:1053B000028020218F87002C02809821AF8000304E
+:1053C00094ED000A028088211280005131B2FFFF63
+:1053D0003C1770003C1540003C1E60008F8F003C65
+:1053E0008DEE000001D718245075005302202021B3
+:1053F00002A3802B160000383C1820001078004AC9
+:1054000000000000241000018F8300301460003C75
+:10541000029158230230F8230250C82133F1FFFFD4
+:105420001620FFEE3332FFFF8F87002C3C12002046
+:105430003C118000AE32003094EA000A3C17800034
+:10544000026A4821A4E9000A94E3000A94E80004EF
+:105450003065FFFF3106000F0006150000A2F025A1
+:10546000AEFE008094F4000A94F5001812B4003ADD
+:105470000013C9408CF800148CEE00100319582159
+:10548000000078210179682B01CF6021018D202156
+:10549000ACEB0014ACE4001002D3382330F6FFFF6D
+:1054A00016C0FFB68F84002C8FBF00348FBE003033
+:1054B0008FB7002C8FB600288FB500248FB4002042
+:1054C0008FB3001C8FB200188FB100148FB0001082
+:1054D00003E0000827BD0038107E001B000000001C
+:1054E0001477FFC9241000010E0014E00000000032
+:1054F0008F8300301060FFC80230F82302915823D8
+:105500008F87002C017020210A0002553093FFFF85
+:105510008F8300301460FFC83C1200203C118000D3
+:105520000A00021EAE3200300E0003CE02202021FF
+:105530000A000212004080210E0007C60240282106
+:105540000A000212004080210E000D7D0220202161
+:105550000A000212004080210E00017800000000C5
+:105560000A00023702D3382327BDFFD0AFB500248D
+:10557000AFB40020AFB3001CAFB20018AFB100143D
+:10558000AFB00010AFBF00280E0000E43C14800054
+:105590003C0280083C0320003C010800AC20007065
+:1055A00034550080347200032413000136900070DB
+:1055B0002411FF800A0002858E0600003C1980003D
+:1055C0008F3800003B0F000131E200011040002540
+:1055D0008F8600848E0700003C0D08008DAD003CD6
+:1055E0003C0A08008D4A003800E6702301AE4021D5
+:1055F00000005821010E302B014B482101262021AB
+:105600003C010800AC28003CAF8700843C01080046
+:10561000AC2400380E0001BE000000003C0508006C
+:105620008CA5007010A0FFE500A020213C0508001B
+:105630008CA500683C0608008CC6006C0E00148324
+:10564000000000003C010800AC2000703C19800004
+:105650008F3800003B0F000131E200011440FFDDF4
+:105660008F8600848E0C00008F8D00843C0708001C
+:105670008CE7003C3C0608008CC60038018D58239E
+:1056800000EB282100AB202B00C24821012410216F
+:105690003C010800AC25003C3C0880003C010800AF
+:1056A000AC2200388D030100241F0C00107F00265F
+:1056B000000000008D180100240E0020AD1800200D
+:1056C00092AF000031E300FF106E00232419005058
+:1056D00010790026000000003C0480008C88010046
+:1056E0001500000300000000566000143C04400058
+:1056F0008C9901008C8F0100000098210331C02497
+:105700000018694031EE007F01AE602501925825F6
+:10571000AC8B08308C8701008C89010024EA0100E1
+:1057200001513024000629403123007F00A31025B9
+:105730000052F825AC9F08303C044000AE8401388C
+:105740000A00027D000000000E0001DA00000000E7
+:105750000A0002C73C0480008D0401000E00077F90
+:10576000000000000A0002C73C0480008D04010014
+:105770000E00139B000000000A0002C73C048000DA
+:1057800000A4102B24030001104000090000302168
+:105790000005284000A4102B04A0000300031840BB
+:1057A0005440FFFC000528405060000A0004182BFC
+:1057B0000085382B54E000040003184200C3302554
+:1057C00000852023000318421460FFF900052842D9
+:1057D0000004182B03E0000800C310213084FFFFF1
+:1057E00030C600FF3C0780008CE201B80440FFFE99
+:1057F00000064C00012430253C08200000C820256C
+:105800003C031000ACE00180ACE50184ACE401880D
+:1058100003E00008ACE301B83C0660008CC5201C26
+:105820002402FFF030830200308601001060000E79
+:1058300000A2282434A500013087300010E00005C4
+:1058400030830C0034A500043C04600003E0000831
+:10585000AC85201C1060FFFD3C04600034A50008EE
+:1058600003E00008AC85201C54C0FFF334A50002FF
+:105870000A00031F3087300027BDFFE8AFB00010DB
+:10588000AFBF00143C076000240600021080001126
+:1058900000A080218F83003C0E0003168C6400184A
+:1058A0008F82003C00002021240600018C45000C62
+:1058B0000E0003070000000016000002240200038F
+:1058C000000010218FBF00148FB0001003E000080B
+:1058D00027BD00188CE8201C2409FFF001092824AA
+:1058E000ACE5201C8F87003C0A00033C8CE5000CD3
+:1058F0003C02600E0080402134460100240900185B
+:105900000000000000000000000000003C0A005001
+:105910003C03800035470200AC6800383464040062
+:10592000AC65003CAC6700308C6C0000318B002013
+:105930001160FFFD2407FFFF2403007F8C8D000012
+:105940002463FFFF24840004ACCD00001467FFFB38
+:1059500024C6000400000000000000000000000059
+:1059600024A402000085282B3C0300203C0E80006C
+:105970002529FFFF01054021ADC300301520FFE0C0
+:105980000080282103E00008000000008F82003C16
+:1059900027BDFFD8AFB3001CAFBF0020AFB20018C7
+:1059A000AFB10014AFB000109446000200809821FF
+:1059B0008C5200182CC300818C4800048C470008CE
+:1059C0008C51000C8C490010106000078C4A0014A8
+:1059D0002CC400041480001330EB000730C5000312
+:1059E00010A00010000000002410008B02002021F5
+:1059F000022028210E00030724060003166000027F
+:105A000024020003000010218FBF00208FB3001C70
+:105A10008FB200188FB100148FB0001003E000089F
+:105A200027BD00281560FFF12410008B3C0C80007E
+:105A30003C030020241F0001AD830030AF9F0030E5
+:105A40000000000000000000000000002419FFF02A
+:105A500024D8000F031978243C1000D0AD880038FA
+:105A600001F0702524CD00033C08600EAD87003C9A
+:105A700035850400AD8E0030000D38823504003CC1
+:105A80003C0380008C6B0000316200201040FFFD61
+:105A90000000000010E0000824E3FFFF2407FFFFE0
+:105AA0008CA800002463FFFF24A50004AC8800003C
+:105AB0001467FFFB248400043C04600EAC860038AD
+:105AC0000000000000000000000000003C07002073
+:105AD0003C0680000120202101402821ACC7003075
+:105AE0000E00034C000080210E000316024020210E
+:105AF0000A00038C0200202127BDFFD8AFB2001896
+:105B00003092FFFFAFB10014AFBF0020AFB3001C55
+:105B1000AFB000101240002D000088210A0003E2FF
+:105B20002413000350B300428CE5000C0000000D6C
+:105B3000263900013331FFFF24F800200232382BD0
+:105B400010E00022AF98003C8F8200301440001F0C
+:105B50008F87003C3C0670003C0320008CE4000072
+:105B60000086282414A300198F85004400044402F1
+:105B70003C0980000089802414A0FFEA310600FF60
+:105B8000240A000210CA003028CB0003116000175D
+:105B9000000000002404000114C4FFE626390001BF
+:105BA000020028210E00032E240400018F87003CF0
+:105BB000AF820044263900013331FFFF24F8002072
+:105BC0000232382B14E0FFE0AF98003C0220102195
+:105BD0008FBF00208FB3001C8FB200188FB100144C
+:105BE0008FB0001003E0000827BD002810D3001B71
+:105BF000240C000414CCFFCF26390001308DFFFFA8
+:105C0000000D19C03C0480008C8E01B805C0FFFE59
+:105C10003C0F10003C102004AC830180AC80018458
+:105C2000AC900188AC8F01B80A0003DD2639000171
+:105C30000E000307240400841600FFBD8F87003C7C
+:105C40000A0003DCAF800044020028210E00032E6E
+:105C5000000020210A0003FC8F87003C0E00037324
+:105C6000020020218F87003C0A0003FDAF82004420
+:105C7000000449C23127003F000443423C02800037
+:105C800000082040240316802CE60020AC43002CA2
+:105C900024EAFFE02482000114C0000330A900FFC1
+:105CA00000801021314700FF000260803C0D800021
+:105CB000240A0001018D20213C0B000E00EA28047B
+:105CC000008B302111200005000538278CCE000004
+:105CD00001C5382503E00008ACC700008CD80000DF
+:105CE0000307782403E00008ACCF000027BDFFE0E5
+:105CF000AFB10014AFB00010AFBF00183C07600098
+:105D00008CE408083402F0003C1160003083F0009D
+:105D1000240501C03C04800E000030211062000602
+:105D2000241000018CEA08083149F0003928E0000D
+:105D30000008382B000780403C0D0200AE2D0814EF
+:105D4000240C16803C0B80008E2744000E000E268B
+:105D5000AD6C002C120000043C02169124050001D9
+:105D6000120500103C023D6C345800E0AE38440887
+:105D70003C1108008E31007C8FBF00183C0660008B
+:105D800000118540360F16808FB100148FB00010BF
+:105D90003C0E020027BD0020ACCF442003E00008E9
+:105DA000ACCE08103C0218DA345800E0AE38440893
+:105DB0003C1108008E31007C8FBF00183C0660004B
+:105DC00000118540360F16808FB100148FB000107F
+:105DD0003C0E020027BD0020ACCF442003E00008A9
+:105DE000ACCE08100A00042C240500010A00042C83
+:105DF0000000282124020400A782000CA7800004D0
+:105E0000000020213C06080024C656582405FFFF48
+:105E100024890001000440803124FFFF010618217D
+:105E20002C87002014E0FFFAAC6500002404040075
+:105E3000A784000EA7800006000020213C06080071
+:105E400024C656D82405FFFF248D0001000460807D
+:105E500031A4FFFF018658212C8A00201540FFFA4B
+:105E6000AD650000A7800010A7800008A780000A89
+:105E7000000020213C06080024C657582405FFFFD7
+:105E8000249900010004C0803324FFFF0306782119
+:105E90002C8E000415C0FFFAADE500003C05600043
+:105EA0008CA73D002403E08F00E31024344601401A
+:105EB00003E00008ACA63D002487007F000731C244
+:105EC00024C5FFFF000518C2246400013082FFFFD3
+:105ED000000238C0A78400183C010800AC2700303D
+:105EE000AF80001400002821000020210000302194
+:105EF0002489000100A728213124FFFF2CA81701C5
+:105F0000110000032C8300801460FFF924C60001F7
+:105F100000C02821AF86001410C0001DA786001203
+:105F200024CAFFFF000A11423C0808002508575800
+:105F30001040000A00002021004030212407FFFF0C
+:105F4000248E00010004688031C4FFFF01A8602195
+:105F50000086582B1560FFFAAD87000030A2001FA5
+:105F60005040000800043080240300010043C804AE
+:105F700000041080004878212738FFFF03E0000864
+:105F8000ADF8000000C820212405FFFFAC8500000B
+:105F900003E000080000000030A5FFFF30C6FFFF4F
+:105FA00030A8001F0080602130E700FF0005294273
+:105FB0000000502110C0001D24090001240B000125
+:105FC00025180001010B2004330800FF0126782664
+:105FD000390E00202DED00012DC2000101A218256F
+:105FE0001060000D014450250005C880032C40219D
+:105FF0000100182110E0000F000A20278D04000086
+:10600000008A1825AD03000024AD000100004021E6
+:106010000000502131A5FFFF252E000131C9FFFFEF
+:1060200000C9102B1040FFE72518000103E000080D
+:10603000000000008D0A0000014440240A000512FF
+:10604000AC68000027BDFFE830A5FFFF30C6FFFFAA
+:10605000AFB00010AFBF001430E7FFFF00005021C9
+:106060003410FFFF0000602124AF001F00C0482152
+:10607000241800012419002005E0001601E0102179
+:106080000002F943019F682A0009702B01AE4024E9
+:1060900011000017000C18800064102110E00005AA
+:1060A0008C4B000000F84004000838230167582496
+:1060B00000003821154000410000402155600016C5
+:1060C0003169FFFF258B0001316CFFFF05E1FFEC1B
+:1060D00001E0102124A2003E0002F943019F682A3A
+:1060E0000009702B01AE40241500FFEB000C188056
+:1060F000154600053402FFFF020028210E0004F6B9
+:1061000000003821020010218FBF00148FB0001052
+:1061100003E0000827BD00181520000301601821C6
+:10612000000B1C0224080010306A00FF1540000517
+:10613000306E000F250D000800031A0231A800FF81
+:10614000306E000F15C00005307F000325100004DD
+:1061500000031902320800FF307F000317E000053A
+:10616000386900012502000200031882304800FF50
+:10617000386900013123000110600004310300FF81
+:10618000250A0001314800FF310300FF000C69407F
+:1061900001A34021240A000110CAFFD53110FFFFDE
+:1061A000246E000131C800FF1119FFC638C9000173
+:1061B0002D1F002053E0001C258B0001240D000141
+:1061C0000A000589240E002051460017258B000186
+:1061D00025090001312800FF2D090020512000125F
+:1061E000258B000125430001010D5004014B1024B3
+:1061F000250900011440FFF4306AFFFF3127FFFF3B
+:1062000010EE000C2582FFFF304CFFFF00005021F4
+:106210003410FFFF312800FF2D0900205520FFF228
+:1062200025430001258B0001014648260A0005434D
+:10623000316CFFFF00003821000050210A00059555
+:106240003410FFFF27BDFFD8AFB0001030F0FFFFC4
+:10625000AFB10014001039423211FFE00007108086
+:10626000AFB3001C00B1282330D3FFFFAFB200183A
+:1062700030A5FFFF008090210260302100442021E2
+:10628000AFBF00200E0005213207001F0222882127
+:106290003403FFFF02402021020028210260302148
+:1062A00000003821104300093231FFFF0220102185
+:1062B0008FBF00208FB3001C8FB200188FB1001465
+:1062C0008FB0001003E0000827BD00280E00052154
+:1062D0000000000000408821022010218FBF002014
+:1062E0008FB3001C8FB200188FB100148FB0001054
+:1062F00003E0000827BD0028000424003C036000E0
+:10630000AC603D0810A000023482100634821016E2
+:1063100003E00008AC623D0427BDFFE0AFB0001011
+:10632000309000FF2E020006AFBF0018104000089A
+:10633000AFB10014001030803C030800246353B454
+:1063400000C328218CA40000008000080000000089
+:10635000000020218FBF00188FB100148FB00010F3
+:106360000080102103E0000827BD00209791001253
+:1063700016200051000020213C020800904200330A
+:106380000A0005FC00000000978D000E15A00031EA
+:10639000000020210A0005FC240200089787000C59
+:1063A00014E0001A000018210060202124020001DE
+:1063B0001080FFE98FBF0018000429C2004530217A
+:1063C00000A6582B1160FFE43C0880003C07200029
+:1063D000000569C001A76025AD0C00203C038008C2
+:1063E0002402001F2442FFFFAC6000000441FFFDB7
+:1063F0002463000424A5000100A6702B15C0FFF53E
+:10640000000569C00A0005E68FBF001897870004E1
+:106410003C04080024845658240504000E0005A1FD
+:1064200024060001978B000C24440001308AFFFFF2
+:106430002569FFFF2D480400004028211500004079
+:10644000A789000C24AC3800000C19C00A0005FA1A
+:10645000A7800004978700063C040800248456D8CF
+:10646000240504000E0005A1240600019799000EE2
+:10647000244400013098FFFF272FFFFF2F0E040058
+:106480000040882115C0002CA78F000EA7800006B1
+:106490003A020003262401003084FFFF0E0005CEDF
+:1064A0002C4500010011F8C027F00100001021C0A8
+:1064B0000A0005FC24020008978500169787000A49
+:1064C0003C040800248457580E0005A1240600014E
+:1064D000978700128F8900142445000130A8FFFF20
+:1064E00024E3FFFF0109302B0040802114C0001875
+:1064F000A7830012A780000A978500180E000E10CF
+:1065000002002021244A05003144FFFF0E0005CE81
+:10651000240500013C05080094A500320E000E1071
+:1065200002002021244521003C0208009042003353
+:106530000A0005FC000521C00A000634A7840006F5
+:1065400024AC3800000C19C00A0005FAA784000426
+:106550000A00064EA785000A308400FF27BDFFE829
+:106560002C820006AFBF0014AFB000101040001521
+:1065700000A03821000440803C030800246353CC71
+:10658000010328218CA40000008000080000000006
+:1065900024CC007F000751C2000C59C23170FFFFAC
+:1065A0002547C40030E5FFFF2784000402003021A6
+:1065B0000E0004F624070001978600100206202131
+:1065C000A78400108FBF00148FB0001003E00008F4
+:1065D00027BD00183C0508008CA50030000779C2D3
+:1065E0000E0002F025E4DF003045FFFF3C04080008
+:1065F00024845758240600010E0004F624070001E5
+:10660000978E00128FBF00148FB0001025CD0001AF
+:1066100027BD001803E00008A78D00120007C9C2BB
+:106620002738FF00001878C231F0FFFF3C04080053
+:10663000248456D802002821240600010E0004F606
+:1066400024070001978D000E260E0100000E840025
+:1066500025AC00013C0B6000A78C000EAD603D082E
+:1066600036040006000030213C0760008CE23D0447
+:10667000305F000617E0FFFD24C9000100061B0083
+:10668000312600FF006440252CC50004ACE83D0421
+:1066900014A0FFF68FBF00148FB0001003E00008B5
+:1066A00027BD0018000751C22549C8002406000173
+:1066B000240700013C040800248456580E0004F608
+:1066C0003125FFFF9787000C8FBF00148FB000109B
+:1066D00024E6000127BD001803E00008A786000C8F
+:1066E0003084FFFF30A5FFFF3C0680008CC201B85C
+:1066F0000440FFFE3C084080008838253C03100021
+:10670000ACC00180ACC50184ACC7018803E00008BF
+:10671000ACC301B83084FFFF3C0680008CC201B8D6
+:106720000440FFFE3C0840388CA700000088282564
+:106730003C031000ACC70180ACC5018803E0000831
+:10674000ACC301B88F83005C8F8600541066000BC9
+:10675000008040213C07080024E75768000328C058
+:1067600000A710218C44000024630001108800055C
+:106770003063000F5466FFFA000328C003E00008EE
+:10678000000010213C07080024E7576C00A73021C7
+:1067900003E000088CC200003C039000346200015A
+:1067A000008220253C038000AC6400208C65002022
+:1067B00004A0FFFE0000000003E00008000000004D
+:1067C0003C028000344300010083202503E00008E0
+:1067D000AC44002027BDFFE0AFB100143091FFFFB3
+:1067E000AFB00010AFBF00181220001200A080212F
+:1067F0008CA5000014A00011240400023C068000B7
+:106800008CC201B80440FFFE3C074000022720254F
+:106810008FBF00188FB100148FB000103C03100020
+:1068200027BD0020ACC50180ACC4018803E000088E
+:10683000ACC301B80A00070F8CA500000E00066665
+:1068400024060200000028210A00070FAE00000005
+:106850003087FFFF3C0680008CC201B80440FFFE79
+:106860003C0A40068CA9000000EA4025ACC9018022
+:106870008CA400043C031000ACC40184ACC80188A3
+:1068800003E00008ACC301B88F83FDE827BDFFE833
+:10689000AFBF0014AFB00010906700080080102157
+:1068A0000080282130E600400000202110C00008B0
+:1068B0008C5000000E0000860200202102002021E2
+:1068C0008FBF00148FB000100A00048927BD001884
+:1068D0000E000724000000000E00008602002021A8
+:1068E000020020218FBF00148FB000100A0004891D
+:1068F00027BD001827BDFFE0AFB000108F90FDE866
+:10690000AFBF001CAFB20018AFB100149206000177
+:10691000008088210E0006F630D2000492040005A3
+:10692000001129C2A605000034830040A20300051F
+:106930000E000700022020210E00048B02202021DF
+:1069400024020001AE02000C02202821A602001041
+:1069500024040002A602001224060200A60200146B
+:106960000E000666A60200161640000F8FBF001C20
+:10697000978C00583C0B08008D6B00782588FFFF32
+:106980003109FFFF256A0001012A382B10E00006BB
+:10699000A78800583C0F6006240E001635ED001045
+:1069A000ADAE00508FBF001C8FB200188FB1001425
+:1069B0008FB0001003E0000827BD002027BDFFE0D6
+:1069C000AFBF0018AFB10014AFB000100E0006F654
+:1069D000008088218F85FDE80220202190A30005FA
+:1069E0000E000700307000FF2402003E1202000576
+:1069F0008FBF00188FB100148FB0001003E00008A3
+:106A000027BD00203C0580008CA401780480FFFE97
+:106A1000240700073C061000ACB1014002202021F1
+:106A2000A0A701448FBF00188FB100148FB00010D1
+:106A3000ACA601780A00074D27BD002027BDFFE066
+:106A4000AFB00010AFBF0018AFB100143C10800011
+:106A50008E110020000000000E00048BAE04002008
+:106A6000AE1100208FBF00188FB100148FB000103E
+:106A700003E0000827BD00203084FFFF3C068000B3
+:106A80008CC201B80440FFFE3C0840350088382520
+:106A90003C031000ACC50180ACC00184ACC70188C8
+:106AA00003E00008ACC301B83084FFFF3C0680005F
+:106AB0008CC201B80440FFFE3C08403600883825EF
+:106AC0003C031000ACC50180ACC00184ACC7018898
+:106AD00003E00008ACC301B827BDFFD0AFB5002468
+:106AE0003095FFFFAFB60028AFB40020AFBF002C39
+:106AF000AFB3001CAFB20018AFB10014AFB00010BC
+:106B000030B6FFFF12A000270000A0218F92003CAA
+:106B10008E4300003C0680002402004000033E0239
+:106B200000032C0230E4007F006698241482001DCC
+:106B300030A500FF8F83004C2C68000A5100001024
+:106B40008F860030000358803C0C0800258C53E8E9
+:106B5000016C50218D490000012000080000000058
+:106B600002D448213125FFFF0E0006C8240400840A
+:106B7000166000028F92003CAF80004C8F86003080
+:106B800026580020268F00010300902131F4FFFFDA
+:106B900014C00004AF98003C0295282B14A0FFDC21
+:106BA00000000000028010218FBF002C8FB600284B
+:106BB0008FB500248FB400208FB3001C8FB2001853
+:106BC0008FB100148FB0001003E0000827BD003023
+:106BD0002407003414A70146000000009247000E6D
+:106BE0008F99FDEC8F90FDE824181600A32700195B
+:106BF000924A000D3C0880003C07800CA32A001834
+:106C0000964400123C0F60003C117FFFA604005C1C
+:106C1000965F00103622FFFF240A000533E5FFFFD0
+:106C2000AE0500548E46001CAD1800288CE900000B
+:106C30008DEE44480126682601CD3021AE0600388D
+:106C40008E03003824CB00013C0E7F00AE03003CD5
+:106C50008E0C003CAF2C0004AE0B00208E130020E5
+:106C6000AE13001CA320001BAE02002CA32A0012AE
+:106C70008E44001424130050AE0400348E1F0034E0
+:106C8000AF3F00148E450018AE0500489258000C26
+:106C9000A218004E920F000835E90020A209000852
+:106CA0008E0D001801AE1824346C4000AE0C001894
+:106CB000920B0000317200FF125302AD2413FF80CB
+:106CC0003C040800248457E80E000732000000004E
+:106CD00024030004240800013C0508008CA557E8A3
+:106CE0003C048000A2030025A20800058C900178D6
+:106CF0000600FFFE8F92003C240E00023C0D1000A7
+:106D0000AC850140A08E0144AC8D01780A0007EFEC
+:106D1000AF80004C2CAD003711A0FF998F8600305A
+:106D2000000580803C1108002631541002117821A2
+:106D30008DEE000001C000080000000024100004D7
+:106D400014B0008E3C0780003C0C08008D8C57E886
+:106D50008F86FDE8ACEC00208E4B00088F98FDEC90
+:106D600024090050ACCB00308E430008ACC3005067
+:106D70008E42000CACC200348E4A0010ACCA0038FF
+:106D80008E440010ACC400548E5F0014ACDF003C95
+:106D90008E590018AF1900048E4F001CACCF002094
+:106DA00090D10000322500FF10A9027D00000000F4
+:106DB0008CD100348CCF0030022F302304C000F37C
+:106DC0002404008C126000F0240200030A0007EF84
+:106DD000AF82004C2418000514B800683C0B8000FA
+:106DE0003C0C08008D8C57E88F86FDE8AD6C0020C8
+:106DF0008E4300048F9FFDEC24072000ACC3001CD1
+:106E00009242000824120008A3E200198F8A003C75
+:106E100091440009A3E400188F85003C90B9000A52
+:106E2000332400FF1092001028880009150000BCD0
+:106E3000240D0002240900201089000B3407800073
+:106E4000289100211620000824074000240F00404C
+:106E5000108F00053C0700012418008010980002E4
+:106E60003C070002240740008CC400183C0AFF00C5
+:106E7000008AF82403E7C825ACD9001890B2000BAB
+:106E8000A0D200278F83003C9465000C10A0023133
+:106E9000000000009467000C3C1F8000A4C7005C49
+:106EA0009062000E2403FFBF24070004A0C2000864
+:106EB0008F8A003C9144000FA0C400098F88003CD9
+:106EC0008D1200108FF9007402592823ACC50058A8
+:106ED0008D180014ACD8002C950F001831F1FFFF6D
+:106EE000ACD100409509001A3130FFFFACD000440E
+:106EF0008D0E001CACCE0048950D0002A4CD00788C
+:106F0000910C000EA0CC000890CB00080163102467
+:106F1000126001D7A0C200088F92003C0A0007EF60
+:106F2000AF87004C2406000614A600143C0E800017
+:106F30003C1008008E1057E88F8CFDE4ADD0002087
+:106F40008E4D00188F86FDE88F8BFDECAD8D000017
+:106F50008CC8003824040005AD8800048CC3003CB4
+:106F600012600081AD6300000A0007EFAF84004C9F
+:106F70002409000710A9004B240400063C05080062
+:106F800024A557E80E000705240400818F92003CD9
+:106F90000013102B0A0007EFAF82004C241F0023C0
+:106FA00014BFFFF63C0C80003C0308008C6357E8DC
+:106FB0008F8BFDECAD8300208F91FDE88E460004A1
+:106FC0002564002026450014AE2600282406000370
+:106FD0000E000E1C257000308F87003C020020211F
+:106FE000240600030E000E1C24E500083C040800E3
+:106FF000248457E80E0007320000000092220000AF
+:1070000024040050304A00FF5544FFE18F92003CB9
+:107010000E000E07000000000A0008F48F92003CEA
+:107020002408003314A800323C0280003C11080000
+:107030008E3157E88F89FDECAC5100208E4A000854
+:10704000240F00288F8DFDE8AD2A00308E44000CFF
+:1070500024060009AD2400348E5F0010AD3F0038D7
+:107060008E590014AD3900208E450018AD2500243E
+:107070008E58001CAD380028A12F00118E4E000440
+:1070800012600031ADAE00288F92003C0A0007EF7D
+:10709000AF86004C2411002214B1FFB8000000009C
+:1070A000240400073C1808008F1857E83C0F8000A4
+:1070B000ADF800205660FEB1AF84004C3C040800DF
+:1070C000248457E80E000732241300508F99FDE8FE
+:1070D00093320000324500FF10B3016C0000000045
+:1070E0008F92003C000020210A0007EFAF84004C83
+:1070F0003C05080024A557E80E0006D524040081AD
+:107100000A0008F48F92003C02D498213265FFFFF8
+:107110000E0006C8240400840A0007EF8F92003C8A
+:10712000108DFF51240704002887000310E001AAF6
+:1071300024100004240E0001548EFF4B240740004D
+:107140000A0008AA240701003C05080024A557E806
+:107150000E000724240400828F92003C000030219E
+:107160000A0007EFAF86004C3C040800248457E86F
+:107170008CC200380E0007328CC3003C8F92003C5A
+:107180000A00094A00002021240400823C0508006E
+:1071900024A557E80E000724000000008F92003C51
+:1071A000000010210A0007EFAF82004C8E5000044F
+:1071B0008F91FDE83C0A8000AD500020922200052E
+:1071C000020028213046000214C001872404008AEE
+:1071D0008F92FDEC020028212404008D924B001BAD
+:1071E000316300201460018000000000922D00092E
+:1071F000240C001231A800FF110C017B2404008133
+:107200000E0006F6020020219245001B240E000409
+:107210000200202134A90042A249001B0E000700F1
+:10722000A22E00253C0480008C9101780620FFFEF0
+:1072300024180002AC900140A09801448F92003CB9
+:107240003C0F1000AC8F01780A0008F50013102BDA
+:107250008E5000048F91FDE83C1F8000AFF00020AD
+:1072600092390005020028213327000214E0001A99
+:107270002404008A922600092412001230C400FF60
+:10728000109201110000000092230009240A00045A
+:10729000306200FF104A010C000000000E0006F6EC
+:1072A000020020218F88FDEC240CFFFE020020212B
+:1072B000910E001B35CD0020A10D001BA23200094C
+:1072C000922B0005016C90240E000700A2320005ED
+:1072D00002002821000020210E0007BA0000000053
+:1072E0000A0008F48F92003C8E5100043C0280009A
+:1072F0003C100800261057E8AC5100203C01080063
+:10730000AC3157E89246000330C400041080016994
+:107310008F84FDE824020006A0820009924D001B24
+:107320002408FFC031AC003F01885825A08B00081D
+:1073300092430003306A0001154001600000000024
+:107340008E420008AE0200083C0208008C4257F052
+:107350001040015F8F8EFDEC000281C28F85FDE839
+:10736000A5D0000C8E5F000C240F0001240900142E
+:10737000ADDF002C8E590010ADD9001C96470016C9
+:10738000A5C7003C96580014A5D8003EACAF000C31
+:10739000A4AF0010A4AF0012A4AF0014A4AF001655
+:1073A00012600163A1C900119244000330920002EF
+:1073B0002E5300018F92003C266200080A0007EF5E
+:1073C000AF82004C8E4600043C0580003C048008DF
+:1073D000ACA600208E4700089089000024110050C0
+:1073E000312200FF105100BC240500883C048000BD
+:1073F0008C8F01B805E0FFFE0013802B3C1840097C
+:1074000000B81025AF90004C3C101000AC860180F5
+:10741000AC870184AC820188AC9001B80A0007F007
+:107420008F8600308E4500043C0680003C098008B1
+:10743000ACC50020913F00002404005033F900FF48
+:10744000132400B4240600883C0480008C8A01B810
+:107450000540FFFE3C0E400E00CE68253C081000A3
+:10746000AC850180AC800184AC8D0188AC8801B80A
+:10747000912B0000240CFF8024040004016C1825CB
+:10748000240600300E000666A12300000A0008F45E
+:107490008F92003C8E5000048F91FDEC3C0F8000D9
+:1074A000ADF000209225001B30A900101120007CB7
+:1074B000240300813C0480008C8701B804E0FFFEB7
+:1074C0003C1F401FAC900180007F10250013C82B8B
+:1074D0003C101000AC800184AF99004CAC82018854
+:1074E000AC9001B80A0007F08F8600308E44001C73
+:1074F0000E0006E100000000104000FC00403821B2
+:107500008F92003C240600893C0580008CAE01B8B7
+:1075100005C0FFFE00000000ACA701808E50001CDB
+:107520003C1140010013782B00D138253C1310008A
+:10753000ACB00184AF8F004CACA70188ACB301B8EC
+:107540000A0007F08F860030965900023C100800B0
+:10755000261057E833380004130000A73C046000ED
+:107560008E5F001C3C068000ACDF00203C01080060
+:10757000AC3F57E8964F000231E7000114E000E706
+:10758000000000008E420004AE0200083C1008001B
+:107590008E1057F0120000DD3C0680008F85FDE85C
+:1075A000241000018CBF00188F91FDEC8F89FDE441
+:1075B00003E6C825ACB90018A0A00005ACB0000CCB
+:1075C0003C1808008F1857F08F87003CA4B00010BB
+:1075D000001879C2A4B00012A4B00014A4B0001620
+:1075E000A62F000C8CEE00088F8D003C8F8C003C89
+:1075F000AE2E002C8DA8000C24070002AE28001C23
+:10760000918B0010A22B00118F83003C906A001117
+:10761000A12A00088F82003C90440012A0A4004ED2
+:107620008F92003C92460013A22600128F92003CDB
+:10763000965F0014A63F003C96590016A639003EFE
+:107640008E580018AE3800145660FD4CAF87004CC1
+:107650003C05080024A557E80E000705000020217E
+:107660008F92003C000038210A0007EFAF87004CE2
+:107670003C05080024A557E80E00072424040082D6
+:107680008F92003C0A0008D7000038210E000E0738
+:10769000000000008F92003C0A00094A00002021EF
+:1076A0000E0006F6020020219232001B020020216B
+:1076B000365800100E000700A238001B8F92003CC5
+:1076C0000A000A3D000018210E0007BA24040081B8
+:1076D0000A0008F48F92003C9243000C306A0001CB
+:1076E0001140000300000000964B000EA48B002CFC
+:1076F0009248000C310C00021180FF3C0000282150
+:10770000964E00128E4D0014A48E001A0A000A0B29
+:10771000AC8D001C8F83005C8F8700541067FF4A7C
+:10772000000030213C0808002508576C000320C0E9
+:10773000008830218CD10000122500C8246200018D
+:107740003043000F1467FFFA000320C00A000A222A
+:10775000000030213C05080024A557E80E0007244E
+:107760002404008B8F92003C0A0008D70013382BAA
+:107770003C0C08008D8C57E824D9FFFE25910100B0
+:10778000322B007F0167902102331024AD020028C4
+:10779000AE4600D0AE4000D40A000840AE59001CEE
+:1077A000ACC000543C0908008D2957E83C05800C0A
+:1077B00034A80100ACE900288E500014AD1000D0B0
+:1077C0008E4E0014AD0E00D48E4D001025A7FFFE86
+:1077D0000A00087CAD07001C5490FDA3240740005C
+:1077E0000A0008AA240710000E0007AE00000000DF
+:1077F0000A0008F48F92003C8C83442C3C05DEADDB
+:1078000034B2BEEF3C010800AC2057E81072004FC4
+:10781000000000003C046C6234827970146200083D
+:1078200024040002978A0058978300500200282100
+:107830000143482B11200019240400922404000263
+:107840000E0005D6240502003C0B8000AD6200202E
+:107850003C010800AC2257E81040000D8F8E003C20
+:10786000240C00282404000391CD001031A800FF4F
+:10787000550C0001240400010E00004A0000000025
+:1078800010400004240400830A000A6D8F92003C1B
+:10789000240400833C05080024A557E80E000705D2
+:1078A000000000008F92003C0013382B0A0007EF05
+:1078B000AF87004C0A0009D6240200128E4400084B
+:1078C0000E0006E1000000000A0009E2AE02000816
+:1078D0003C05080024A557E80E0006D524040087BF
+:1078E0008F92003C0A0009FF0013102B24040004AF
+:1078F0000E0005D624050030144000170040382142
+:107900008F92003C0A000A52240600833C050800BE
+:1079100024A557E80A000B37240400878E4400048E
+:107920000E0006E1000000000A000A73AE02000823
+:107930003C05080024A557E80E0007242404008213
+:107940008F92003C0A0009FF000010218C83442C18
+:107950000A000B163C046C628F92003C3C088008C5
+:107960003C0C8000240B0050240A0001AD82002052
+:10797000A10B0000A10A000192490004A10900180E
+:1079800092440005A1040019924300063C0408003B
+:107990002484576CA103001A924200073C0308009C
+:1079A00024635768A102001B92450008A105001C32
+:1079B00092460009A106001D925F000AA11F001E49
+:1079C0009259000BA119001F9258000CA118002019
+:1079D0009251000DA11100219250000EA110002221
+:1079E000924F000FA10F0023924E0010A10E002411
+:1079F000924D0011A10D0025964C0014A50C0028F5
+:107A0000964B00168F8A00548F98005CA50B002AB5
+:107A100096490018000A10C025450001A509002C50
+:107A20008E46001C0044C8210043F82130A5000FF9
+:107A3000AFE60000AF27000010B80003AF85005488
+:107A40000A000A520000302124AD000131A8000FC5
+:107A5000000030210A000A52AF88005C3C07080091
+:107A600024E7576800879021ACC000000000302157
+:107A70000A000A22AE4000003C0482013C03600080
+:107A800034820E02AC603D68AF80007C03E00008E9
+:107A9000AC623D6C27BDFFE8AFB000103090FFFF37
+:107AA000001018422C620041AFBF001414400002C5
+:107AB00024040080240300403C010800AC30006036
+:107AC0003C010800AC2300640E000E100060282169
+:107AD000244802BF2409FF800109282400103980AE
+:107AE000001030408FBF00148FB0001000A720217D
+:107AF00000861821AF8300643C010800AC250058C3
+:107B00003C010800AC24005C03E0000827BD00181D
+:107B1000308300FF30C6FFFF30E400FF3C088000E8
+:107B20008D0201B80440FFFE0003540001443825D3
+:107B30003C09600000E920253C031000AD050180F0
+:107B4000AD060184AD04018803E00008AD0301B86F
+:107B50008F86003C3C096012352700108CCB000456
+:107B60003C0C600E35850010316A00062D4800017E
+:107B7000ACE800C48CC40004ACA431808CC2000802
+:107B800094C30002ACA2318403E00008A783007410
+:107B90008F85003C8F87FF408F86FF488CAE0004A6
+:107BA0003C0F601235E80010ACEE00688CAD0008A8
+:107BB000ACED006C8CAC0010ACCC004C8CAB000C71
+:107BC000ACCB004894CA00543C0208008C420044EC
+:107BD00025490001A4C9005494C400543083FFFF18
+:107BE00010620017000000003C0208008C420040B8
+:107BF000A4C200528CA30018ACE300308CA2001485
+:107C0000ACE2002C8CB90018ACF900388CB8001428
+:107C100024050001ACF800348D0600BC50C50019E5
+:107C20008D0200B48D0200B8A4E2004894E400483C
+:107C3000A4E4004A94E800DA03E000083102FFFF00
+:107C40003C0208008C420024A4C00054A4C200528C
+:107C50008CA30018ACE300308CA20014ACE2002C22
+:107C60008CB90018ACF900388CB800142405000158
+:107C7000ACF800348D0600BC54C5FFEB8D0200B893
+:107C80008D0200B4A4E2004894E40048A4E4004A51
+:107C900094E800DA03E000083102FFFF8F86003C21
+:107CA0003C0480008CC900088CC80008000929C069
+:107CB000000839C0AC87002090C300073062000480
+:107CC0001040003AAF85007890CB0007316A000879
+:107CD000114000398F87FF448CCD000C8CCE0014EE
+:107CE00001AE602B11800032000000008CC2000C3D
+:107CF000ACE200708CCB00188F85FF408F88FF4866
+:107D0000ACEB00748CCA00102402FFF8ACAA00C8C7
+:107D10008CC9000CAD0900608CC4001CACA400C070
+:107D200090E3007C0062C824A0F9007C90D8000792
+:107D3000330F000811E000040000000090ED007C0B
+:107D400035AC0001A0EC007C90CF000731EE0001C3
+:107D500011C000090000000090E4007C241800021B
+:107D600034820002A0E2007C90A300EC307900FF96
+:107D7000133800132408003490C90007312600028C
+:107D800010C000040000000090EB007C356A000485
+:107D9000A0EA007C90ED007D31AC003FA0EC007DBE
+:107DA00094A700DA03E0000830E2FFFF8F87FF446A
+:107DB0000A000C4C8CC200140A000C4DACE00070A0
+:107DC0000A000C6EACA800CC8F8C003C27BDFFD8FD
+:107DD000AFB3001CAFB20018AFB00010AFBF0020AF
+:107DE000AFB10014918F00153C13600E3673001074
+:107DF00031EB000FA38B00808D8F00048D8B00086A
+:107E0000959F0012959900109584001A9598001E70
+:107E1000958E001C33EDFFFF332AFFFF3089FFFFF3
+:107E20003308FFFF31C7FFFF3C010800AC2D0024E1
+:107E30003C010800AC2900443C010800AC2A004089
+:107E4000AE683178AE67317C91850015959100164A
+:107E50003C1260123652001030A200FF3230FFFF99
+:107E6000AE623188AE5000B491830014959F001823
+:107E7000240600010066C80433F8FFFFAE5900B8BD
+:107E8000AE5800BC918E0014AF8F00683C086006AD
+:107E900031CD00FFAE4D00C0918A00159584000ED3
+:107EA0003C07600A314900FFAF8B006C3084FFFF54
+:107EB000AE4900C8351100100E000BB534F00410A7
+:107EC0003C0208008C4200603C0308008C630064A4
+:107ED0003C0608008CC600583C0508008CA5005CD8
+:107EE0008F8400648FBF0020AE23004CAE65319CB0
+:107EF000AE030054AE4500DCAE6231A0AE6331A4E7
+:107F0000AE663198AE2200488FB3001CAE0200501E
+:107F10008FB10014AE4200E0AE4300E4AE4600D89C
+:107F20008FB000108FB200180A0004BE27BD0028D1
+:107F3000978500769783006027BDFFE8AFB00010FB
+:107F400000A3102BAFBF0014240400058F90003C49
+:107F500010400055240900020E0005D68F850064EC
+:107F6000AF820078240400031040004F240900026F
+:107F70003C0680000E00004AACC20020240700012D
+:107F8000240820001040004D24040005978E007640
+:107F90008F8AFF442409005025C50001A78500767B
+:107FA000A14900003C0D08008DAD00642403800051
+:107FB0008F84FF40000D6600AD4C0018A540000600
+:107FC000954B000A8F85FF482402FF80016330240F
+:107FD000A546000A915F000A0000482103E2C82577
+:107FE000A159000AA0A00008A140004CA08000C533
+:107FF00096180002978300743C020004A49800DAEB
+:10800000960F00022418FFBF25EE2401A48E00AEB7
+:108010008E0D0004ACAD00448E0C0008ACAC0040EA
+:10802000A4A00050A4A000548E0B000C240C00301F
+:10803000AC8B00288E060010AC860024A480003E85
+:10804000A487004EA4870050A483003CAD42007476
+:10805000AC8800C8ACA80060A08700EC909F00C46A
+:1080600033F9007FA09900C4909000C402187824CE
+:10807000A08F00C4914E007C35CD0001A14D007C45
+:10808000938B0080AD480070AC8C00CCA08B00C6F8
+:108090008F88006C8F870068AC8800B4AC8700B80C
+:1080A000A5400078A540007A8FBF00148FB0001063
+:1080B0000120102103E0000827BD00188F850078FB
+:1080C0000E0006668F8600640A000D3A240900023D
+:1080D00027BDFFE0AFB000108F90003CAFB100149F
+:1080E000AFBF00188E0900040E00048B000921C0E8
+:1080F0008E0800048F84FF408F82FF48000839C03B
+:108100003C068000ACC70020948500DA9043001341
+:108110001460001C30B1FFFF8F8CFF44918B00086E
+:10812000316A00401540000B000000008E0D000475
+:10813000022030218FBF00188FB100148FB00010C3
+:108140002404002200003821000D29C00A000BD4AD
+:1081500027BD00200E000061000000008E0D00040D
+:10816000022030218FBF00188FB100148FB0001093
+:108170002404002200003821000D29C00A000BD47D
+:1081800027BD00200E000059000000008E0D0004E5
+:10819000022030218FBF00188FB100148FB0001063
+:1081A0002404002200003821000D29C00A000BD44D
+:1081B00027BD002027BDFFE0AFB200183092FFFFBF
+:1081C000AFB00010AFBF001CAFB100141240001ED2
+:1081D000000080218F86003C8CC50000240300062F
+:1081E00000053F020005140230E400071483001666
+:1081F000304500FF2CA80006110000400005588003
+:108200003C0C0800258C54EC016C50218D49000079
+:1082100001200008000000008F8E007C240D00016A
+:1082200011CD005024020002AF82007C260900011B
+:108230003130FFFF24C800200212202B0100302122
+:108240001480FFE5AF88003C020010218FBF001CA6
+:108250008FB200188FB100148FB0001003E0000837
+:1082600027BD00209387006254E0003400003021D5
+:108270000E000C82000000008F86003C0A000D9A60
+:10828000240200018F87007C2405000210E50031E4
+:1082900024040013000028210000302124070001DD
+:1082A0000E000BD4000000000A000D9B8F86003CDE
+:1082B0008F83007C240200021462FFF62404001263
+:1082C0000E000C37000000008F8500780040302140
+:1082D000240400120E000BD4000038210A000D9B6C
+:1082E0008F86003C8F83007C2411000310710029CD
+:1082F000241F0002107FFFCE260900012404001075
+:1083000000002821000030210A000DB824070001D8
+:108310008F91007C240600021626FFF92404001029
+:108320000E000CDC00000000144000238F98003C7D
+:108330008F86003C0A000D9A2402000324040014D6
+:108340000E000BD4000028218F86003C0A000D9AF5
+:10835000240200020E000D44000000000A000D9BE4
+:108360008F86003C0E000BE4000000002419000280
+:1083700024040014000028210000302100003821CE
+:10838000AF99007C0E000BD4000000000A000D9B8A
+:108390008F86003C0E000BF4000000008F850078F3
+:1083A000241900020040302124040010000038216C
+:1083B0000A000DF1AF99007C004038212404001020
+:1083C000970F0002000028210E000BD431E6FFFFBA
+:1083D0008F86003C0A000D9BAF91007C8F84FF4488
+:1083E0003C077FFF34E6FFFF8C8500182402000164
+:1083F00000A61824AC83001803E00008A082000542
+:108400003084FFFF30A5FFFF108000070000182117
+:108410003082000110400002000420420065182153
+:108420001480FFFB0005284003E0000800601021D5
+:1084300010C00007000000008CA2000024C6FFFF4F
+:1084400024A50004AC82000014C0FFFB24840004B7
+:1084500003E000080000000010A0000824A3FFFFB4
+:10846000AC86000000000000000000002402FFFFB6
+:108470002463FFFF1462FFFA2484000403E0000871
+:1084800000000000000411C003E000082442024084
+:1084900027BDFFE8AFB0001000808021AFBF0014FF
+:1084A0000E000E3100A0202100504821240AFF8038
+:1084B0008FBF00148FB00010012A30243127007FB5
+:1084C0003C08800A3C04210000E8102100C4282553
+:1084D0003C03800027BD0018AC650024AF8200205B
+:1084E000AC400000AC65002403E00008AC40004054
+:1084F0003C0D08008DAD005800056180240AFF8006
+:1085000001A45821016C4821012A30243127007F21
+:108510003C08800C3C04210000E8102100C4282500
+:108520003C038000AC650028AF82001C03E000081B
+:10853000AC40002430A5FFFF3C0680008CC201B88F
+:108540000440FFFE3C08601500A838253C031000DD
+:10855000ACC40180ACC00184ACC7018803E0000852
+:10856000ACC301B83C0D08008DAD0058000561801A
+:10857000240AFF8001A45821016C4021010A4824EB
+:10858000000931403107007F00C728253C04200046
+:1085900000A418253C028000AC43083003E000082A
+:1085A000AF80001C27BDFFE8AFB000100080802125
+:1085B000AFBF00140E000E3100A020210050482152
+:1085C000240BFF80012B5024000A39403128007F02
+:1085D0003C0620008FBF00148FB0001000E8282553
+:1085E00034C2000100A218253C04800027BD0018F9
+:1085F000AC83083003E00008AF8000203C05800811
+:108600008CA700603C0680080087102B14400011E6
+:108610002C8340008CA800602D0340001060000FE8
+:10862000240340008CC900600089282B14A000029C
+:10863000008018218CC3006000035A42000B308078
+:108640003C0A0800254A554000CA202103E00008E2
+:108650008C8200001460FFF32403400000035A42A0
+:10866000000B30803C0A0800254A554000CA2021F2
+:1086700003E000088C8200003C05800890A60008FA
+:108680009384009024C20001304200FF3043007FF9
+:108690001064000C00023827A0A200083C048000EF
+:1086A0008C85017804A0FFFE8F8A008824090002CF
+:1086B0003C081000AC8A0140A089014403E0000896
+:1086C000AC8801780A000EB630E2008027BDFFC8F2
+:1086D0003C05800834A40080AFBF0034AFBE00303A
+:1086E000AFB7002CAFB60028AFB50024AFB4002060
+:1086F000AFB3001CAFB20018AFB10014AFB00010A0
+:10870000948300789482007A104300CD2405FFFF03
+:108710003C1E80080080B8210A000F923C168000A1
+:10872000108A00C88FBF00348F8400883C0B08007B
+:108730008D6B005C240AFF803C07800E01644021A1
+:10874000010A4824AEC9002C96E6007A3102007F67
+:108750000047182130C57FFF000580400203A82193
+:1087600096BF00003C1908008F390058240FFF8085
+:1087700033F53FFF032488210015C1800238902182
+:10878000024F58243C0C0100016C5025324E007FF2
+:108790003C0D800C01CD9021028028210E000E346A
+:1087A000AECA00288E4800108E4900308F86002007
+:1087B0002402000201093823AE470010A0C20000C5
+:1087C00096E3005C8E4400308F9100200E000E8FE7
+:1087D0003070FFFF00022B800205C8253C1F4200BD
+:1087E000033FC025AE3800048E5100048F8700205F
+:1087F0008E4F000024080008ACF1001CACEF0018FC
+:10880000ACE0000CACE000109250000A2406000519
+:108810002405C000320E00FFA4EE0014964D00089F
+:1088200002E09821A4ED0016924C000A3C0D80084D
+:10883000318B00FFA4EB00209644000835AC01000A
+:10884000A4E40022ACE00024924A000B314900FF6E
+:10885000A4E90002A0E800018E4200308F830020CE
+:108860002408FFBFAC620008A06600308F8E002095
+:108870002403FFDF95DF003203E5C8240335C0255C
+:10888000A5D8003291D10032322F003F35F00040A0
+:10889000A1D000328F890020AD2000348D8B00C024
+:1088A000AD2B00389124003C3C0B7FFF308A007FC9
+:1088B000A12A003C8F8600203564FFFF90C7003C52
+:1088C00000E81024A0C2003C8F9900209325003CB2
+:1088D00000A3F824A33F003C8E5800348F8C002066
+:1088E000AD9800408E4F002C8E51003001F1802356
+:1088F000AD900044918E004831CD007FA18D00489D
+:108900008F8500208E4A00308CA900480144402405
+:108910000136382400E83025ACA600489242000A0F
+:10892000A0A2004C964300088F9F0020A7E3004EB2
+:108930008E5000308E4400300E0002F08FC5006073
+:1089400092F1007C0002C1400002C90003197821A5
+:10895000322E00020040282111C00003020F8021A6
+:108960000002208002048021926D007C31AC000462
+:108970001180000200057080020E80218E440030BC
+:108980008F87002024058000308B0003000B5023CC
+:108990003149000302094021ACE800349664007AB2
+:1089A0009662007A9670007A30467FFF24C30001F9
+:1089B000307F7FFF0205C824033FC025A678007AD8
+:1089C0009671007A3C1208008E520060322F7FFFB1
+:1089D00011F20028000000008F8400880E000E694C
+:1089E00002A028218F8400880E000E7902802821A1
+:1089F0000E000EAE0000000096F3007896F4007AA8
+:108A00001293000F000028213C0980083524010042
+:108A100093C80008908700C53114007F30E400FF40
+:108A20000284302B14C0FF3E268A0001938D0090F3
+:108A3000268B0001008D6021158BFF3C8F84008800
+:108A40008FBF00348FBE00308FB7002C8FB6002848
+:108A50008FB500248FB400208FB3001C8FB2001894
+:108A60008FB100148FB0001000A0102103E00008A7
+:108A700027BD0038967F007A03E5C824A679007ADE
+:108A80009278007A926E007A331100FF001179C259
+:108A9000000F9027001269C031CC007F018D28257E
+:108AA000A265007A0A000F878F8400883C0380004B
+:108AB0003084FFFF30A5FFFFAC640018AC65001CDC
+:108AC00003E000088C62001427BDFFA83C06800864
+:108AD000AFBF0054AFBE0050AFB7004CAFB60048B8
+:108AE000AFB50044AFB40040AFB3003CAFB2003804
+:108AF000AFB10034AFB0003034C80100910500C5FB
+:108B000090C700083084FFFF30A500FF30E2007FEF
+:108B10000045182AAFA40010A7A000181060009C00
+:108B2000AFA0001490CA00083149007F00A930238B
+:108B300024D4FFFF0014882B8FB300100013902B58
+:108B400002328024520000858FB400143C03800858
+:108B500094790052947E00508FB60010033EC023DB
+:108B60000018BC00001714030016FC0002C2A82A5B
+:108B700016A00002001F2C030040282100143C0016
+:108B80000007240300A4102A5440000100A0202163
+:108B90002885000914A000020080B02124160008D6
+:108BA0003C0C80088D860048001659808D88004C4A
+:108BB0003C0380003169FFFF3C0A0010012A202598
+:108BC00034700400AC660038AF90008CAC68003C98
+:108BD000AC64003000000000000000000000000055
+:108BE0000000000000000000000000000000000085
+:108BF000000000008C6E000031CD002011A0FFFDB0
+:108C00000016902A0251782411E000360000B821A5
+:108C10003C1580003C118008922200088EA40100BF
+:108C200000008821305E007F0E000E3403C0282132
+:108C30008E1F00108EA4010033F93FFF032028216E
+:108C40000E000E4CAFB9001C921800003302003F1A
+:108C50002C5300085260000D000080212405000103
+:108C6000004518043067005D14E000B18F92008C5D
+:108C7000306400021480014D8F86008C30680080C3
+:108C80005500004A96180012000080218EA40100B1
+:108C90000E000E698FA5001C8EA401000E000E7937
+:108CA00003C028211200004F3C07800826E4000181
+:108CB0008F8C008C0004BC000291A0230017BC0321
+:108CC00002F6302A0014882B259F00402412000150
+:108CD00000D1F02403E08021AF9F008C17C0FFCDAE
+:108CE000AFB200143C07800894E800508FB3001026
+:108CF0003C05800002E810213C0D0020A4E2005059
+:108D0000ACAD003094F5005094F600520277502339
+:108D10003149FFFF12D50041AFA900108CF6004C7D
+:108D2000001749808CF7004802C9F8210000202173
+:108D300003E9302B02E4602101868021ACFF004C66
+:108D4000ACF000488FB300100013902B0232802447
+:108D50001600FF7F3C0380088FB400148FBF0054BF
+:108D60008FBE00503A8200018FB7004C8FB600488A
+:108D70008FB500448FB400408FB3003C8FB20038F1
+:108D80008FB100348FB0003003E0000827BD0058D9
+:108D900090CF0008938C009031EE007F00AE6823E6
+:108DA000018D58210A000FDD2574FFFF8F84008894
+:108DB00024100001A7B800180E000E5D97A500183A
+:108DC0008EA401000E000E698FA5001C8EA4010068
+:108DD0000E000E7903C028211600FFB526E400011D
+:108DE0003C07800894E800508FB300103C058000D9
+:108DF00002E810213C0D0020A4E20050ACAD003090
+:108E000094F5005094F60052027750233149FFFF49
+:108E10000014882B16D5FFC1AFA9001094FE005492
+:108E20008CF0004433D8FFFE001878C0020F702188
+:108E3000ACAE003C8CF900448CA3003C03235823C7
+:108E4000196002EA000000008CF200402642000196
+:108E5000ACA200383C05005034A700103C03800051
+:108E6000AC670030000000000000000000000000BF
+:108E700000000000000000000000000000000000F2
+:108E8000000000008C7F000033E6002010C0FFFDD2
+:108E90003C108008960D00543C1780003C0680086A
+:108EA00031B30001001350C00157B0218EC9040036
+:108EB0003C0708008CE700443C040020ACC9004893
+:108EC0008ED50404240C0001ACD5004C10EC02D06B
+:108ED000AEE40030961800523C0508008CA5004016
+:108EE00000B87821A60F0052960E005425C3000149
+:108EF000A6030054961900543324FFFF5487FF0F34
+:108F00008FB3001030A5FFFF0E000FBBA60000546A
+:108F10003C0508008CA50024961200520045382319
+:108F20000247F023A61E00520A000FDF8FB3001085
+:108F30008F93001C3C0700808E4400283C1FFFEFED
+:108F400037F9FFFFAE6400008E420024A260000AE1
+:108F50003C0FFF9FAE6200049245002C35EEFFFFF0
+:108F60003C0C0040A265000C8E71000CA265000B49
+:108F70003C0600FF0227C0250319682401AE5824CF
+:108F8000016C5025AE6A000C8E490004AE600018DA
+:108F900034D0FFFFAE6900148E48002C8F82008809
+:108FA000A660000801102024AE6400108E51000855
+:108FB00096470012AE7100208E58000C30E33FFF40
+:108FC00000032980AE7800248E4C001400A2F82102
+:108FD00030F90001AE6C00288E4B0018001F71C2E2
+:108FE00000197B80AE6B002C8E49001C01CF6821DC
+:108FF000A66D001CAE690030964A00028E46002025
+:10900000A66A001EAE66003492430033307000043E
+:1090100056000006924B00003C06800834D0010048
+:109020008E0800C0AE680030924B00008F8A00208E
+:10903000A14B0030924900333123000250600007F9
+:10904000924400018F8C0020240FFF80918E00300D
+:1090500001CF6825A18D0030924400018F8200204D
+:109060002418FFBF240AFFDFA04400318F9900209D
+:109070003C088008350400809331003C323F007F7B
+:10908000A33F003C8F8D002091AF003C01F870247D
+:10909000A1AE003C8F8700208E6C001490E3003C52
+:1090A0002D8B0001000B4940006A302400C9802547
+:1090B000A0F0003C964500128F870020A4E5003206
+:1090C0008E450004909F007C30A20003000288239C
+:1090D0003239000300B9102133F8000217000002F2
+:1090E00024440034244400303C038008346600806B
+:1090F00090C9007C00A980243208000415000002F9
+:1091000024830004008018218F840020240800029A
+:10911000ACE30034A08800009242003F8F9F002003
+:109120003C188008370F0080A3E200018F910020D7
+:109130009259003F8E440004A639000295EE005C6F
+:109140000E000E8F31D0FFFF00026B80020D5825FC
+:109150003C0C4200016C2825AE2500048E4A0038E4
+:109160008F850020ACAA00188E470034ACA7001CE5
+:10917000ACA0000CACA00010A4A00014A4A0001689
+:10918000A4A00020A4A00022ACA000248E620014A1
+:109190005040000124020001ACA200080E000EAEF7
+:1091A000241100010A0010332410000190D30001A3
+:1091B000327200201240018A241000013C0A80080B
+:1091C0003547008090E3007C8F93001CAFA0002403
+:1091D0003069000111200011AFB000203C0580086B
+:1091E0008CCB00148CAC0060016C882B1620000323
+:1091F000016028213C1080088E0500603C0F80082B
+:1092000035E300808C6E007000AE682B15A0000264
+:1092100000A020218C640070AFA400248F82FF4C3A
+:109220008CC400148C5900700099C02B53000001AD
+:109230008C4400708FA200240082F82313E0000306
+:10924000AFBF002824040002AFA400208FB200208A
+:109250000292402B1500015B000018218CC50038DC
+:109260008E6B000C3C0C0080AE6500008CC9003495
+:109270003C11FF9F016C5025AE69000490C8003F6F
+:109280003623FFFF014310243C1200203C04FFEF73
+:10929000A268000B00523825349FFFFF00FFC02456
+:1092A0003C1900088F87008C03197825AE6F000CDD
+:1092B0008CED0014AE6000188FB10024AE6D001468
+:1092C0008CF000188FAE0028AE70001C8CE50008F2
+:1092D000022E6021AE6500248CEB000CA6600038E5
+:1092E000A660003AAE6C002CAE600028AE6B002089
+:1092F0008CEA00148FA30028015148230123302356
+:1093000010C00011AE66001090E9003D8E620004AE
+:109310008E7F00000009910000527821000020217A
+:1093200001F2C82B03E4C02103197021AE6F0004C1
+:10933000AE6E000090ED003DA26D000A8F90008C93
+:1093400096060006A66600088F98002024190002E1
+:109350003C0F80088FA4002435EE0080A319000084
+:1093600095CD005C8F9200200E000E8F31B0FFFF74
+:1093700000022B80020560253C0642008F85008C90
+:1093800001865825AE4B00048F8400208CB1003834
+:10939000AC9100188CA30034AC83001CAC80000C92
+:1093A000AC800010A4800014A4800016A4800020CB
+:1093B000A4800022AC80002490AA003F8FA7002444
+:1093C000A48A000210E0000C240900018FBF0028CD
+:1093D00053E0018890A2003D90A2003E2448000185
+:1093E000A08800018F8500208FA40024ACA4000871
+:1093F0000A0012133C118008A08900018F8500200B
+:1094000024020001ACA200083C1180083623008031
+:10941000906A007C3147000214E000022406003408
+:10942000240600308F8D008C3C0F800835EE0080C4
+:1094300091AC000091C8007C8FB00024A0AC00303B
+:109440008F91008C8F8200208FAA00249227000128
+:1094500032120003240B0004A047003101721823CC
+:109460008F84008C8F8B0020241FC00094980012E2
+:109470009579003230690003330F3FFF033F7024BA
+:1094800001CF6825A56D00329165003200CA3021F8
+:1094900000C9302130B0003F360C0040A16C0032D2
+:1094A0008FB2002431070004124000028F85002093
+:1094B00000C730213C048008ACA600343488010089
+:1094C0008D1900C08FBF0024240DFFBFACB9003838
+:1094D00090AF003C2FF800012412FFDF31EE007F37
+:1094E000A0AE003C8F8B0020001889409170003C9A
+:1094F000020D6024A16C003C8F87002090E3003CAB
+:109500000072502401511025A0E2003C8F88008C8D
+:109510008F9900208D090020AF2900408D0600247E
+:10952000AF2600448D040028AF2400488D1F002C76
+:10953000AF3F004C0E000EAE000000008FB80020C0
+:10954000240500025705009F8FA300203C1F8000C8
+:109550003C1280088FE40100925800088F92FF4C63
+:109560000E000E343305007F8F8E00208FAF002059
+:109570008FA40028A1CF00009659005C8F91002095
+:109580000E000E8F3330FFFF00025B80020B682558
+:109590003C0842008F8B008C01A83025AE260004C9
+:1095A0008D7000388F8600200000282100051100F2
+:1095B000ACD000188D6C00343C047FFF3488FFFF72
+:1095C000ACCC001C9171003E8CCA001C8CDF0018D2
+:1095D0000011390000111F02014770210043482586
+:1095E00001C7C02B03E9782101F8C821ACCE001CCB
+:1095F000ACD90018ACC0000CACC00010916D003E9E
+:109600008FAA002824070005A4CD0014957000043B
+:109610002418C0000148C824A4D00016916C003E54
+:1096200001402021A4CC002095650004A4C500229F
+:10963000ACC000249163003FA4C300029171003DBF
+:1096400026220001A0C200018F8900203C02800870
+:1096500034460100AD2A0008A12700308F91002078
+:109660008F9F008C2402FF80962F003297EE00120D
+:10967000030F802431CD3FFF020D6025A62C003260
+:10968000922500322418FFBF2410FFDF30AB003FCB
+:1096900035630040A22300328F9F00202403FFFF88
+:1096A000AFE000348CCA00C0AFEA003893E7003C5A
+:1096B00030E9007FA3E9003C8F8D00203C09800049
+:1096C00091AF003C01F87024A1AE003C8F8B0020CC
+:1096D000916C003C01902824A165003C8F870020FC
+:1096E000ACE300408FB100243C038008ACF100449F
+:1096F00090EA004801423025A0E600488F90002003
+:109700008F8E008C8E1F004803E9C0240319782532
+:10971000AE0F004891CD003EA20D004C8F8C008C06
+:109720008F8B002095850004A565004E0E0002F089
+:109730008C650060924A007C004028218FA600289A
+:109740000002114000058900005138213149000212
+:109750001120000300C71821000520800064182193
+:109760003C028008344A00809147007C30E90004C4
+:10977000112000038FB9002800056080006C1821BB
+:10978000240B00048F91002033300003017040232C
+:10979000310D0003006D3021AE2600343C038008FB
+:1097A000A66500383C0580008CA401009073000879
+:1097B0000E000E793265007F0E000EAE0000000034
+:1097C0008FA300200003782B000F80230290A02499
+:1097D00000608021006088210A0010330010802B77
+:1097E0008F91001C8CD8003824190003A620000893
+:1097F000AE3800008CCF0034A220000A8F8E008C7F
+:10980000AE2F00043C05008091CB003FA239000C34
+:109810008E28000C3C0DFF9FA22B000B010510258C
+:1098200035A3FFFF3C13FFEF8F8E008C00433824DD
+:10983000366AFFFF00EA4824AE29000C8DC40014EC
+:1098400095D800128F860088AE2400108DCC0014AD
+:10985000AE200018AE200020AE2C0014AE20002454
+:109860008DDF0018330C3FFF000C9180AE3F0028C5
+:109870008DCF00080246C821330B0001AE2F003007
+:109880008DC3000C8F930020001941C2000B2B8068
+:1098900001056821240200023C0A8008A62D001C54
+:1098A000A6200034AE23002C35470080A2620000C1
+:1098B00094E9005C8F9900203C044200313FFFFF97
+:1098C00003E43025AF2600048F98008C240E00019D
+:1098D0002402C0008F12003824060034AF32001872
+:1098E0008F0F00343C12800836580080AF2F001CC8
+:1098F000AF20000CAF200010A7200014A7200016F6
+:10990000A7200020A7200022AF200024A7300002BB
+:10991000A32E00018F8D00208F8B008CADB000082E
+:1099200091680000A1A800308F91008C8F830020E7
+:1099300092250001A06500318F9F002097F300322F
+:1099400002625024014C3825A7E7003293E9003227
+:109950003124003FA3E40032930F007C31EE00027B
+:1099600015C000028F840020240600303C0E8008C1
+:10997000AC86003435D101008E3900C02403FFBF0E
+:1099800002008821AC990038908B003C0010802B9D
+:109990003165007FA085003C8F8D002091A8003CA0
+:1099A00001031024A1A2003C8F87002090F3003C0B
+:1099B000366A0020A0EA003C8F9F008C8F92002026
+:1099C0008FE90020AE4900408FE40024AE440044FB
+:1099D0008FEC0028AE4C00488FE6002C0E000EAE37
+:1099E000AE46004C0A001033000000000A0010A42C
+:1099F0008CE2004024480001A08800018F850020EF
+:109A00008FA40024ACA400080A0012133C118008A3
+:109A100094CB00523C0808008D080024010B102153
+:109A2000A4C200520A000FDF8FB3001027BDFFE071
+:109A30003C0D8008AFB20018AFB00010AFBF001CE3
+:109A4000AFB1001435B200808E4C001835A801006B
+:109A5000964B000695A70050910900EC000C5602A9
+:109A6000016728233143007F312600FF24020003D1
+:109A7000A3830090AF84008810C2001B30B0FFFFAA
+:109A8000910600EC2412000530C200FF1052003392
+:109A900000000000160000098FBF001C8FB20018E4
+:109AA0008FB100148FB00010240D0C003C0C80000E
+:109AB00027BD002003E00008AD8D00240E000FC27A
+:109AC000020020218FBF001C8FB200188FB100143C
+:109AD0008FB00010240D0C003C0C800027BD00202E
+:109AE00003E00008AD8D0024965800789651007A66
+:109AF000924E007D0238782631E8FFFF31C400C065
+:109B0000148000092D11000116000037000000002C
+:109B10005620FFE28FBF001C0E000EC300000000A5
+:109B20000A0013B78FBF001C1620FFDA00000000E8
+:109B30000E000EC3000000001440FFD88FBF001CB1
+:109B40001600002200000000925F007D33E2003F1B
+:109B5000A242007D0A0013B78FBF001C950900DAEE
+:109B60008F86006400802821240400050E0006660C
+:109B70003130FFFF978300763C0480002465FFFFAF
+:109B8000A78500768C8A01B80540FFFE0000000022
+:109B9000AC8001808FBF001CAC9001848FB2001894
+:109BA0008FB100148FB000103C0760133C0B100005
+:109BB000240D0C003C0C800027BD0020AC870188E0
+:109BC000AC8B01B803E00008AD8D00240E000FC27D
+:109BD000020020215040FFB18FBF001C925F007D2A
+:109BE0000A0013E433E2003F0E000FC202002021FE
+:109BF0001440FFAA8FBF001C1220000700000000C5
+:109C00009259007D3330003F36020040A242007D71
+:109C10000A0013B78FBF001C0E000EC30000000027
+:109C20005040FF9E8FBF001C9259007D3330003F93
+:109C30000A00141336020040000411C003E00008BB
+:109C4000244202403C050006008510253C038000AC
+:109C5000AC620030000000000000000000000000C6
+:109C60003C0580008CA7000030E6001010C0FFFD0E
+:109C7000000000008CAB003C8CAA003C0164482131
+:109C8000012A402B110000043C0680008CAD0038F6
+:109C900025AC0001ACAC00388CCF003C01E4702155
+:109CA00003E00008ACCE003C27BDFFD0AFB20018E7
+:109CB000AFB00010AFBF0028AFB50024AFB4002094
+:109CC000AFB3001CAFB1001400A0902114A000128B
+:109CD000008080218F8200240002188014600037E9
+:109CE000240400100E001421000000008FBF002883
+:109CF0008FB500248FB400208FB3001C8FB20018E2
+:109D00008FB100148FB000100000102127BD00306B
+:109D100003E00008AF80002410A0001E000088218E
+:109D20003C138000241400200A0014603C15000538
+:109D3000263100040232502B11400017024010213E
+:109D40008F8800248E0700002404008000084880CB
+:109D50000133182125060001AC6704002610000419
+:109D600014D4FFF3AF8600240E001421000000007D
+:109D7000AE75003000000000000000000000000090
+:109D800000000000263100040232502B1540FFEC89
+:109D9000AF800024024010218FBF00288FB500241F
+:109DA0008FB400208FB3001C8FB200188FB1001445
+:109DB0008FB0001003E0000827BD0030000320230F
+:109DC0003085000F0A0014490065202127BDFFD807
+:109DD0003C03800000A03821AC670038AFB100140C
+:109DE000AC66003C008088213C060022AC66003056
+:109DF000AFB00010AC7100283C10800C3C04800C0B
+:109E000024050070AFBF0024AFB40020AFB3001C26
+:109E10000E00143AAFB20018260400800E00143A67
+:109E200024050080260401000E00143A240500F0E9
+:109E30003C0208008C4200641040001300008021A6
+:109E40003C1480002413FF803C12800C0E00141E72
+:109E50000200202100514821312A007F0133402493
+:109E60000152202124050050AE8800280E00143A2B
+:109E7000261000013C0508008CA500640205202B7B
+:109E80001480FFF2000000003C0608008CC6006051
+:109E900010C00015000080213C1480002413FF80B6
+:109EA0003C12800C3C1908008F3900580010C1800A
+:109EB000240500400331782101F8682131AE007F8C
+:109EC00001B3602401D22021AE8C00280E00143A88
+:109ED000261000013C0608008CC600600206582BC4
+:109EE0001560FFF0000000003C1008008E10005CC0
+:109EF0002414FF803C1F800C0211982102749024CE
+:109F00003262007F3C118000005F2021AE320028C9
+:109F10000E00143A000628408FBF00248FB40020A2
+:109F20008FB3001C8FB200188FB100148FB00010D7
+:109F300000002021000028210A00143A27BD002833
+:109F40008F83003C8C62000410400003000000007E
+:109F500003E00008000000008C6400108C6500081D
+:089F60000A0014838C66000C5A
+:089F6800000000000000001BD6
+:109F70000000000F0000000A0000000800000006BA
+:109F800000000005000000050000000400000004BF
+:109F900000000003000000030000000300000003B5
+:109FA00000000003000000020000000200000002A8
+:109FB0000000000200000002000000020000000299
+:109FC0000000000200000002000000020000000289
+:109FD0000000000200000002000000020000000279
+:0C9FE00000000001000000010000000172
+:049FEC0080080100E8
+:109FF0008008008080080000080017900800179073
+:10A00000080017C8080017C8080017DC080017ACBC
+:10A0100008001A04080019D008001A5C08001A5C2D
+:10A0200008001AE408001A148008024008002154AD
+:10A0300008001FA00800217C0800221408002364E7
+:10A04000080023B0080024D4080023DC08002460A2
+:10A0500008002010080029880800292C08001FBCCF
+:10A0600008001FBC08001FBC080025480800254840
+:10A0700008001FBC08001FBC0800280408001FBC03
+:10A0800008001FBC08001FBC08001FBC0800286493
+:10A0900008001FBC08001FBC08001FBC08001FBC34
+:10A0A00008001FBC08001FBC08001FBC08001FBC24
+:10A0B00008001FBC08001FBC08001FBC08001FBC14
+:10A0C00008001FBC08001FBC080023D008001FBCEC
+:10A0D00008001FBC080028D408001FBC08001FBCD3
+:10A0E00008001FBC08001FBC08001FBC08001FBCE4
+:10A0F00008001FBC08001FBC08001FBC08001FBCD4
+:10A1000008001FBC08001FBC08001FBC08001FBCC3
+:10A1100008001FBC08001FBC08001FBC080027283F
+:10A1200008001FBC08001FBC08002690080025EC92
+:10A130000800375008003724080036F0080036C4FD
+:10A14000080036A408003658800801008008008006
+:08A15000800800008008008077
+:08A158000A000C760000000073
+:10A16000000000000000000D727870342E362E3191
+:10A17000360000000406100300000000000000018B
+:10A1800000000000000000000000000000000000CF
+:10A1900000000000000000000000000000000000BF
+:10A1A00000000000000000000000000000000000AF
+:10A1B000000000000000000000000000000000009F
+:10A1C000000000000000000000000000000000008F
+:10A1D000000000000000000000000000000000007F
+:10A1E000000000000000000000000000000000006F
+:10A1F000000000000000000000000000000000005F
+:10A20000000000000000000000000000000000004E
+:10A21000000000000000000000000000000000003E
+:10A22000000000000000000000000000000000002E
+:10A23000000000000000000000000000000000001E
+:10A24000000000000000000000000000000000000E
+:10A2500000000000000000000000000000000000FE
+:10A2600000000000000000000000000000000000EE
+:10A2700000000000000000000000000000000000DE
+:10A2800000000000000000000000000000000000CE
+:10A2900000000000000000000000000000000000BE
+:10A2A00000000000000000000000000000000000AE
+:10A2B000000000000000000000000000000000009E
+:10A2C000000000000000000000000000000000008E
+:10A2D000000000000000000000000000000000007E
+:10A2E000000000000000000000000000000000006E
+:10A2F000000000000000000000000000000000005E
+:10A30000000000000000000000000000000000004D
+:10A31000000000000000000000000000000000003D
+:10A32000000000000000000000000000000000002D
+:10A33000000000000000000000000000000000001D
+:10A34000000000000000000000000000000000000D
+:10A3500000000000000000000000000000000000FD
+:10A3600000000000000000000000000000000000ED
+:10A3700000000000000000000000000000000000DD
+:10A3800000000000000000000000000000000000CD
+:10A3900000000000000000000000000000000000BD
+:10A3A00000000000000000000000000000000000AD
+:10A3B000000000000000000000000000000000009D
+:10A3C000000000000000000000000000000000008D
+:10A3D000000000000000000000000000000000007D
+:10A3E000000000000000000000000000000000006D
+:10A3F000000000000000000000000000000000005D
+:10A40000000000000000000000000000000000004C
+:10A41000000000000000000000000000000000003C
+:10A42000000000000000000000000000000000002C
+:10A43000000000000000000000000000000000001C
+:10A44000000000000000000000000000000000000C
+:10A4500000000000000000000000000000000000FC
+:10A4600000000000000000000000000000000000EC
+:10A4700000000000000000000000000000000000DC
+:10A4800000000000000000000000000000000000CC
+:10A4900000000000000000000000000000000000BC
+:10A4A00000000000000000000000000000000000AC
+:10A4B000000000000000000000000000000000009C
+:10A4C000000000000000000000000000000000008C
+:10A4D000000000000000000000000000000000007C
+:10A4E000000000000000000000000000000000006C
+:10A4F000000000000000000000000000000000005C
+:10A50000000000000000000000000000000000004B
+:10A51000000000000000000000000000000000003B
+:10A52000000000000000000000000000000000002B
+:10A53000000000000000000000000000000000001B
+:10A54000000000000000000000000000000000000B
+:10A5500000000000000000000000000000000000FB
+:10A5600000000000000000000000000000000000EB
+:10A5700000000000000000000000000000000000DB
+:10A5800000000000000000000000000000000000CB
+:10A5900000000000000000000000000000000000BB
+:10A5A00000000000000000000000000000000000AB
+:10A5B000000000000000000000000000000000009B
+:10A5C000000000000000000000000000000000008B
+:10A5D000000000000000000000000000000000007B
+:10A5E000000000000000000000000000000000006B
+:10A5F000000000000000000000000000000000005B
+:10A60000000000000000000000000000000000004A
+:10A61000000000000000000000000000000000003A
+:10A62000000000000000000000000000000000002A
+:10A63000000000000000000000000000000000001A
+:10A64000000000000000000000000000000000000A
+:10A6500000000000000000000000000000000000FA
+:10A6600000000000000000000000000000000000EA
+:10A6700000000000000000000000000000000000DA
+:10A6800000000000000000000000000000000000CA
+:10A6900000000000000000000000000000000000BA
+:10A6A00000000000000000000000000000000000AA
+:10A6B000000000000000000000000000000000009A
+:10A6C000000000000000000000000000000000008A
+:10A6D000000000000000000000000000000000007A
+:10A6E000000000000000000000000000000000006A
+:10A6F000000000000000000000000000000000005A
+:10A700000000000000000000000000000000000049
+:10A710000000000000000000000000000000000039
+:10A720000000000000000000000000000000000029
+:10A730000000000000000000000000000000000019
+:10A740000000000000000000000000000000000009
+:10A7500000000000000000000000000000000000F9
+:10A7600000000000000000000000000000000000E9
+:10A7700000000000000000000000000000000000D9
+:10A7800000000000000000000000000000000000C9
+:10A7900000000000000000000000000000000000B9
+:10A7A00000000000000000000000000000000000A9
+:10A7B0000000000000000000000000000000000099
+:10A7C0000000000000000000000000000000000089
+:10A7D0000000000000000000000000000000000079
+:10A7E0000000000000000000000000000000000069
+:10A7F0000000000000000000000000000000000059
+:10A800000000000000000000000000000000000048
+:10A810000000000000000000000000000000000038
+:10A820000000000000000000000000000000000028
+:10A830000000000000000000000000000000000018
+:10A840000000000000000000000000000000000008
+:10A8500000000000000000000000000000000000F8
+:10A8600000000000000000000000000000000000E8
+:10A8700000000000000000000000000000000000D8
+:10A8800000000000000000000000000000000000C8
+:10A8900000000000000000000000000000000000B8
+:10A8A00000000000000000000000000000000000A8
+:10A8B0000000000000000000000000000000000098
+:10A8C0000000000000000000000000000000000088
+:10A8D0000000000000000000000000000000000078
+:10A8E0000000000000000000000000000000000068
+:10A8F0000000000000000000000000000000000058
+:10A900000000000000000000000000000000000047
+:10A910000000000000000000000000000000000037
+:10A920000000000000000000000000000000000027
+:10A930000000000000000000000000000000000017
+:10A940000000000000000000000000000000000007
+:10A9500000000000000000000000000000000000F7
+:10A9600000000000000000000000000000000000E7
+:10A9700000000000000000000000000000000000D7
+:10A9800000000000000000000000000000000000C7
+:10A9900000000000000000000000000000000000B7
+:10A9A00000000000000000000000000000000000A7
+:10A9B0000000000000000000000000000000000097
+:10A9C0000000000000000000000000000000000087
+:10A9D0000000000000000000000000000000000077
+:10A9E0000000000000000000000000000000000067
+:10A9F0000000000000000000000000000000000057
+:10AA00000000000000000000000000000000000046
+:10AA10000000000000000000000000000000000036
+:10AA20000000000000000000000000000000000026
+:10AA30000000000000000000000000000000000016
+:10AA40000000000000000000000000000000000006
+:10AA500000000000000000000000000000000000F6
+:10AA600000000000000000000000000000000000E6
+:10AA700000000000000000000000000000000000D6
+:10AA800000000000000000000000000000000000C6
+:10AA900000000000000000000000000000000000B6
+:10AAA00000000000000000000000000000000000A6
+:10AAB0000000000000000000000000000000000096
+:10AAC0000000000000000000000000000000000086
+:10AAD0000000000000000000000000000000000076
+:10AAE0000000000000000000000000000000000066
+:10AAF0000000000000000000000000000000000056
+:10AB00000000000000000000000000000000000045
+:10AB10000000000000000000000000000000000035
+:10AB20000000000000000000000000000000000025
+:10AB30000000000000000000000000000000000015
+:10AB40000000000000000000000000000000000005
+:10AB500000000000000000000000000000000000F5
+:10AB600000000000000000000000000000000000E5
+:10AB700000000000000000000000000000000000D5
+:10AB800000000000000000000000000000000000C5
+:10AB900000000000000000000000000000000000B5
+:10ABA00000000000000000000000000000000000A5
+:10ABB0000000000000000000000000000000000095
+:10ABC0000000000000000000000000000000000085
+:10ABD0000000000000000000000000000000000075
+:10ABE0000000000000000000000000000000000065
+:10ABF0000000000000000000000000000000000055
+:10AC00000000000000000000000000000000000044
+:10AC10000000000000000000000000000000000034
+:10AC20000000000000000000000000000000000024
+:10AC30000000000000000000000000000000000014
+:10AC40000000000000000000000000000000000004
+:10AC500000000000000000000000000000000000F4
+:10AC600000000000000000000000000000000000E4
+:10AC700000000000000000000000000000000000D4
+:10AC800000000000000000000000000000000000C4
+:10AC900000000000000000000000000000000000B4
+:10ACA00000000000000000000000000000000000A4
+:10ACB0000000000000000000000000000000000094
+:10ACC0000000000000000000000000000000000084
+:10ACD0000000000000000000000000000000000074
+:10ACE0000000000000000000000000000000000064
+:10ACF0000000000000000000000000000000000054
+:10AD00000000000000000000000000000000000043
+:10AD10000000000000000000000000000000000033
+:10AD20000000000000000000000000000000000023
+:10AD30000000000000000000000000000000000013
+:10AD40000000000000000000000000000000000003
+:10AD500000000000000000000000000000000000F3
+:10AD600000000000000000000000000000000000E3
+:10AD700000000000000000000000000000000000D3
+:10AD800000000000000000000000000000000000C3
+:10AD900000000000000000000000000000000000B3
+:10ADA00000000000000000000000000000000000A3
+:10ADB0000000000000000000000000000000000093
+:10ADC0000000000000000000000000000000000083
+:10ADD0000000000000000000000000000000000073
+:10ADE0000000000000000000000000000000000063
+:10ADF0000000000000000000000000000000000053
+:10AE00000000000000000000000000000000000042
+:10AE10000000000000000000000000000000000032
+:10AE20000000000000000000000000000000000022
+:10AE30000000000000000000000000000000000012
+:10AE40000000000000000000000000000000000002
+:10AE500000000000000000000000000000000000F2
+:10AE600000000000000000000000000000000000E2
+:10AE700000000000000000000000000000000000D2
+:10AE800000000000000000000000000000000000C2
+:10AE900000000000000000000000000000000000B2
+:10AEA00000000000000000000000000000000000A2
+:10AEB0000000000000000000000000000000000092
+:10AEC0000000000000000000000000000000000082
+:10AED0000000000000000000000000000000000072
+:10AEE0000000000000000000000000000000000062
+:10AEF0000000000000000000000000000000000052
+:10AF00000000000000000000000000000000000041
+:10AF10000000000000000000000000000000000031
+:10AF20000000000000000000000000000000000021
+:10AF30000000000000000000000000000000000011
+:10AF40000000000000000000000000000000000001
+:10AF500000000000000000000000000000000000F1
+:10AF600000000000000000000000000000000000E1
+:10AF700000000000000000000000000000000000D1
+:10AF800000000000000000000000000000000000C1
+:10AF900000000000000000000000000000000000B1
+:10AFA00000000000000000000000000000000000A1
+:10AFB0000000000000000000000000000000000091
+:10AFC0000000000000000000000000000000000081
+:10AFD0000000000000000000000000000000000071
+:10AFE0000000000000000000000000000000000061
+:10AFF0000000000000000000000000000000000051
+:10B000000000000000000000000000000000000040
+:10B010000000000000000000000000000000000030
+:10B020000000000000000000000000000000000020
+:10B030000000000000000000000000000000000010
+:10B040000000000000000000000000000000000000
+:10B0500000000000000000000000000000000000F0
+:10B0600000000000000000000000000000000000E0
+:10B0700000000000000000000000000000000000D0
+:10B0800000000000000000000000000000000000C0
+:10B0900000000000000000000000000000000000B0
+:10B0A00000000000000000000000000000000000A0
+:10B0B0000000000000000000000000000000000090
+:10B0C0000000000000000000000000000000000080
+:10B0D0000000000000000000000000000000000070
+:10B0E0000000000000000000000000000000000060
+:10B0F0000000000000000000000000000000000050
+:10B10000000000000000000000000000000000003F
+:10B11000000000000000000000000000000000002F
+:10B12000000000000000000000000000000000001F
+:10B13000000000000000000000000000000000000F
+:10B1400000000000000000000000000000000000FF
+:10B1500000000000000000000000000000000000EF
+:10B1600000000000000000000000000000000000DF
+:10B1700000000000000000000000000000000000CF
+:10B1800000000000000000000000000000000000BF
+:10B1900000000000000000000000000000000000AF
+:10B1A000000000000000000000000000000000009F
+:10B1B000000000000000000000000000000000008F
+:10B1C000000000000000000000000000000000007F
+:10B1D000000000000000000000000000000000006F
+:10B1E000000000000000000000000000000000005F
+:10B1F000000000000000000000000000000000004F
+:10B20000000000000000000000000000000000003E
+:10B21000000000000000000000000000000000002E
+:10B22000000000000000000000000000000000001E
+:10B23000000000000000000000000000000000000E
+:10B2400000000000000000000000000000000000FE
+:10B2500000000000000000000000000000000000EE
+:10B2600000000000000000000000000000000000DE
+:10B2700000000000000000000000000000000000CE
+:10B2800000000000000000000000000000000000BE
+:10B2900000000000000000000000000000000000AE
+:10B2A000000000000000000000000000000000009E
+:10B2B000000000000000000000000000000000008E
+:10B2C000000000000000000000000000000000007E
+:10B2D000000000000000000000000000000000006E
+:10B2E000000000000000000000000000000000005E
+:10B2F000000000000000000000000000000000004E
+:10B30000000000000000000000000000000000003D
+:10B31000000000000000000000000000000000002D
+:10B32000000000000000000000000000000000001D
+:10B33000000000000000000000000000000000000D
+:10B3400000000000000000000000000000000000FD
+:10B3500000000000000000000000000000000000ED
+:10B3600000000000000000000000000000000000DD
+:10B3700000000000000000000000000000000000CD
+:10B3800000000000000000000000000000000000BD
+:10B3900000000000000000000000000000000000AD
+:10B3A000000000000000000000000000000000009D
+:10B3B000000000000000000000000000000000008D
+:10B3C000000000000000000000000000000000007D
+:10B3D000000000000000000000000000000000006D
+:10B3E000000000000000000000000000000000005D
+:10B3F000000000000000000000000000000000004D
+:10B40000000000000000000000000000000000003C
+:10B41000000000000000000000000000000000002C
+:10B42000000000000000000000000000000000001C
+:10B43000000000000000000000000000000000000C
+:10B4400000000000000000000000000000000000FC
+:10B4500000000000000000000000000000000000EC
+:10B4600000000000000000000000000000000000DC
+:10B4700000000000000000000000000000000000CC
+:10B4800000000000000000000000000000000000BC
+:10B4900000000000000000000000000000000000AC
+:10B4A000000000000000000000000000000000009C
+:10B4B000000000000000000000000000000000008C
+:10B4C000000000000000000000000000000000007C
+:10B4D000000000000000000000000000000000006C
+:10B4E000000000000000000000000000000000005C
+:10B4F000000000000000000000000000000000004C
+:10B50000000000000000000000000000000000003B
+:10B51000000000000000000000000000000000002B
+:10B52000000000000000000000000000000000001B
+:10B53000000000000000000000000000000000000B
+:10B5400000000000000000000000000000000000FB
+:10B5500000000000000000000000000000000000EB
+:10B5600000000000000000000000000000000000DB
+:10B5700000000000000000000000000000000000CB
+:10B5800000000000000000000000000000000000BB
+:10B5900000000000000000000000000000000000AB
+:10B5A000000000000000000000000000000000009B
+:10B5B000000000000000000000000000000000008B
+:10B5C000000000000000000000000000000000007B
+:10B5D000000000000000000000000000000000006B
+:10B5E000000000000000000000000000000000005B
+:10B5F000000000000000000000000000000000004B
+:10B60000000000000000000000000000000000003A
+:10B61000000000000000000000000000000000002A
+:10B62000000000000000000000000000000000001A
+:10B63000000000000000000000000000000000000A
+:10B6400000000000000000000000000000000000FA
+:10B6500000000000000000000000000000000000EA
+:10B6600000000000000000000000000000000000DA
+:10B6700000000000000000000000000000000000CA
+:10B6800000000000000000000000000000000000BA
+:10B6900000000000000000000000000000000000AA
+:10B6A000000000000000000000000000000000009A
+:10B6B000000000000000000000000000000000008A
+:10B6C000000000000000000000000000000000007A
+:10B6D000000000000000000000000000000000006A
+:10B6E000000000000000000000000000000000005A
+:10B6F000000000000000000000000000000000004A
+:10B700000000000000000000000000000000000039
+:10B710000000000000000000000000000000000029
+:10B720000000000000000000000000000000000019
+:10B730000000000000000000000000000000000009
+:10B7400000000000000000000000000000000000F9
+:10B7500000000000000000000000000000000000E9
+:10B7600000000000000000000000000000000000D9
+:10B7700000000000000000000000000000000000C9
+:10B7800000000000000000000000000000000000B9
+:10B7900000000000000000000000000000000000A9
+:10B7A0000000000000000000000000000000000099
+:10B7B0000000000000000000000000000000000089
+:10B7C0000000000000000000000000000000000079
+:10B7D0000000000000000000000000000000000069
+:10B7E0000000000000000000000000000000000059
+:10B7F0000000000000000000000000000000000049
+:10B800000000000000000000000000000000000038
+:10B810000000000000000000000000000000000028
+:10B820000000000000000000000000000000000018
+:10B830000000000000000000000000000000000008
+:10B8400000000000000000000000000000000000F8
+:10B8500000000000000000000000000000000000E8
+:10B8600000000000000000000000000000000000D8
+:10B8700000000000000000000000000000000000C8
+:10B8800000000000000000000000000000000000B8
+:10B8900000000000000000000000000000000000A8
+:10B8A0000000000000000000000000000000000098
+:10B8B0000000000000000000000000000000000088
+:10B8C0000000000000000000000000000000000078
+:10B8D0000000000000000000000000000000000068
+:10B8E0000000000000000000000000000000000058
+:10B8F0000000000000000000000000000000000048
+:10B900000000000000000000000000000000000037
+:10B910000000000000000000000000000000000027
+:10B920000000000000000000000000000000000017
+:10B930000000000000000000000000000000000007
+:10B9400000000000000000000000000000000000F7
+:10B9500000000000000000000000000000000000E7
+:10B9600000000000000000000000000000000000D7
+:10B9700000000000000000000000000000000000C7
+:10B9800000000000000000000000000000000000B7
+:10B9900000000000000000000000000000000000A7
+:10B9A0000000000000000000000000000000000097
+:10B9B0000000000000000000000000000000000087
+:10B9C0000000000000000000000000000000000077
+:10B9D0000000000000000000000000000000000067
+:10B9E0000000000000000000000000000000000057
+:10B9F0000000000000000000000000000000000047
+:10BA00000000000000000000000000000000000036
+:10BA10000000000000000000000000000000000026
+:10BA20000000000000000000000000000000000016
+:10BA30000000000000000000000000000000000006
+:10BA400000000000000000000000000000000000F6
+:10BA500000000000000000000000000000000000E6
+:10BA600000000000000000000000000000000000D6
+:10BA700000000000000000000000000000000000C6
+:10BA800000000000000000000000000000000000B6
+:10BA900000000000000000000000000000000000A6
+:10BAA0000000000000000000000000000000000096
+:10BAB0000000000000000000000000000000000086
+:10BAC0000000000000000000000000000000000076
+:10BAD0000000000000000000000000000000000066
+:10BAE0000000000000000000000000000000000056
+:10BAF0000000000000000000000000000000000046
+:10BB00000000000000000000000000000000000035
+:10BB10000000000000000000000000000000000025
+:10BB20000000000000000000000000000000000015
+:10BB30000000000000000000000000000000000005
+:10BB400000000000000000000000000000000000F5
+:10BB500000000000000000000000000000000000E5
+:10BB600000000000000000000000000000000000D5
+:10BB700000000000000000000000000000000000C5
+:10BB800000000000000000000000000000000000B5
+:10BB900000000000000000000000000000000000A5
+:10BBA0000000000000000000000000000000000095
+:10BBB0000000000000000000000000000000000085
+:10BBC0000000000000000000000000000000000075
+:10BBD0000000000000000000000000000000000065
+:10BBE0000000000000000000000000000000000055
+:10BBF0000000000000000000000000000000000045
+:10BC00000000000000000000000000000000000034
+:10BC10000000000000000000000000000000000024
+:10BC20000000000000000000000000000000000014
+:10BC30000000000000000000000000000000000004
+:10BC400000000000000000000000000000000000F4
+:10BC500000000000000000000000000000000000E4
+:10BC600000000000000000000000000000000000D4
+:10BC700000000000000000000000000000000000C4
+:10BC800000000000000000000000000000000000B4
+:10BC900000000000000000000000000000000000A4
+:10BCA0000000000000000000000000000000000094
+:10BCB0000000000000000000000000000000000084
+:10BCC0000000000000000000000000000000000074
+:10BCD0000000000000000000000000000000000064
+:10BCE0000000000000000000000000000000000054
+:10BCF0000000000000000000000000000000000044
+:10BD00000000000000000000000000000000000033
+:10BD10000000000000000000000000000000000023
+:10BD20000000000000000000000000000000000013
+:10BD30000000000000000000000000000000000003
+:10BD400000000000000000000000000000000000F3
+:10BD500000000000000000000000000000000000E3
+:10BD600000000000000000000000000000000000D3
+:10BD700000000000000000000000000000000000C3
+:10BD800000000000000000000000000000000000B3
+:10BD900000000000000000000000000000000000A3
+:10BDA0000000000000000000000000000000000093
+:10BDB0000000000000000000000000000000000083
+:10BDC0000000000000000000000000000000000073
+:10BDD0000000000000000000000000000000000063
+:10BDE0000000000000000000000000000000000053
+:10BDF0000000000000000000000000000000000043
+:10BE00000000000000000000000000000000000032
+:10BE10000000000000000000000000000000000022
+:10BE20000000000000000000000000000000000012
+:10BE30000000000000000000000000000000000002
+:10BE400000000000000000000000000000000000F2
+:10BE500000000000000000000000000000000000E2
+:10BE600000000000000000000000000000000000D2
+:10BE700000000000000000000000000000000000C2
+:10BE800000000000000000000000000000000000B2
+:10BE900000000000000000000000000000000000A2
+:10BEA0000000000000000000000000000000000092
+:10BEB0000000000000000000000000000000000082
+:10BEC0000000000000000000000000000000000072
+:10BED0000000000000000000000000000000000062
+:10BEE0000000000000000000000000000000000052
+:10BEF0000000000000000000000000000000000042
+:10BF00000000000000000000000000000000000031
+:10BF10000000000000000000000000000000000021
+:10BF20000000000000000000000000000000000011
+:10BF30000000000000000000000000000000000001
+:10BF400000000000000000000000000000000000F1
+:10BF500000000000000000000000000000000000E1
+:10BF600000000000000000000000000000000000D1
+:10BF700000000000000000000000000000000000C1
+:10BF800000000000000000000000000000000000B1
+:10BF900000000000000000000000000000000000A1
+:10BFA0000000000000000000000000000000000091
+:10BFB0000000000000000000000000000000000081
+:10BFC0000000000000000000000000000000000071
+:10BFD0000000000000000000000000000000000061
+:10BFE0000000000000000000000000000000000051
+:10BFF0000000000000000000000000000000000041
+:10C000000000000000000000000000000000000030
+:10C010000000000000000000000000000000000020
+:10C020000000000000000000000000000000000010
+:10C030000000000000000000000000000000000000
+:10C0400000000000000000000000000000000000F0
+:10C0500000000000000000000000000000000000E0
+:10C0600000000000000000000000000000000000D0
+:10C0700000000000000000000000000000000000C0
+:10C0800000000000000000000000000000000000B0
+:10C0900000000000000000000000000000000000A0
+:10C0A0000000000000000000000000000000000090
+:10C0B0000000000000000000000000000000000080
+:10C0C0000000000000000000000000000000000070
+:10C0D0000000000000000000000000000000000060
+:10C0E0000000000000000000000000000000000050
+:10C0F0000000000000000000000000000000000040
+:10C10000000000000000000000000000000000002F
+:10C11000000000000000000000000000000000001F
+:10C12000000000000000000000000000000000000F
+:10C1300000000000000000000000000000000000FF
+:10C1400000000000000000000000000000000000EF
+:10C1500000000000000000000000000000000000DF
+:10C1600000000000000000000000000000000000CF
+:10C1700000000000000000000000000000000000BF
+:10C1800000000000000000000000000000000000AF
+:10C19000000000000000000000000000000000009F
+:10C1A000000000000000000000000000000000008F
+:10C1B000000000000000000000000000000000007F
+:10C1C000000000000000000000000000000000006F
+:10C1D000000000000000000000000000000000005F
+:10C1E000000000000000000000000000000000004F
+:10C1F000000000000000000000000000000000003F
+:10C20000000000000000000000000000000000002E
+:10C21000000000000000000000000000000000001E
+:10C22000000000000000000000000000000000000E
+:10C2300000000000000000000000000000000000FE
+:10C2400000000000000000000000000000000000EE
+:10C2500000000000000000000000000000000000DE
+:10C2600000000000000000000000000000000000CE
+:10C2700000000000000000000000000000000000BE
+:10C2800000000000000000000000000000000000AE
+:10C29000000000000000000000000000000000009E
+:10C2A000000000000000000000000000000000008E
+:10C2B000000000000000000000000000000000007E
+:10C2C000000000000000000000000000000000006E
+:10C2D000000000000000000000000000000000005E
+:10C2E000000000000000000000000000000000004E
+:10C2F000000000000000000000000000000000003E
+:10C30000000000000000000000000000000000002D
+:10C31000000000000000000000000000000000001D
+:10C32000000000000000000000000000000000000D
+:10C3300000000000000000000000000000000000FD
+:10C3400000000000000000000000000000000000ED
+:10C3500000000000000000000000000000000000DD
+:10C3600000000000000000000000000000000000CD
+:10C3700000000000000000000000000000000000BD
+:10C3800000000000000000000000000000000000AD
+:10C39000000000000000000000000000000000009D
+:10C3A000000000000000000000000000000000008D
+:10C3B000000000000000000000000000000000007D
+:10C3C000000000000000000000000000000000006D
+:10C3D000000000000000000000000000000000005D
+:10C3E000000000000000000000000000000000004D
+:10C3F000000000000000000000000000000000003D
+:10C40000000000000000000000000000000000002C
+:10C41000000000000000000000000000000000001C
+:10C42000000000000000000000000000000000000C
+:10C4300000000000000000000000000000000000FC
+:10C4400000000000000000000000000000000000EC
+:10C4500000000000000000000000000000000000DC
+:10C4600000000000000000000000000000000000CC
+:10C4700000000000000000000000000000000000BC
+:10C4800000000000000000000000000000000000AC
+:10C49000000000000000000000000000000000009C
+:10C4A000000000000000000000000000000000008C
+:10C4B000000000000000000000000000000000007C
+:10C4C000000000000000000000000000000000006C
+:10C4D000000000000000000000000000000000005C
+:10C4E000000000000000000000000000000000004C
+:10C4F000000000000000000000000000000000003C
+:10C50000000000000000000000000000000000002B
+:10C51000000000000000000000000000000000001B
+:10C52000000000000000000000000000000000000B
+:10C5300000000000000000000000000000000000FB
+:10C5400000000000000000000000000000000000EB
+:10C5500000000000000000000000000000000000DB
+:10C5600000000000000000000000000000000000CB
+:10C5700000000000000000000000000000000000BB
+:10C5800000000000000000000000000000000000AB
+:10C59000000000000000000000000000000000009B
+:10C5A000000000000000000000000000000000008B
+:10C5B000000000000000000000000000000000007B
+:10C5C000000000000000000000000000000000006B
+:10C5D000000000000000000000000000000000005B
+:10C5E000000000000000000000000000000000004B
+:10C5F000000000000000000000000000000000003B
+:10C60000000000000000000000000000000000002A
+:10C61000000000000000000000000000000000001A
+:10C62000000000000000000000000000000000000A
+:10C6300000000000000000000000000000000000FA
+:10C6400000000000000000000000000000000000EA
+:10C6500000000000000000000000000000000000DA
+:10C6600000000000000000000000000000000000CA
+:10C6700000000000000000000000000000000000BA
+:10C6800000000000000000000000000000000000AA
+:10C69000000000000000000000000000000000009A
+:10C6A000000000000000000000000000000000008A
+:10C6B000000000000000000000000000000000007A
+:10C6C000000000000000000000000000000000006A
+:10C6D000000000000000000000000000000000005A
+:10C6E000000000000000000000000000000000004A
+:10C6F000000000000000000000000000000000003A
+:10C700000000000000000000000000000000000029
+:10C710000000000000000000000000000000000019
+:10C720000000000000000000000000000000000009
+:10C7300000000000000000000000000000000000F9
+:10C7400000000000000000000000000000000000E9
+:10C7500000000000000000000000000000000000D9
+:10C7600000000000000000000000000000000000C9
+:10C7700000000000000000000000000000000000B9
+:10C7800000000000000000000000000000000000A9
+:10C790000000000000000000000000000000000099
+:10C7A0000000000000000000000000000000000089
+:10C7B0000000000000000000000000000000000079
+:10C7C0000000000000000000000000000000000069
+:10C7D0000000000000000000000000000000000059
+:10C7E0000000000000000000000000000000000049
+:10C7F0000000000000000000000000000000000039
+:10C800000000000000000000000000000000000028
+:10C810000000000000000000000000000000000018
+:10C820000000000000000000000000000000000008
+:10C8300000000000000000000000000000000000F8
+:10C8400000000000000000000000000000000000E8
+:10C8500000000000000000000000000000000000D8
+:10C8600000000000000000000000000000000000C8
+:10C8700000000000000000000000000000000000B8
+:10C8800000000000000000000000000000000000A8
+:10C890000000000000000000000000000000000098
+:10C8A0000000000000000000000000000000000088
+:10C8B0000000000000000000000000000000000078
+:10C8C0000000000000000000000000000000000068
+:10C8D0000000000000000000000000000000000058
+:10C8E0000000000000000000000000000000000048
+:10C8F0000000000000000000000000000000000038
+:10C900000000000000000000000000000000000027
+:10C910000000000000000000000000000000000017
+:10C920000000000000000000000000000000000007
+:10C9300000000000000000000000000000000000F7
+:10C9400000000000000000000000000000000000E7
+:10C9500000000000000000000000000000000000D7
+:10C9600000000000000000000000000000000000C7
+:10C9700000000000000000000000000000000000B7
+:10C9800000000000000000000000000000000000A7
+:10C990000000000000000000000000000000000097
+:10C9A0000000000000000000000000000000000087
+:10C9B0000000000000000000000000000000000077
+:10C9C0000000000000000000000000000000000067
+:10C9D0000000000000000000000000000000000057
+:10C9E0000000000000000000000000000000000047
+:10C9F0000000000000000000000000000000000037
+:10CA00000000000000000000000000000000000026
+:10CA10000000000000000000000000000000000016
+:10CA20000000000000000000000000000000000006
+:10CA300000000000000000000000000000000000F6
+:10CA400000000000000000000000000000000000E6
+:10CA500000000000000000000000000000000000D6
+:10CA600000000000000000000000000000000000C6
+:10CA700000000000000000000000000000000000B6
+:10CA800000000000000000000000000000000000A6
+:10CA90000000000000000000000000000000000096
+:10CAA0000000000000000000000000000000000086
+:10CAB0000000000000000000000000000000000076
+:10CAC0000000000000000000000000000000000066
+:10CAD0000000000000000000000000000000000056
+:10CAE0000000000000000000000000000000000046
+:10CAF0000000000000000000000000000000000036
+:10CB00000000000000000000000000000000000025
+:10CB10000000000000000000000000000000000015
+:10CB20000000000000000000000000000000000005
+:10CB300000000000000000000000000000000000F5
+:10CB400000000000000000000000000000000000E5
+:10CB500000000000000000000000000000000000D5
+:10CB600000000000000000000000000000000000C5
+:10CB700000000000000000000000000000000000B5
+:10CB800000000000000000000000000000000000A5
+:10CB90000000000000000000000000000000000095
+:10CBA0000000000000000000000000000000000085
+:10CBB0000000000000000000000000000000000075
+:10CBC0000000000000000000000000000000000065
+:10CBD0000000000000000000000000000000000055
+:10CBE0000000000000000000000000000000000045
+:10CBF0000000000000000000000000000000000035
+:10CC00000000000000000000000000000000000024
+:10CC10000000000000000000000000000000000014
+:10CC20000000000000000000000000000000000004
+:10CC300000000000000000000000000000000000F4
+:10CC400000000000000000000000000000000000E4
+:10CC500000000000000000000000000000000000D4
+:10CC600000000000000000000000000000000000C4
+:10CC700000000000000000000000000000000000B4
+:10CC800000000000000000000000000000000000A4
+:10CC90000000000000000000000000000000000094
+:10CCA0000000000000000000000000000000000084
+:10CCB0000000000000000000000000000000000074
+:10CCC0000000000000000000000000000000000064
+:10CCD0000000000000000000000000000000000054
+:10CCE0000000000000000000000000000000000044
+:10CCF0000000000000000000000000000000000034
+:10CD00000000000000000000000000000000000023
+:10CD10000000000000000000000000000000000013
+:10CD20000000000000000000000000000000000003
+:10CD300000000000000000000000000000000000F3
+:10CD400000000000000000000000000000000000E3
+:10CD500000000000000000000000000000000000D3
+:10CD600000000000000000000000000000000000C3
+:10CD700000000000000000000000000000000000B3
+:10CD800000000000000000000000000000000000A3
+:10CD90000000000000000000000000000000000093
+:10CDA0000000000000000000000000000000000083
+:10CDB0000000000000000000000000000000000073
+:10CDC0000000000000000000000000000000000063
+:10CDD0000000000000000000000000000000000053
+:10CDE0000000000000000000000000000000000043
+:10CDF0000000000000000000000000000000000033
+:10CE00000000000000000000000000000000000022
+:10CE10000000000000000000000000000000000012
+:10CE20000000000000000000000000000000000002
+:10CE300000000000000000000000000000000000F2
+:10CE400000000000000000000000000000000000E2
+:10CE500000000000000000000000000000000000D2
+:10CE600000000000000000000000000000000000C2
+:10CE700000000000000000000000000000000000B2
+:10CE800000000000000000000000000000000000A2
+:10CE90000000000000000000000000000000000092
+:10CEA0000000000000000000000000000000000082
+:10CEB0000000000000000000000000000000000072
+:10CEC0000000000000000000000000000000000062
+:10CED0000000000000000000000000000000000052
+:10CEE0000000000000000000000000000000000042
+:10CEF0000000000000000000000000000000000032
+:10CF00000000000000000000000000000000000021
+:10CF10000000000000000000000000000000000011
+:10CF20000000000000000000000000000000000001
+:10CF300000000000000000000000000000000000F1
+:10CF400000000000000000000000000000000000E1
+:10CF500000000000000000000000000000000000D1
+:10CF600000000000000000000000000000000000C1
+:10CF700000000000000000000000000000000000B1
+:10CF800000000000000000000000000000000000A1
+:10CF90000000000000000000000000000000000091
+:10CFA0000000000000000000000000000000000081
+:10CFB0000000000000000000000000000000000071
+:10CFC0000000000000000000000000000000000061
+:10CFD0000000000000000000000000000000000051
+:10CFE0000000000000000000000000000000000041
+:10CFF0000000000000000000000000000000000031
+:10D000000000000000000000000000000000000020
+:10D010000000000000000000000000000000000010
+:10D020000000000000000000000000000000000000
+:10D0300000000000000000000000000000000000F0
+:10D0400000000000000000000000000000000000E0
+:10D0500000000000000000000000000000000000D0
+:10D0600000000000000000000000000000000000C0
+:10D0700000000000000000000000000000000000B0
+:10D0800000000000000000000000000000000000A0
+:10D090000000000000000000000000000000000090
+:10D0A0000000000000000000000000000000000080
+:10D0B0000000000000000000000000000000000070
+:10D0C0000000000000000000000000000000000060
+:10D0D0000000000000000000000000000000000050
+:10D0E0000000000000000000000000000000000040
+:10D0F0000000000000000000000000000000000030
+:10D10000000000000000000000000000000000001F
+:10D11000000000000000000000000000000000000F
+:10D1200000000000000000000000000000000000FF
+:10D1300000000000000000000000000000000000EF
+:10D1400000000000000000000000000000000000DF
+:10D1500000000000000000000000000000000000CF
+:10D1600000000000000000000000000000000000BF
+:10D1700000000000000000000000000000000000AF
+:10D18000000000000000000000000000000000009F
+:10D19000000000000000000000000000000000008F
+:10D1A000000000000000000000000000000000007F
+:10D1B000000000000000000000000000000000006F
+:10D1C000000000000000000000000000000000005F
+:10D1D000000000000000000000000000000000004F
+:10D1E000000000000000000000000000000000003F
+:10D1F000000000000000000000000000000000002F
+:10D20000000000000000000000000000000000001E
+:10D21000000000000000000000000000000000000E
+:10D2200000000000000000000000000000000000FE
+:10D2300000000000000000000000000000000000EE
+:10D2400000000000000000000000000000000000DE
+:10D2500000000000000000000000000000000000CE
+:10D2600000000000000000000000000000000000BE
+:10D2700000000000000000000000000000000000AE
+:10D28000000000000000000000000000000000009E
+:10D29000000000000000000000000000000000008E
+:10D2A000000000000000000000000000000000007E
+:10D2B000000000000000000000000000000000006E
+:10D2C000000000000000000000000000000000005E
+:10D2D000000000000000000000000000000000004E
+:10D2E000000000000000000000000000000000003E
+:10D2F000000000000000000000000000000000002E
+:10D30000000000000000000000000000000000001D
+:10D31000000000000000000000000000000000000D
+:10D3200000000000000000000000000000000000FD
+:10D330000000000010000003000000000000000DCD
+:10D340000000000D3C02080024427A603C03080003
+:10D3500024637AD8AC4000000043202B1480FFFDEA
+:10D36000244200043C1D080037BD9FFC03A0F021AF
+:10D370003C100800261031D83C1C0800279C7A601D
+:10D380000E001253000000000000000D3C0280005F
+:10D3900030A5FFFF30C600FF344301803C08800009
+:10D3A0008D0901B80520FFFE00000000AC640000FC
+:10D3B00024040002A4650008A066000AA064000B13
+:10D3C000AC6700183C03100003E00008AD0301B88F
+:10D3D0003C0560008CA24FF80440FFFE00000000F6
+:10D3E000ACA44FC03C0310003C040200ACA44FC4EA
+:10D3F00003E00008ACA34FF827BDFFE8AFBF00145F
+:10D40000AFB000100E0012A5008080213C048008FF
+:10D410003485008090A600052403FFFE0200202131
+:10D4200000C310248FBF00148FB00010A0A200050D
+:10D430000A0012AF27BD001827BDFFE8AFB00010EB
+:10D44000AFBF00140E000ED6008080213C0680087D
+:10D4500034C5008090A4000024020050308300FFF7
+:10D46000106200073C098000020020218FBF0014D9
+:10D470008FB00010AD2001800A000FC527BD001835
+:10D48000240801003C078000020020218FBF001407
+:10D490008FB00010ACE801800A000FC527BD00184E
+:10D4A00027BDFF883C088008AFBE0070AFB600689B
+:10D4B000AFB40060AFB00050AFBF0074AFB7006C46
+:10D4C000AFB50064AFB3005CAFB20058AFB1005469
+:10D4D000350500803C0780008CF1012890A40009EC
+:10D4E000ACE0008490A60005309000FF0000A02171
+:10D4F00000061827306200010000B02114400067C8
+:10D500000000F02190A9000024050020312400FF34
+:10D5100010850016240A0050108A008D00000000BB
+:10D520003C0C08008D8C00DC258B00013C010800C0
+:10D53000AC2B00DC0E00139B000000008FBF0074BA
+:10D540008FBE00708FB7006C8FB600688FB5006417
+:10D550008FB400608FB3005C8FB200588FB100545D
+:10D560008FB0005003E0000827BD00780000000DD8
+:10D570003C158000AFA0003096A201168EB90104C0
+:10D580003C1F002036B20C00033FC0240018B82B0B
+:10D5900000173140AFA600308EAE01043053FFFFBC
+:10D5A0003C0F00400272382101CF682490F2000D38
+:10D5B00011A0004834C4004032430020146000022F
+:10D5C000348600800080302114C00094AFA6003063
+:10D5D0003C0980083525008090A8000831060040ED
+:10D5E00050C000063C088008240A0004120A00A368
+:10D5F000240B0012120B00293C088008351501008D
+:10D600003C17800096F3011A94EE000E92AF0008CA
+:10D61000324C00043275FFFF01EE6804AFAD003CF0
+:10D620008CF30004118000318CF700083503008072
+:10D63000907800083307004014E000280000000044
+:10D640008C72005002728823062000063C0680007F
+:10D650008C7F0034027FC823072200848E8200085A
+:10D660003C068000ACC00044240200018FBF00745F
+:10D670008FBE00708FB7006C8FB600688FB50064E6
+:10D680008FB400608FB3005C8FB200588FB100542C
+:10D690008FB0005003E0000827BD00780E000CB8E2
+:10D6A000000020218FBF00748FBE00708FB7006C08
+:10D6B0008FB600688FB500648FB400608FB3005CD4
+:10D6C0008FB200588FB100548FB0005003E00008B3
+:10D6D00027BD00780A000D1800C020210E00146C30
+:10D6E000026020211440FFDF3C0680003C038008DC
+:10D6F000346300808C6400340264102304400018FA
+:10D70000000000003C1408008E94310026900001B7
+:10D710003C010800AC3031000E0012A5022020218F
+:10D720003C048008349F008093FE002502202021C5
+:10D7300037C90004A3E900250E0012AF0000000065
+:10D740000E000C9E022020210A000D45240200013B
+:10D750003C14080026947AC80A000D073C15800086
+:10D760008C6800300268302318C00008240B000CBD
+:10D770003C0908008D293100325200FC0000A8212C
+:10D78000252500013C010800AC253100AFAB00307D
+:10D790008C6A003001534023190000E002A8602A7F
+:10D7A0001580FFDD0000000012A8002A02A87823DF
+:10D7B0000268982131F5FFFF3247000210E0003483
+:10D7C000325900103C13800836700080921E000809
+:10D7D00033D6004052C000D38E82000802202021A0
+:10D7E0000E0012A524120018A212000992170005BB
+:10D7F0002418FFFE0220202102F8A8240E0012AFF8
+:10D80000A215000524040039000028210E00144749
+:10D81000240600180A000D45240200019296000C0F
+:10D820003C048008349E00808FC700380016A30097
+:10D830003690008130C600FF022020210E000C8DA2
+:10D840003205F0813C068000ACC000440A000D4562
+:10D85000240200013A4E000131CD000115A0FFAEB7
+:10D86000026898210A000D97000000000040F809A6
+:10D87000240400160A000D45240200010220202184
+:10D880000E00152900E028210A000CFA8FBF007451
+:10D890001320FF733C048008348900808D230038F6
+:10D8A0008C82000402E2F8231FE0FF6E3C06800039
+:10D8B00002E3302304C200010060B821AFA80018C1
+:10D8C0003C198000AFB30010AFB5001497260120BB
+:10D8D0008D2A00309524005C8FB8003C8FAD00305D
+:10D8E0003087FFFF30DFFFFF03E87021372F400054
+:10D8F0000307282B8E82000401CF602101A5582543
+:10D90000AFA90048AFAC0020AFAA0028AFAB0030F1
+:10D91000AFAA0024AFA0002CAFB700340040F80934
+:10D9200027A400108FA8003031030002106000020D
+:10D930008FA90048325200FE912300083069004050
+:10D94000512000138FA400243C0280088C4800045E
+:10D95000111700A4240A0014325800015300000CCF
+:10D960008FA400242419000C121900C02A1F000DD6
+:10D9700013E000BA2406000E2404000A5204000139
+:10D98000241600088FA9002425240001AFA4002438
+:10D990003C188008370500808FA700148CAF00303A
+:10D9A000340CFFFF00877021ACAE0030AFAF003801
+:10D9B00090AD004E8CAB00308FA8003C01AC100441
+:10D9C00001625021ACAA00348FA6003002E8202169
+:10D9D00030C300081060000BAFA400408CB90020D9
+:10D9E0001324008F30C600FF9289000C8FA70034EB
+:10D9F00000098300360400803085F0800E000C8D15
+:10DA0000022020213C0A8008355000808E0300301F
+:10DA10008FA800380068302318C00065262F0080CA
+:10DA20003C0E08008DCE31982407FF8001E7682462
+:10DA300031EC007F3C0680003C02800431CB0010BA
+:10DA4000ACCD00901160003B0182282190B8006BA2
+:10DA5000570000393C048008241F0001A0BF006B60
+:10DA600094C5007A24B9000AA61900123C0A80085D
+:10DA70003545008090A800083110004016000004D1
+:10DA80003C038008324B00011560006B0000000071
+:10DA9000346400808C8C00208FB200401192000909
+:10DAA000346301008C6D0000026D102318400012D9
+:10DAB0008FB80040241E0001AC980020AC73000019
+:10DAC000AC77000416C0002D0000000017C000272E
+:10DAD0000000000012A00005000018218FA50030F2
+:10DAE00030B5000452A0FE9500601021240300010F
+:10DAF0000A000CF9006010218C6E000015D3FFF1B4
+:10DB0000000000008C67000402E7782305E1FFE9CC
+:10DB10008FB800400A000E5B000000000A000D985C
+:10DB2000000040210040F809240400170A000D45B8
+:10DB3000240200013C04800834900080241E00016F
+:10DB4000022020210E0012A5A61E00129209002517
+:10DB500002202021241E0001352200010E0012AFF8
+:10DB6000A20200250A000E463C0A80080E000C9E08
+:10DB7000022020210A000E5F000000000E0012A506
+:10DB8000022020213C198008373700800220202104
+:10DB90000E0012AFA2F6000902C0302124040037A3
+:10DBA0000E001447000028210A000E5D000000004E
+:10DBB0008FA6001858C0FFAE3C0A80080E0012A5C0
+:10DBC000022020219203002502202021241E000192
+:10DBD000346200040E0012AFA20200250A000E46B5
+:10DBE0003C0A8008120A00302A0B0015116000243C
+:10DBF000240D0016240C000C560CFF58325800015E
+:10DC00003C05800890AF001B2407FFBD2416000EC2
+:10DC100001E77024A0AE001B0A000E01325800017B
+:10DC20003C1F800097E5011A50A0FF6F34C600101A
+:10DC30000A000E259289000C8CB300308E960008E5
+:10DC4000240400182674000102C0F809ACB40030A6
+:10DC50008FB100300A000CF9322200041606FF4A88
+:10DC60008FA900240A000E0C241600102410000EA8
+:10DC700052D0FF44241600100A000E0B2416001682
+:10DC8000560DFF36325800013C05800890AF001B4E
+:10DC90002407FFBD2416001001E77024A0AE001B6E
+:10DCA0000A000E01325800010A000E00241600126C
+:10DCB0003C0380008C6201B80440FFFE240408008D
+:10DCC000AC6401B803E00008000000003C058008D7
+:10DCD00094A200483084FFFF1040001924840012F1
+:10DCE00094A900483C0380003128FFFF0104382A32
+:10DCF00010E0001334660180946D01208F8C0004C5
+:10DD0000240B001A31AAFFFF31834000A0CB000B87
+:10DD1000106000102544FFFE94AF004831EEFFFF75
+:10DD200001C4282B14A0000C8F98000CA4C400146C
+:10DD30008F86000C34C2000103E00008AF82000CA3
+:10DD40003C0780002404000334E2018003E0000863
+:10DD5000A044000B8F98000C2419FFFE0319102417
+:10DD600003E00008AF82000C27BDFFD8AFB400204D
+:10DD7000AFB3001CAFB20018AFB10014AFBF0024A6
+:10DD8000AFB000100080182130B3FFFF30D2FFFF8A
+:10DD900030F4FFFF3C1180008E2201B80440FFFEEA
+:10DDA00036300180AE030000024020210E000EDD5F
+:10DDB000AE2300208F86000C8F8500048F83000027
+:10DDC00030C48000A613000CA612000EA605001099
+:10DDD000AE030028A61400081080000E3C0F80003F
+:10DDE000962C0116318BFFFC256A00040151482155
+:10DDF0008D2840003107FFFF14E000072414BFFF07
+:10DE00003C0EFFFF35CD7FFF00CD3024AF86000CE8
+:10DE10003C0F80002414BFFF35F1018000D498240A
+:10DE2000A63300268DF20104AE32002C3C06100011
+:10DE3000ADE601B88FBF00248FB400208FB3001C63
+:10DE40008FB200188FB100148FB0001003E00008EB
+:10DE500027BD002827BDFFD8AFB100143C118000BA
+:10DE600000804021AFB40020AFB0001030D4FFFFDD
+:10DE70003630018002802021AE080000AE2800204C
+:10DE8000AFB3001CAFB2001830F3FFFFAFBF0024E8
+:10DE90000E000EDD30B2FFFF8F85000C8F83000473
+:10DEA0002406BFFF00A62024A612000CA614000E14
+:10DEB000A6130008A6040026A60300103C021000CA
+:10DEC000AE2201B88FBF00248FB400208FB3001C96
+:10DED0008FB200188FB100148FB0001003E000085B
+:10DEE00027BD00283C028000344501803C048000AE
+:10DEF0008C8301B80460FFFE240720002406000282
+:10DF0000A4A70008A0A6000BA4A000103C051000C8
+:10DF1000AC8501B803E00008000000003C0580006B
+:10DF200034A400708C8A000090A601128F84000433
+:10DF300027BDFFF030C300FF0003188230820100CC
+:10DF4000000038211040003924660003308740006B
+:10DF500050E0003930882000000610800045C821BC
+:10DF60008F2F40002478000400187080AFAF0000AD
+:10DF700001C568218DAC4000AFAC000494AB011624
+:10DF80003169FFFC012540218D054000AFA5000847
+:10DF90008FA9000800003021000028213C0708005C
+:10DFA00024E701000A000F9E2408000890420000A8
+:10DFB00024A500012CAD000C0062C8210019C0800E
+:10DFC000030778218DEE000011A0000600CE302658
+:10DFD00003A5102114A8FFF500051A005520FFF431
+:10DFE000904200003C048000348700703C0508002B
+:10DFF0008CA531048CE300002CA80020110000093E
+:10E00000006A3823000558803C0C0800258C310834
+:10E01000016C482124AA0001AD2700003C01080042
+:10E02000AC2A3104AF8600002407000100E0102173
+:10E0300003E0000827BD00101100FFFC000038219C
+:10E0400000066080018558218D6440002469000429
+:10E0500000093880AFA4000000E518218C6640005C
+:10E06000AFA000080A000F8EAFA600043C02080013
+:10E070008C42003827BDFFD8AFB40020AFB20018E3
+:10E08000AFBF0024AFB3001CAFB10014AFB000109D
+:10E090003C14080026940038144000022452FFFF6C
+:10E0A000000090218F85000430A340001060000F15
+:10E0B00030A980003C06002000A620241080000B20
+:10E0C0008F87000C2408BFFF00A8282434E3100029
+:10E0D000AF85000430AF200015E0000A3C110004B9
+:10E0E0002413FFBF0A000FEF0073102415200062F5
+:10E0F0003C0B002030AF200011E0FFF98F83000CB3
+:10E100003C11000400B180241200FFF62413FFBF6D
+:10E110003462004030B801001300000FAF82000CE1
+:10E120003C1F002000BFC824132000053C0A8000CB
+:10E130003C03000400A31024104000C800000000AD
+:10E140009549011E9548011C3126FFFF00083C003F
+:10E1500000C72025AF8400003C0D800095AC010C69
+:10E1600030AB1000116000083186FFFF30AE002098
+:10E1700015C00006241100053C10100000B07824E2
+:10E1800015E000733C1F0C002411000130A20100B7
+:10E19000544000093C0C00018F83001454600006B9
+:10E1A0003C0C00018F87000C30E440001080009A86
+:10E1B0003C0A1F013C0C000100AC302414C0006C70
+:10E1C0003C1080008F84000C3093400012600006E9
+:10E1D0003C0310003C190F0000B9C0241300008B51
+:10E1E0003C1F80003C03100000A310241040002CB2
+:10E1F0003C0680003C0708008CE7003014E0007308
+:10E200003088400030A6010010C000983C0E0F007E
+:10E2100000AE68243C0C020011AC00948F93000007
+:10E22000027280240214782191F4000426920004E2
+:10E23000001221C03C0E800095C6010E3627000258
+:10E2400024D400043286FFFF240500020E000F04D0
+:10E25000000000008FBF00248FB400208FB3001C8B
+:10E260008FB200188FB100148FB000100000102181
+:10E2700003E0000827BD002800AB50241140FF9E9A
+:10E2800030AF20008F8C000C3C0EFFFF35CD7FFFA0
+:10E2900000AD2824358380000A000FDFAF8500041D
+:10E2A0008CD04000320F010011E0003C30B801007A
+:10E2B0003C1008008E1000241300001432330004B8
+:10E2C0003C020F0000A2F8243C19020013F9000FD1
+:10E2D0008F83000094C9010E022038210072582457
+:10E2E0000174502191480004252400043086FFFF6A
+:10E2F00025140004001421C0240500020E000F04A0
+:10E300002412FFFE021280243231FFFB2407000199
+:10E310001207006F3206000114C000903C0E80000E
+:10E32000320F000411E000048F980004331008003D
+:10E33000160000783C1F80005660FFC78FBF002486
+:10E340000E000F63000000000A0010408FBF002481
+:10E350003C190BFF00BFC0243733FFFF0278882B26
+:10E360005220FF8A241100010A00100D241100051B
+:10E370003C0E08008DCE00D8960F010E24040080BC
+:10E3800025CD000131E6FFFF240500023C01080015
+:10E39000AC2D00D80A00103D240700033C120800F1
+:10E3A0008E520024324200011040FFAB8FBF002488
+:10E3B00094C6010E362700020000202124D4000458
+:10E3C0003286FFFF0A00103D240500021100FF8E77
+:10E3D00030A601003C0B0F0000AB50243C090100AB
+:10E3E000012A202B1480FF88000000003C058000DB
+:10E3F00094A6010E362700022404008024D40004D1
+:10E400003286FFFF0A00103D2405000297E3011643
+:10E410000A0010243C03100000AA48243C08100005
+:10E420001528FF653C0C000130CB02001560FF632E
+:10E4300000AC30243C1480009692010E3627000276
+:10E44000000020212645000430A6FFFF0E000F3FEC
+:10E45000240500020A0010408FBF00240E000F7137
+:10E46000000000000A0010008F850004000000007A
+:10E470003C0608008CC600D0000516023050000F84
+:10E4800038DF00012FF900012E03000C0323C02404
+:10E490001700FF68001021C02608FFFC2D070004AC
+:10E4A00014E000073C0E800038CA00022D4900012C
+:10E4B000012320245080000E000532423C0E8000D3
+:10E4C00095C6010E000020210A00103A36270002EE
+:10E4D0003233000452600001363100023C0C8000EF
+:10E4E0009586010E022038210A0010990000202193
+:10E4F0000010182B00C358241560000F3C05800045
+:10E50000001021C03C0E800095C6010E0A00103A92
+:10E510003627000297F9010E022038212404010059
+:10E52000273100043226FFFF0E000F0424050002ED
+:10E530000A0010780000000094AD010E00002021B8
+:10E540002405000225AC00043186FFFF0E000F04F5
+:10E550003227FFFB0A0010EB001021C095C5010E09
+:10E56000022038210000202124AD000431A6FFFF45
+:10E570000E000F04240500020A0010723231FFFB66
+:10E580003C0580008CA701482403000434A60180C8
+:10E5900000072402308200FF104300103C0480007A
+:10E5A0008C8901B80520FFFE000000008C8F014817
+:10E5B000240D00023C0A1000000F7402A4CE0008D3
+:10E5C000A0CD000B8C8C0148A4CC00108C8B014496
+:10E5D000ACCB002403E00008AC8A01B88CA4014055
+:10E5E0003C03800000C028218C6601B804C0FFFEF7
+:10E5F0002408001CACA40000A0A8000B3C051000DF
+:10E60000AC6501B803E000080000000027BDFFE88A
+:10E61000AFB00010AFBF00143C10600C8E0D500066
+:10E62000240EFF7F2406000301AE60243588380CD9
+:10E630003C058000AE085000ACA600083C01080074
+:10E64000AC2000200E001555000000003C086016AC
+:10E650008D0A00003C0BFFFF3C0900103523805160
+:10E660003C046000014B38243C025353AE03537CFE
+:10E67000348420203C05080024A579082406000ADB
+:10E6800010E2000935037C008C7F007C8C790078D7
+:10E690008FB00010AF9F00108FBF001427BD00186F
+:10E6A0000A0013B1AF9900088D0F00043C186000F8
+:10E6B0008FB0001001F818218C7F007C8C790078D5
+:10E6C000AF9F00108FBF001427BD00180A0013B1C0
+:10E6D000AF9900083C0480008C8340003402FFFFA7
+:10E6E0001062003A000000008C87400030E5010015
+:10E6F00010A00021000000009487010E3C020800D9
+:10E700008C4200EC9485010E3C0D08008DAD31CC9F
+:10E7100030E3FFFF3C1808008F1800E83C090800B0
+:10E720008D2931C80043602130ABFFFF0183782B76
+:10E730000000C82101AB18210000502103197021ED
+:10E74000006B302B012A402101CF2021010610212E
+:10E750003C010800AC2C00EC3C010800AC2400E8B3
+:10E760003C010800AC2331CC3C010800AC2231C88C
+:10E7700003E00008000000008C8840008F8C000837
+:10E78000110C00323C0D800095AB010E3C0A0800D4
+:10E790008D4A00E43C0808008D0800E03169FFFF65
+:10E7A000014928210000302100A9602B01063821F1
+:10E7B00000EC10213C010800AC2500E43C010800FD
+:10E7C000AC2200E003E00008000000009483010E8A
+:10E7D0009482010E3C1908008F3900F43C090800AE
+:10E7E0008D2931CC3C0E08008DCE00F03C0808008D
+:10E7F0008D0831C83078FFFF304BFFFF03382821E8
+:10E80000012B1821000078210000502100B8682B4E
+:10E8100001CF6021006B302B010A3821018D2021AE
+:10E8200000E610213C010800AC2500F43C01080082
+:10E83000AC2400F03C010800AC2331CC3C010800C2
+:10E84000AC2231C803E00008000000008C894004BD
+:10E850008F8600101526FFCC3C0D80009483010E9E
+:10E860003C1908008F3931BC3C0E08008DCE31B800
+:10E870003078FFFF03385821000078210178202BE1
+:10E8800001CF682101A450213C010800AC2B31BC10
+:10E890003C010800AC2A31B803E000080000000089
+:10E8A00027BDFFE83C058000AFBF0014AFB00010EB
+:10E8B0008CB00128ACB000208CA301048CA4010012
+:10E8C000AF8300040E000ED6AF84000C0E00115F63
+:10E8D000000000003C0208008C4200C010400026EE
+:10E8E0008F8400043C0708008CE700C424E6000184
+:10E8F0003C010800AC2600C43C0280008C4401248A
+:10E900003C1F6020AFE40014000000003C068000C3
+:10E910003C034000ACC301380000000012000013AB
+:10E920008FBF0014260F0140261900802404FF80A9
+:10E9300001E4C0240324F824001F6940332E007F23
+:10E940000018594031EC007F3C0A20003548000295
+:10E9500001AE3825016C48250128802500E82825CE
+:10E96000ACC50830ACD008308FBF00148FB0001099
+:10E9700003E0000827BD00183C090010008940246E
+:10E980001100000F8F83000C240DBFFF006D602469
+:10E9900011800007240F87FF006F702415C000133B
+:10E9A0003C1900600099C024130000100000000012
+:10E9B0000E000CD2000000000A001221000000002E
+:10E9C0003C0B08008D6B0020256A00013C0108000B
+:10E9D000AC2A00200E000FC5000020211040FFCC03
+:10E9E0003C0680000A0011E93C0280000E00128EF5
+:10E9F000000000000A0012210000000027BDFFE80F
+:10EA00003C028000AFBF00108C4601403C03700008
+:10EA10003C054000AC4600208C4401480083202483
+:10EA20001085001300A4102B1040000B3C072000A1
+:10EA30003C08600010880017000000003C0A4000FD
+:10EA40003C098000AD2A0178000000008FBF001053
+:10EA500003E0000827BD00185487FFF93C0A400076
+:10EA60000E00110A000000000A00123A3C0A4000A1
+:10EA70000E0012B4000000003C0A40003C09800077
+:10EA8000AD2A0178000000008FBF001003E00008ED
+:10EA900027BD00180E001D6F000000000A00123A8A
+:10EAA0003C0A400027BDFFE0AFB200183C128000D6
+:10EAB000AFB10014AFBF001CAFB000100E00112D9D
+:10EAC000365100708E260000AF8600188E28000098
+:10EAD0003C0B08008D6B00FC3C0708008CE700F83D
+:10EAE00001066023016C28210000482100AC302B76
+:10EAF00000E91821006620213C010800AC2500FC3B
+:10EB00003C010800AC2400F88E50000032020003E3
+:10EB10001040FFEE010030218E2600003C05080069
+:10EB20008CA500FC3C1F08008FFF00F800C81823CC
+:10EB300000A378210000102101E3C82B03E2C021CB
+:10EB400003197021320D00013C010800AC2F00FCBC
+:10EB5000AF8800183C010800AC2E00F815A0000892
+:10EB600000000000320800021100FFD60000000083
+:10EB70000E001229000000000A00125B00000000D5
+:10EB80000E0011D2000000000A00128432080002B8
+:10EB90003C0380008C6401003082003E1440000879
+:10EBA00000000000AC6000488C66010030C507C062
+:10EBB00010A0000500000000AC60004CAC600050EC
+:10EBC00003E0000824020001AC600054AC60004087
+:10EBD0008C6801003107380010E0FFF900000000E8
+:10EBE0002402000103E00008AC6000443C039000F4
+:10EBF00034620001008220253C038000AC640020C8
+:10EC00008C65002004A0FFFE0000000003E0000867
+:10EC1000000000003C0280003443000100832025F6
+:10EC200003E00008AC44002027BDFFD8AFB10014BA
+:10EC30003C048000AFBF0020AFB3001CAFB200188F
+:10EC4000AFB000108C9201408C9001482402000E5D
+:10EC500000108C02322300FF1062005902042824A5
+:10EC60002866000F10C00013286A0037240700062A
+:10EC70001067008E286800075100002D2404000949
+:10EC8000106000783C06800024090001106900B083
+:10EC9000000000000000000D8FBF00208FB3001C9B
+:10ECA0008FB200188FB100148FB0001003E000087D
+:10ECB00027BD002811400059240D0038286B00356D
+:10ECC000116000053C058000240C001F146CFFF14E
+:10ECD000000000003C0580008CB801B80700FFFE72
+:10ECE00034B90180AF320000241F00012412000259
+:10ECF0003C021000AF200004A7310008A33F000A27
+:10ED0000A332000BA7300010AF200024AF20002852
+:10ED1000ACA201B88FBF00208FB3001C8FB20018C7
+:10ED20008FB100148FB0001003E0000827BD002849
+:10ED3000106400232405000B1465FFD63218FFFF72
+:10ED4000170000203C0580008F93FED4927F0005C1
+:10ED500033F900041720FFCF000000000E0012A5B9
+:10ED6000024020219269000502402021352800043C
+:10ED70000E0012AFA26800059267000530E20004A1
+:10ED800014400002000000000000000D926B000023
+:10ED900024060020316A00FF1546000A3C05800069
+:10EDA0008CA401B80480FFFE34AD0180240E000560
+:10EDB0003C0C1000ADB20000A1AE000BACAC01B831
+:10EDC0003C0580008CA301B80460FFFE34AF0180D5
+:10EDD00024130002ADE00000ADF20004A5F100082C
+:10EDE000A1F3000AA1F3000BA5F00010ADE0002490
+:10EDF0008CB101443C101000ADF10028ACB001B85A
+:10EE00008FBF00208FB3001C8FB200188FB1001489
+:10EE10008FB0001003E0000827BD0028106DFFAD83
+:10EE2000240E0080146EFF9B000000003C05800053
+:10EE30008CA301B80460FFFE34AF018024120002ED
+:10EE4000A1F2000BA5F10008A5F000108CB301445D
+:10EE50003C021000A5F30012ACA201B80A0012F0A7
+:10EE60008FBF00208CC301B80460FFFE34D3018043
+:10EE7000AE720000AE60000424120001A67100080A
+:10EE800024110002A272000AA271000BA6700010E9
+:10EE90008CD001443C0F1000AE700024AE600028FE
+:10EEA000ACCF01B80A00132B8FBF00203C038000B9
+:10EEB0008C6601B804C0FFFE346201803C06080085
+:10EEC00090C67AB8AC52000010C000030000382190
+:10EED0003C0708008CE77AC03C05800034AA01801A
+:10EEE0002404000234CC0001AC470004A551000802
+:10EEF000A14C000AA144000BA55000108CAB0144AA
+:10EF00000000202101402821AD4B002410C0000347
+:10EF10008FBF00203C0408008C847ABC8FB3001C97
+:10EF20008FB200188FB100148FB000103C0E10008B
+:10EF30003C0D800027BD0028ACA40028ADAE01B870
+:10EF40003C010800A0207AB803E00008000000009F
+:10EF500010A0000B3C0680008C980144241900028C
+:10EF60003C010800A0397AB83C010800AC327AC0F4
+:10EF70003C010800AC387ABC0A00132B8FBF00207C
+:10EF80008CDF01B807E0FFFE34C7018024090002CE
+:10EF9000ACE00000ACF20004A4F10008A0E9000A13
+:10EFA000A0E9000BA4F00010ACE000248CC80144E0
+:10EFB0003C021000ACE80028ACC201B80A00132BD8
+:10EFC0008FBF002027BDFFE8AFBF00100E000ED698
+:10EFD000000000003C0280008FBF001000002021D4
+:10EFE000AC4001800A000FC527BD00183084FFFF28
+:10EFF00030A5FFFF108000070000182130820001BB
+:10F000001040000200042042006518211480FFFB1C
+:10F010000005284003E000080060102110C0000730
+:10F02000000000008CA2000024C6FFFF24A50004FD
+:10F03000AC82000014C0FFFB2484000403E000083D
+:10F040000000000010A0000824A3FFFFAC86000011
+:10F0500000000000000000002402FFFF2463FFFF07
+:10F060001462FFFA2484000403E00008000000009A
+:10F0700027BDFFE0AFB20018AFB10014AFB0001071
+:10F08000AFBF001C9486000C00A0902124900014B7
+:10F0900000061B020003108000448821000030217C
+:10F0A00000A020210E0013BB240500050211202B17
+:10F0B0001080001200001021920300002C6500094E
+:10F0C00050A0000992020001000348803C0A080099
+:10F0D000254A793C012A40218D07000000E0000804
+:10F0E0000000000092020001020280210211202B88
+:10F0F0005480FFF292030000000010218FBF001C1B
+:10F100008FB200188FB100148FB0001003E0000818
+:10F1100027BD00200A0013D526100001920C000123
+:10F12000240B000C158B0040023070232DCD000AFB
+:10F1300015A0003D260800068E43000026020002AE
+:10F1400034640100AE440000921F00029059000197
+:10F15000904E0002904B0003001F7E000019C40077
+:10F1600001F86025000E6A00018D5025014B4825ED
+:10F17000AE490004920700069105000191040002C7
+:10F180009119000300071E00000534000066F825F1
+:10F190000004120003E2C025031978252610000A96
+:10F1A0000A0013D5AE4F0008921F0001260400028A
+:10F1B0002410000257F00001022020210A0013D57C
+:10F1C00000808021920E0001240D000315CDFFCB9D
+:10F1D000240200018E59000092180002261000033C
+:10F1E000372F0008A25800100A0013D5AE4F0000B8
+:10F1F000920500012406000414A6FFC024020001A9
+:10F20000920C0002920B00038E490000000C520089
+:10F21000014B40253527000426100004AE48000CA1
+:10F220000A0013D5AE4700000A0013E924020001CA
+:10F2300027BDFFE8AFBF0014AFB000100E0012A54D
+:10F24000008080213C048008348300809065002584
+:10F250000200202134A200200E0012AFA06200257F
+:10F26000020020218FBF00148FB000100A000C9EF6
+:10F2700027BD00183C03800027BDFFF834620180E1
+:10F28000AFA20000308C00FF30AD00FF30CE00FF99
+:10F290003C0B80008D6401B80480FFFE000000007C
+:10F2A0008FA900008D6801288FAA00008FA7000099
+:10F2B0008FA400002405000124020002A085000A9A
+:10F2C0008FA30000359940003C051000A062000BA0
+:10F2D0008FB800008FAC00008FA600008FAF000039
+:10F2E00027BD0008AD280000AD400004AD8000241B
+:10F2F000ACC00028A4F90008A70D0010A5EE00126C
+:10F3000003E00008AD6501B83C06800827BDFFE8B2
+:10F3100034C50080AFBF001090A70009240200127E
+:10F3200030E300FF1062000B008030218CA80050F9
+:10F3300000882023048000088FBF00108CAA0034AE
+:10F34000240400390000282100CA482305200005B4
+:10F35000240600128FBF00102402000103E0000801
+:10F3600027BD00180E001447000000008FBF0010DA
+:10F370002402000103E0000827BD001827BDFFC8D4
+:10F38000AFB1002C00A08821AFB2003027A500103B
+:10F390000080902102202021AFBF00340E0013C650
+:10F3A000AFB00028144000813C0C8008918B001104
+:10F3B000918A0012358600808CC80054316500FFA8
+:10F3C000314900FF00A9282100A8382B14E0004F84
+:10F3D0008FA3001094DF005C3066000410C000565C
+:10F3E00033E4FFFF8FA2001C0082102B5440000565
+:10F3F0002C8300803067000414E0007E240402188F
+:10F400002C83008010600002008080212410008086
+:10F410000E0012A5024020213C03800834660080C3
+:10F4200024070001ACC7000C90C200080010604027
+:10F4300034670100305F007FA0DF00088E390004D0
+:10F4400027380001ACD80030A4D0005C8CCE003C42
+:10F45000962F000E01CF6821ACCD00208CCB003C54
+:10F46000016C5021ACCA001C8E290004ACE90000DC
+:10F470008E250008ACE500048FA8001031040008B8
+:10F480005480002F93A60020A0C0004E90C5004ECF
+:10F490002408FFDF3C188008A0E5000890C400089D
+:10F4A000370C00802409005000888024A0D0000878
+:10F4B0008E390008AD9900388F0E00148D8F003002
+:10F4C00001CF6821AD8D0034918B0000316A00FFBF
+:10F4D00011490029264801000E0012AF02402021E8
+:10F4E00024040038000028210E0014472406000AD6
+:10F4F0008FBF00348FB200308FB1002C8FB0002846
+:10F500002402000103E0000827BD003894D8005C05
+:10F510008CD00054330EFFFF0205782301CF682BF7
+:10F5200015A0FFAC8FA300108CD9005430660004E6
+:10F5300014C0FFAC032520230A0014A52C82021856
+:10F540003C188008370C0080A0E600088E390008BF
+:10F5500024090050AD9900388F0E00148D8F0030B3
+:10F5600001CF6821AD8D0034918B0000316A00FF1E
+:10F570001549FFD9264801002406FF8001062824EA
+:10F580003C048000AC8500288E2700083103007FF2
+:10F590003C10800C0070F821AFE700D08E220008EC
+:10F5A000AF9F00240A0014E0AFE200D48E230008CD
+:10F5B0003C04800834820080AC4300540240202187
+:10F5C0000E001436AC400030240400382405008DB1
+:10F5D0000E001447240600128FBF00348FB2003093
+:10F5E0008FB1002C8FB000282402000103E0000836
+:10F5F00027BD00380A0014AA8FA4001C27BDFFE80D
+:10F60000AFBF001090A6000D30C7001010E0000C36
+:10F61000008040213C0280088C4400048CA3000838
+:10F620001064000830C9000430C5000410A0001C9C
+:10F630008FBF00102402000103E0000827BD00185E
+:10F6400030C900041120001030CB001210E0FFF987
+:10F650008FBF00103C0880088CA700088D060004AE
+:10F6600014E6FFF524020001240400382405008D6F
+:10F670000E001447240600128FBF00102402000160
+:10F6800003E0000827BD0018240A0012156AFFE9EC
+:10F690008FBF0010010020210A00148927BD001827
+:10F6A000000020210A000CB827BD00183C05080006
+:10F6B00024A555983C040800248473583C02080093
+:10F6C000244255A0240300063C010800AC257AC85A
+:10F6D0003C010800AC247ACC3C010800AC227AD072
+:10F6E0003C010800A0237AD403E0000800000000D9
+:10F6F00003E00008240200013C028000308800FF83
+:10F70000344701803C0680008CC301B80460FFFED2
+:10F71000000000008CC501282418FF803C0D800AE1
+:10F7200024AF010001F8702431EC007FACCE00243E
+:10F73000018D2021ACE50000948B00DA35096000D2
+:10F7400024080002316AFFFFACEA00042402000131
+:10F75000A4E90008A0E8000BACE000243C0710007E
+:10F76000ACC701B8AF84002403E00008AF850054A3
+:10F770008C9900048F8D00242409FFBF0325C0232A
+:10F78000AC98000491AF00C42403FFEF31EE007F7A
+:10F79000A1AE00C48C8C0020938B00308F86002497
+:10F7A000358A0002AF8B0048A7800044AC8A002055
+:10F7B000A4C000AC90C800C401093824A0C700C48C
+:10F7C0008F840024AC8000DC908500C400A310244A
+:10F7D00003E00008A08200C43C02800034450180A0
+:10F7E0003C0480008C8301B80460FFFE8F890054C4
+:10F7F0002407608324060002ACA900008C88012441
+:10F80000ACA80004A4A70008A0A6000B3C051000AB
+:10F8100003E00008AC8501B8938800308F89004868
+:10F820008F82002430C600FF0109382330E900FF31
+:10F830000122182130A500FF2468007810C00002C2
+:10F84000012438210080382130E4000314800003B3
+:10F8500030AA00031140000D312B000310A0000955
+:10F860000000102190ED0000244E000131C200FF85
+:10F870000045602BA10D000024E700011580FFF971
+:10F880002508000103E00008000000001560FFF3F8
+:10F890000000000010A0FFFB000010218CF8000009
+:10F8A00024590004332200FF0045782BAD180000D6
+:10F8B00024E7000415E0FFF92508000403E0000830
+:10F8C0000000000093850030938800408F87004837
+:10F8D000000432003103007F00E5102B30C47F00AC
+:10F8E0001040000F006428258F8400243C0980000C
+:10F8F0008C8A00DCAD2A00A43C03800000A35825BC
+:10F90000AC6B00A08C6C00A00580FFFE0000000026
+:10F910008C6D00ACAC8D00DC03E000088C6200A8AC
+:10F920000A0015E88F840024938800413C0280007F
+:10F9300000805021310300FEA383004130ABFFFF64
+:10F9400030CC00FF30E7FFFF344801803C098000E5
+:10F950008D2401B80480FFFE8F8D005424180016FA
+:10F96000AD0D00008D2201248F8D0024AD02000416
+:10F970008D590020A5070008240201B4A119000A2E
+:10F98000A118000B952F01208D4E00088D47000413
+:10F99000978300448D59002401CF302100C72821CE
+:10F9A00000A320232418FFFFA504000CA50B000EC4
+:10F9B000A5020010A50C0012AD190018AD18002406
+:10F9C00095AF00D83C0B10002407FFF731EEFFFF86
+:10F9D000AD0E00288DAC0074AD0C002CAD2B01B821
+:10F9E0008D46002000C7282403E00008AD45002014
+:10F9F0008F8800240080582130E7FFFF910900C65E
+:10FA00003C02800030A5FFFF312400FF00041A00F3
+:10FA10000067502530C600FF344701803C09800054
+:10FA20008D2C01B80580FFFE8F820054240F001733
+:10FA3000ACE200008D390124ACF900048D7800207F
+:10FA4000A4EA0008241901B4A0F8000AA0EF000BF2
+:10FA5000952301208D6E00088D6D0004978400446D
+:10FA600001C35021014D602101841023A4E2000C48
+:10FA7000A4E5000EA4F90010A4E60012ACE0001406
+:10FA80008D780024240DFFFFACF800188D0F006C5A
+:10FA9000ACEF001C8D0E00683C0F1000ACEE002097
+:10FAA000ACED0024950A00AE240DFFF73146FFFFB0
+:10FAB000ACE60028950C00709504007231837FFF3E
+:10FAC0000003CA003082FFFF0322C021ACF8002CE3
+:10FAD000AD2F01B8950E00728D6A002000AE302166
+:10FAE000014D2824A506007203E00008AD65002042
+:10FAF0003C028000344601803C0580008CA301B8A4
+:10FB00000460FFFE24090018ACC40000A0C9000B6B
+:10FB10008F8800243C041000950700AEA4C7001095
+:10FB2000ACC0003003E00008ACA401B83C02800087
+:10FB3000344501803C0480008C8301B80460FFFEE2
+:10FB40008F8A002C240600199549001C3128FFFFDC
+:10FB5000000839C0ACA70000A0A6000B3C051000AF
+:10FB600003E00008AC8501B88F8700340080402195
+:10FB700030C400FF3C0680008CC201B80440FFFE88
+:10FB80008F8900549383005034996000ACA9000021
+:10FB9000A0A300058CE20010240F00022403FFF74D
+:10FBA000A4A20006A4B900088D180020A0B8000A7D
+:10FBB000A0AF000B8CEE0000ACAE00108CED00048A
+:10FBC000ACAD00148CEC001CACAC00248CEB002021
+:10FBD000ACAB00288CEA002C3C071000ACAA002C2F
+:10FBE0008D090024ACA90018ACC701B88D05002010
+:10FBF00000A3202403E00008AD04002093850050FA
+:10FC00002403000127BDFFE800A330042CA200203C
+:10FC1000AFB00010AFBF001400C018211040001397
+:10FC20002410FFFE3C0708008CE7319000E610240A
+:10FC30003C0880003505018014400005240600843E
+:10FC40008F890024240A00042410FFFFA12A00EC5D
+:10FC50000E00168400000000020010218FBF001467
+:10FC60008FB0001003E0000827BD00183C06080014
+:10FC70008CC631940A0016B600C310248F87002C5E
+:10FC800027BDFFE0AFB20018AFB10014AFB0001055
+:10FC9000AFBF001C30D000FF90E6000D00A088210F
+:10FCA0000080902130C5007FA0E5000D8F850024E5
+:10FCB0008E2300188CA200C01062002E240A000EB1
+:10FCC0000E0016A9A38A00502409FFFF1049002244
+:10FCD0002404FFFF52000020000020218E26000097
+:10FCE0003C0C001000CC5824156000393C0E000874
+:10FCF00000CE682455A0003F024020213C1800029D
+:10FD000000D880241200001F3C0A00048F87002CBA
+:10FD10008CE200148CE300108CE500140043F823FF
+:10FD200003E5C82B13200005024020218E24002C5F
+:10FD30008CF10010109100310240202124020012A9
+:10FD4000A38200500E0016A92412FFFF10520002D9
+:10FD50002404FFFF000020218FBF001C8FB2001879
+:10FD60008FB100148FB000100080102103E0000854
+:10FD700027BD002090A800C4350400200A0016DF2B
+:10FD8000A0A400C400CA48241520000B8F8B002CAF
+:10FD90008F8D002C8DAC00101580000B02402021AF
+:10FDA0008E2E002C51C0FFEC0000202102402021AB
+:10FDB0000A0016FA240200178D66001050C0FFE6F4
+:10FDC00000002021024020210A0016FA240200111E
+:10FDD00002402021240200150E0016A9A382005023
+:10FDE000240FFFFF104FFFDC2404FFFF0A0016E979
+:10FDF0008E2600000A001720240200143C0800048C
+:10FE000000C8382450E0FFD4000020210240202107
+:10FE10000A0016FA240200138F86002427BDFFE093
+:10FE2000AFB10014AFBF0018AFB0001090C300C452
+:10FE300030A500FF306200201040000800808821BB
+:10FE40008CCB00C02409FFDF256A0001ACCA00C0CA
+:10FE500090C800C401093824A0C700C414A0004001
+:10FE60003C0C80008F840024908700C42418FFBFBE
+:10FE70002406FFEF30E3007FA08300C4979F004477
+:10FE80008F8200488F8D002403E2C823A799004485
+:10FE9000A5A000AC91AF00C401F87024A1AE00C4CD
+:10FEA0008F8C0024A18000C78F8A0024A540007297
+:10FEB000AD4000DC914500C400A65824A14B00C40D
+:10FEC0008F9000208F8400489786004402042821E8
+:10FED00010C0000FAF850020A38000403C078000C9
+:10FEE0008E2C000894ED01208E2B0004018D5021F2
+:10FEF000014B8021020620233086FFFF30C8000F0F
+:10FF0000390900013131000116200009A3880040A1
+:10FF1000938600308FBF00188FB100148FB000108F
+:10FF200027BD0020AF85004C03E00008AF860048E5
+:10FF300000C870238FBF0018938600308FB1001463
+:10FF40008FB0001034EF0C00010F282127BD0020D6
+:10FF5000ACEE0084AF85004C03E00008AF8600489B
+:10FF600035900180020028210E00168424060082AC
+:10FF70008F840024908600C430C5004050A0FFBA92
+:10FF8000A38000508F8500343C0680008CCD01B8E2
+:10FF900005A0FFFE8F890054240860822407000218
+:10FFA000AE090000A6080008A207000B8CA30008F9
+:10FFB0003C0E1000AE0300108CA2000CAE02001428
+:10FFC0008CBF0014AE1F00188CB90018AE190024A5
+:10FFD0008CB80024AE1800288CAF0028AE0F002C7F
+:10FFE000ACCE01B80A001744A38000508F8A0024C9
+:10FFF00027BDFFE0AFB10014AFB000108F880048FC
+:020000040001F9
+:10000000AFBF001893890028954200AC30D100FFA3
+:100010000109182B0080802130AC00FF3047FFFF22
+:100020000000582114600003310600FF0120302138
+:1000300001095823978300440068202B1480001B7B
+:100040000000000010680043240A0001118A0048E3
+:1000500034E708803165FFFF0E00162602002021DC
+:100060000E0016668F8400548F840024948D0070D7
+:1000700025AC0001A48C0070948B00703C06080035
+:100080008CC6318831677FFF10E6004F000000000A
+:1000900002002021022028218FBF00188FB10014F8
+:1000A0008FB000100A00173027BD0020914400C413
+:1000B0002406FF8000868825A15100C4978400444F
+:1000C0003088FFFF1100001C938900288F8E0024C8
+:1000D0002419EFFF008BF82395D800AC0168682B3A
+:1000E00033E900FF03197824A5CF00AC51A0002A02
+:1000F000010058218E0500202408FFFB2403000185
+:1001000000A81024AE0200201183002534E78000EF
+:10011000020020213165FFFF0E001626012030214C
+:10012000978B00448F870048A780004400EB802312
+:10013000AF900048938900288F8C00248FBF00184F
+:100140008FB100148FB0001027BD002003E000081D
+:10015000A18900C78E0800202409FFFB34E7800036
+:1001600001092824AE050020158AFFBA34E708806B
+:10017000020020210E0015F43165FFFF020020214E
+:10018000022028218FBF00188FB100148FB00010FB
+:100190000A00173027BD00200A0017E70000482199
+:1001A000020020213165FFFF0E0015F401203021EF
+:1001B000978B00448F870048A780004400EB802382
+:1001C0000A0017F7AF90004894890070240A800055
+:1001D000012A4024A48800709085007090990070D6
+:1001E00030A200FF000219C20003F827001FC1C09F
+:1001F000332F007F01F87025A08E00700A0017CF02
+:10020000020020218F88002424030001910A007835
+:10021000910500C7250900783147003F24E6FFE03B
+:1002200000C318042CC2002030670019A3850028E1
+:100230001040001AAF8900343C0A8000354B0002A0
+:10024000240500012406000114E00016006B1024B0
+:10025000000028211440000F306300201060000FC0
+:10026000240500018D0600748D1900742403FF809D
+:1002700000C31024000279403338007F01F868255C
+:100280003C0E100001AE6025AD4C083091280001F5
+:10029000310600010A0017A50000000003E0000875
+:1002A000000000008D0F00748D0D00742418FF8075
+:1002B00001F87024000E414031AC007F010C502544
+:1002C0003C0B1000014B38253C0980000A0017A5A3
+:1002D000AD27083027BDFFD8AFB000108F90003495
+:1002E000AFB40020AFB10014AFBF0024AFB3001C07
+:1002F000AFB200188E0500103C0208008C4231B0ED
+:100300008F86003830A73FFF00E2182B8CD20014F4
+:10031000008088218CD30020106000070000A021FD
+:1003200090CB000D240AFF80014B4824312800FFA8
+:100330001500000C00056382022020212411000D0D
+:10034000A39100508FBF00248FB400208FB3001CF6
+:100350008FB200188FB100148FB000100A0016A9D8
+:1003600027BD00283185000354A0FFF4022020217E
+:1003700094CF001C8F8E00248E070028A5CF00D8B4
+:100380008CCD0010024D302310E6005C2402001FCB
+:100390000E0016A9A3820050241FFFFF105F004E1D
+:1003A0002404FFFF8F83003C8F88002C0263982178
+:1003B0008D090010012310238F83001CAD02001053
+:1003C000AD1300208C67007400F3202B14800062B2
+:1003D000022020218F8600388E0C00248CC500243A
+:1003E0001185000702202021240E001C0E0016A9F2
+:1003F000A38E0050240DFFFF104D00372404FFFF93
+:100400008F84002C8C980024270F0001AC8F0024CF
+:10041000127200448F99001C8F32007412530041F5
+:100420003C0A00808E090000012A10241440003A82
+:10043000000000008E0400142412FFFF109200063A
+:10044000240B001B022020210E0016A9A38B0050B4
+:10045000105200212404FFFF8E0300003C0C000119
+:10046000006C282410A000133C0600800066A02425
+:10047000168000090200282102202021240E001AE3
+:100480000E0016A9A38E0050240DFFFF104D001280
+:100490002404FFFF02002821022020210E0016C99B
+:1004A000240600012410FFFF2404FFFF1050000A5F
+:1004B000241400018F8F002C022020210280302183
+:1004C00095F2003424050001265800010E0017A5FE
+:1004D000A5F80034000020218FBF00248FB4002035
+:1004E0008FB3001C8FB200188FB100148FB00010B2
+:1004F0000080102103E0000827BD00288F83003C06
+:1005000000E3C8210259C02B1300FFA88F88002CDC
+:100510000A00188E24020018AC8000200A0018B8C7
+:100520008E0400148E1F00003C07008003E798240F
+:100530001660FFF92408001A022020210E0016A9D7
+:10054000A38800502403FFFF1443FFBA2404FFFFD5
+:100550000A0018E18FBF0024240B001D0E0016A90D
+:10056000A38B0050240AFFFF144AFF9A2404FFFFC4
+:100570000A0018E18FBF00248F85002427BDFFD813
+:10058000AFB3001CAFB20018AFB10014AFB0001091
+:10059000AFBF002090A700C48F9000342412FFFF4B
+:1005A00034E2004092060000A0A200C48E030010B6
+:1005B000008098211072000630D1003F2408000D01
+:1005C0000E0016A9A3880050105200262406FFFF33
+:1005D0008F8A00248E0900188D4400C01124000762
+:1005E000240C000E026020210E0016A9A38C0050DE
+:1005F000240BFFFF104B001B2406FFFF24040020E8
+:10060000122400048F8D002491AF00C435EE002029
+:10061000A1AE00C48F85003C10A0001A00000000AD
+:100620001224004B8F9800248F92FED42406FFFDE5
+:10063000971000709651000A1230000B8FBF0020F7
+:100640003C1F08008FFF318C03E5C82B1720001ECC
+:1006500002602021000028210E0017A524060001B9
+:10066000000030218FBF00208FB3001C8FB2001814
+:100670008FB100148FB0001000C0102103E00008FB
+:1006800027BD00285224002A8E0300148F840024E2
+:100690009489007025280001A48800709487007058
+:1006A0003C0508008CA5318830E27FFF1045000E24
+:1006B00000000000026020210E0017302405000118
+:1006C0000A001943000030212402002DA3820050AB
+:1006D0000E0016A92413FFFF1453FFE12406FFFFA9
+:1006E0000A0019448FBF00209498007024198000DC
+:1006F0002405000103199024A492007090910070C9
+:10070000908D0070323000FF001079C2000F70270A
+:10071000000E61C031AB007F016C5025A08A0070D3
+:100720000E001730026020210A001943000030211A
+:100730002406FFFF1466FFD68F8400240260202168
+:100740000E001730240500010A0019430000302173
+:10075000026020210A00195D2402000A8F8800240B
+:1007600027BDFFE8AFB00010AFBF0014910A00C46E
+:100770008F87003400808021354900408CE60010CE
+:10078000A10900C43C0208008C4231B030C53FFFD3
+:1007900000A2182B106000078F850038240DFF8001
+:1007A00090AE000D01AE6024318B00FF1560000893
+:1007B0000006C382020020212403000D8FBF001415
+:1007C0008FB0001027BD00180A0016A9A38300509F
+:1007D00033060003240F000254CFFFF7020020214C
+:1007E00094A2001C8F85002424190023A4A200D801
+:1007F0008CE8000000081E02307F003F13F900352E
+:100800003C0A00838CE800188CA600C01106000882
+:10081000000000002405000E0E0016A9A38500505C
+:100820002407FFFF104700182404FFFF8F850024D2
+:1008300090A900C435240020A0A400C48F8C002CF3
+:10084000918E000D31CD007FA18D000D8F83003C76
+:100850001060001C020020218F8400388C9800104A
+:100860000303782B11E0000D241900180200202149
+:10087000A39900500E0016A92410FFFF105000028B
+:100880002404FFFF000020218FBF00148FB0001050
+:100890000080102103E0000827BD00188C8600109E
+:1008A0008F9F002C0200202100C31023AFE2001014
+:1008B000240500010E0017A5240600010A0019CC2A
+:1008C000000020210E001730240500010A0019CC79
+:1008D00000002021010A5824156AFFD98F8C002CB2
+:1008E000A0A600EC0A0019B9A386004227BDFFD8D4
+:1008F000AFB000108F900034AFB20018AFBF00202F
+:10090000AFB3001CAFB100148E1100103C030800FF
+:100910008C6331B032253FFF00A3102B104000083C
+:10092000008090218F8600382409FF8090CA000D36
+:10093000012A4024310700FF14E0000B00116B82F4
+:10094000024020212412000DA39200508FBF0020EE
+:100950008FB3001C8FB200188FB100148FB000103D
+:100960000A0016A927BD002831AC0003240B0001A2
+:10097000558BFFF40240202190CF000D31EE00088E
+:1009800011C000608F93003C16600009240200270C
+:100990008E19000C8CD80020173800052402002086
+:1009A0008E0200088CDF0024105F0040240200202B
+:1009B0000E0016A9A38200502406FFFF1046003344
+:1009C0002404FFFF8F99002C240AFFF73C13800EAC
+:1009D0009329000D2404FF803C0D8000012AF82497
+:1009E000A33F000D8F99001C3C0808008D0831AC16
+:1009F0008F830054972700788F9F002C01031021CC
+:100A000030E57FFF000530400046782131F8007F57
+:100A10000313602101E47024ADAE002CA591000009
+:100A20008FEB0028256A0001AFEA00288FE3002C35
+:100A30008E09002C00694021AFE8002C8E07002CA5
+:100A4000AFE700308E050014AFE5003497E6003ABA
+:100A500024C20001A7E2003A973300783C10080056
+:100A60008E1031B02663000130717FFF12300027F5
+:100A7000006030218F8F001C0240202124050001DE
+:100A80000E001730A5E60078000020218FBF00205F
+:100A90008FB3001C8FB200188FB100148FB00010FC
+:100AA0000080102103E0000827BD00288E050014F7
+:100AB0002413FFFF10B3001D8F8300248E0800183D
+:100AC0008C6700C0150700092402000E8E0A00245E
+:100AD0008CC9002815490005240200218E07002832
+:100AE0008CCB002C10EB00132402001F0E0016A963
+:100AF000A38200501453FFB32404FFFF0A001A4ED0
+:100B00008FBF00200A001A1624020024240E800041
+:100B1000006E682431ACFFFF000C5BC2317100FF36
+:100B2000001180270A001A47001033C00A001A6516
+:100B3000240200258E05002C10A0FFEC24020023C7
+:100B40008F8E001C8DCD007401A5602B1580FFE7F2
+:100B5000240200268CCF001400A7C02101F8202B0E
+:100B60001080FF998F99002C024020210A001A65FD
+:100B70002402002227BDFFE0AFB000108F900034A8
+:100B8000AFB10014AFBF00188E0500103C03080081
+:100B90008C6331B00080882130A43FFF0083102B8C
+:100BA000104000078F8600382409FF8090CA000D8E
+:100BB000012A4024310700FF14E000098F8B003C1C
+:100BC0002410000D02202021A39000508FBF001898
+:100BD0008FB100148FB000100A0016A927BD0020A5
+:100BE000116000080005C3828F8F00248F8EFED411
+:100BF0002407FFFD95EC007095CD000A11AC00387C
+:100C00008FBF00183305000314A00010000000007F
+:100C10009219000213200041000000008E060024FB
+:100C200050C0000F92040003022020212402000F74
+:100C30000E0016A9A38200502408FFFF14480007E5
+:100C40002407FFFF0A001AE28FBF001890C3000DAF
+:100C50003064000810800037022020219204000335
+:100C600024070002308900FF15270005308F00FFA0
+:100C70008F8A003C11400031240C002C308F00FF83
+:100C800039E500102CAD00012DEE000102002821F5
+:100C900001CD30250E0016C9022020212410FFFFAF
+:100CA0001050000E2407FFFF8F83003C10600017D8
+:100CB000022020213C1908008F39318C0323C02BDE
+:100CC0005700000C2411002D0220202100002821B3
+:100CD0000E0017A524060001000038218FBF001860
+:100CE0008FB100148FB0001000E0102103E0000865
+:100CF00027BD00200E0016A9A39100501450FFF646
+:100D00002407FFFF0A001AE28FBF00180E001730F9
+:100D1000240500010A001AE1000038218CDF0024BC
+:100D20008E020024545FFFC1022020210A001AC253
+:100D3000920400030A001AB62402001002202021A7
+:100D40000E0016A9A38C0050240BFFFF104BFFE3ED
+:100D50002407FFFF0A001AC99204000330A500FF10
+:100D60002406000124A9000100C9102B1040000C2A
+:100D700000004021240A000100A61823308B000146
+:100D800024C60001006A38040004204211600002F9
+:100D900000C9182B010740251460FFF800A618238E
+:100DA00003E000080100102127BDFFD8AFB00018F4
+:100DB0008F900034AFB1001CAFBF00202403FFFFB1
+:100DC0002411002FAFA30010920600002405000894
+:100DD00026100001006620260E001B01308400FF53
+:100DE00000021E003C021EDC34466F410A001B2933
+:100DF0000000102110A000090080182124450001E6
+:100E000030A2FFFF2C4500080461FFFA00032040D8
+:100E10000086202614A0FFF9008018210E001B0177
+:100E2000240500208FA300102629FFFF313100FF89
+:100E300000034202240700FF1627FFE201021826E2
+:100E400000035027AFAA0014AFAA00100000302101
+:100E500027A8001027A7001400E6782391ED0003CF
+:100E600024CE000100C8602131C600FF2CCB000455
+:100E70001560FFF9A18D00008FA200108FBF002028
+:100E80008FB1001C8FB0001803E0000827BD0028B8
+:100E90009383003027BDFFE024020034AFB100147B
+:100EA000AFB00010AFBF001CAFB2001800808821A7
+:100EB0001062006200A080219204000414800045AA
+:100EC0008F880024A38000288E0500048D0600C8AA
+:100ED0003C0700FF34E3FFFF00A3282400C5102BCC
+:100EE0001440004DAF85003C978A00448F8700482E
+:100EF0000147102310A00032A78200448F98001CE5
+:100F0000304CFFFF9312007C0012788231F1000117
+:100F10000011708001C56821018D582B116000619E
+:100F20008F8600248F8900208F84004C1089005EFA
+:100F30003C023F018E1F00003C10250003E2C82444
+:100F40001730007A8F8400348F8700348F86002416
+:100F50008CE30000ACC300788CE50010ACC50088C1
+:100F60008F8700488F85003C938D002830AE0003AA
+:100F7000000E4023310A0003014D4021A3880028C0
+:100F800094CB00AC01276021AF8C002035691000A4
+:100F9000A4C900AC1620005101452021AF840048AF
+:100FA000000020218FBF001C8FB200188FB10014E9
+:100FB0008FB000100080102103E0000827BD002042
+:100FC0008F840020AF800048008730210A001B92E8
+:100FD000AF860020241F000CA39F00500E0016A90E
+:100FE000022020212419FFFF1059FFEE2404FFFFE7
+:100FF0008F880024A38000288E0500048D0600C879
+:101000003C0700FF34E3FFFF00A3282400C5102B9A
+:101010001040FFB5AF85003C0220202124090019B3
+:10102000A38900500E0016A92411FFFF1051FFDD07
+:101030002404FFFF0A001B648F85003C8F8400247A
+:101040008F8700348CF20030908600C430C50010C9
+:1010500014A000108F8300482C680005150000289C
+:1010600000000000908A00C4246BFFFC314900108E
+:1010700015200008316400FF8F8D004C8F8C0020FC
+:1010800011AC0004388F000131EE000115C0002FB3
+:10109000000000000E001B14000000000A001BEB03
+:1010A000000000008F890020938D002830AE0003DF
+:1010B000000E4023310A0003014D4021A38800287F
+:1010C00094CB00AC01276021AF8C00203569100063
+:1010D000A4C900AC1220FFB101452021251800044D
+:1010E000A398002894CF00AC24920004AF9200484B
+:1010F00035F12000A4D100AC0A001B930000202190
+:101100008C8200DC1242FF6C022020212418000592
+:10111000A39800500E0016A92412FFFF1452FF6678
+:101120002404FFFF0A001B948FBF001C30E500FF62
+:101130000E0015B0000030218F8600248F870048F4
+:101140008F8900200A001B848F85003C0E0015DB70
+:10115000000000000A001BEB000000009383004227
+:1011600027BDFFE024020002AFB20018AFB10014A7
+:10117000AFBF001C00808821AFB00010000090219C
+:10118000106200552404FFFD978300448F850048BA
+:101190003066FFFF00C5202B1480005B9387003072
+:1011A0003C0880009504012010E500528F8A002041
+:1011B0008F84004C30A500FF0E0015B024060001FE
+:1011C0008F9F00543C0580003C19408027ED01783A
+:1011D00031B00078240EFF800219582534AF090081
+:1011E00031B8000701AE6024ACAC0800030F8021C9
+:1011F000ACAB081002202021020028210E001B4E5B
+:10120000AF9000342403FFFF104300332404FFFF9A
+:101210008E0C00103C0708008CE731B092060000ED
+:1012200031843FFF0087102B1040002330CD003F5A
+:101230008F980054000471803C0408008C8431A80D
+:101240002409FF809390004100984021010E202145
+:1012500000897024000E51403C0980003099007FC5
+:101260003C0F00808F8800243525094035E20001BD
+:1012700001593825308B0078308600073C03100078
+:101280003C1F800C00C5C0210162582500E3502599
+:10129000033F782136050001AD2E0804AF980038D1
+:1012A000AD2B0814AF8F002CAD2E0028AD040074B8
+:1012B000AD2A0830A3850041938300422410000327
+:1012C0005070002725A3FFE0240C0001106C001CC7
+:1012D00024060023024020218FBF001C8FB200187B
+:1012E0008FB100148FB000100080102103E00008BF
+:1012F00027BD0020314900035520FFAE8F84004CEC
+:101300000A001C278F90004C8F84004C306500FF32
+:101310000E0015B024060001938B00302405003424
+:1013200011650018978300448F8500483062FFFFE5
+:1013300000A25823AF8B00480A001C5FA78000441E
+:1013400011A6003700000000022020212411000B0C
+:101350000E0016A9A39100500A001C5F00409021C6
+:101360002C7200201240FFF80003F8803C070800B0
+:1013700024E7796003E7C8218F2D000001A0000851
+:10138000000000008F8500482CA200055440001D7D
+:10139000A7800044978A00443148FFFF00A84823F3
+:1013A0002D2F000511E00003314400FF24AEFFFCA7
+:1013B00031C400FF8F90004C8F9800201218000459
+:1013C00038990001332D000115A00029000000000C
+:1013D0008F910024922500C434A30010A22300C4DE
+:1013E000978300448F8500488F8400243062FFFF7C
+:1013F00000A25823AC8000DCA78000440A001C5FD8
+:10140000AF8B00483062FFFF00A258230A001C5F28
+:10141000AF8B00482403FFFF11830005000000008C
+:101420000E001981022020210A001C5F004090213B
+:101430000E001908022020210A001C5F00409021A4
+:101440000E0019E5022020210A001C5F00409021B7
+:101450000E00185F022020210A001C5F004090212E
+:101460000E001A87022020210A001C5F00409021F4
+:101470000E0015DB00000000978300448F850048B4
+:10148000306CFFFF00AC38232CFF000553E0FFA8B1
+:101490003062FFFF8F860024A7800044ACC200DCCE
+:1014A0003062FFFF00A258230A001C5FAF8B004888
+:1014B00027BDFFD0AFB20018AFB00010AFBF0028FB
+:1014C000AFB50024AFB40020AFB3001CAFB100141F
+:1014D0003C0C80008D880128240FFF803C07800A87
+:1014E00025100100250B0080020F68243205007FC3
+:1014F000016F7024AD8E009000A72821AD8D0024CF
+:1015000090A700EC3169007F3C0A8004012A182171
+:10151000A38700429066007C00809021AF83001C6E
+:1015200030C20002AF880054AF85002400A018210B
+:10153000144000022404003424040030A38400304A
+:101540008C6600CC30F100FF24040004AF86004814
+:1015500012240004A38000508E5300041660001D66
+:101560003C0880009387004130F200011240000FD8
+:101570008FBF00288CB800748CA400742419FF80DD
+:101580000319882400117140308F007F01CF60253E
+:101590003C0D2000018D582530F500FE3C0A8000EE
+:1015A000AD4B0830A39500418FBF00288FB50024B4
+:1015B0008FB400208FB3001C8FB200188FB10014BD
+:1015C0008FB000102402000127BD003003E00008A6
+:1015D000ACA600CC8E590008951F01208E46001045
+:1015E000033FC0213307FFFF30F5000F32B4000185
+:1015F000AF8600201680003BA395004035060C0006
+:1016000002A6102100F51823AD030084AF82004C20
+:101610008E4900043128FFFF1100002BA7890044E8
+:101620002410FF803C1580003C1420000A001D4D52
+:101630002413FFFE90AE00C4020E682431AC00FFFC
+:101640001580002A0240202193840041978600449F
+:10165000308F000111E0000B026428248F890024E0
+:101660008D2300748D280074A38500410070102420
+:101670000002C940311F007F033FC02503148825A5
+:10168000AEB1083010C000108F85002490A700C4B0
+:1016900002075824316A00FF1540FFE6024020216E
+:1016A0000E001C01979100441040FFE89384004114
+:1016B0002405FFFD544500058E430020022028210B
+:1016C0000E001586024020218E4300203070000459
+:1016D0001600000A2414FFFB8F8500240A001D0356
+:1016E0008F8600480A001D2EAF86004C0E00182B76
+:1016F000000000000A001D3D9384004100749824FE
+:101700000E0015A0AE5300208F8500240A001D0393
+:101710008F86004827BDFFD8AFB3001CAFB10014BF
+:10172000AFBF0020AFB20018AFB000103C02800085
+:101730008C5201408C4B01483C048000000B8C0211
+:10174000322300FF317300FF8C8501B804A0FFFE37
+:1017500034900180AE1200008C8701442464FFF0B5
+:10176000240600022C830013AE070004A611000813
+:10177000A206000BAE1300241060004F8FBF0020A4
+:10178000000448803C0A0800254A79E0012A4021EB
+:101790008D04000000800008000000003C100800DC
+:1017A0008E1031A831733FFF001389800212282167
+:1017B000240CFF8000B12021264D01002647008027
+:1017C0003C0F80003C03800431A8007F30E9007F9B
+:1017D000308A007F3C0E800A3C02800C008CC024C2
+:1017E00001AC302400ECC82401239821014280215F
+:1017F000ADE60024010EF821ADF90090ADF8002807
+:10180000AF90002CAF9F0024AF93001C0E00167504
+:10181000016080213C0380008C6B01B80560FFFEF5
+:101820008F87002C8F8600243465018090F9000D8D
+:10183000ACB2000024C200780019C60000187E0374
+:10184000000F9027001227C2A4B00006108000707D
+:10185000240E6082A4AE0008A0A00005240F0002A0
+:10186000A0AF000B0004C4008F8B001C3C192700A4
+:1018700003199025ACB20010ACA00014ACA0002459
+:10188000ACA00028ACA0002C8D7300382411FF8080
+:10189000ACB3001890F0000D0230282430A400FFF3
+:1018A000108000058FBF002090EC000D319F007F5D
+:1018B000A0FF000D8FBF00208FB3001C8FB2001857
+:1018C0008FB100148FB000103C0A10003C0D800056
+:1018D00027BD002803E00008ADAA01B8265F01007B
+:1018E0002405FF8033F8007F3C06800003E5782460
+:1018F0003C19800A03192021ACCF0024908E00C42B
+:1019000000AE682431AC00FF1180FFEAAF840024F0
+:10191000248E007895CD00123C0C08008D8C31A8E7
+:101920003C03800C31AB3FFF01924821000B5180FA
+:10193000012A40213104007F010510240083382151
+:10194000ACC200280E001675AF87002C3C03800047
+:101950008C6501B804A0FFFE00000000AE1200007C
+:101960008C720144AE120004A6110008241100027A
+:10197000A211000BAE1300240A001DD88FBF002057
+:101980003C1260008E452C083C03F0033462FFFFDC
+:1019900000A2F824AE5F2C088E582C083C1901B028
+:1019A00003199825AE532C080A001DD88FBF0020BC
+:1019B000264D010031AF007F3C10800A240EFF80CD
+:1019C00001F0282101AE60243C0B8000AD6C0024A6
+:1019D0001660FFB8AF85002424110003A0B100EC0D
+:1019E0000A001DD88FBF002026480100310A007F61
+:1019F0003C0B800A2409FF80014B3021010920247F
+:101A00003C078000ACE400240A001DD7AF86002408
+:101A1000944D0012321F3FFF31AC3FFF159FFF8DE9
+:101A2000240E608290C300C42409FF800123102487
+:101A3000304A00FF1140FF87000000002407000427
+:101A4000A0C700EC8F87002C240860842406000DBA
+:101A5000A4A80008A0A600050A001DC2240F0002C9
+:101A60005F865437E4AC62CC50103A453662198533
+:101A7000BF14C0E81BC27A1E84F4B556094EA6FEF8
+:101A80007DDA01E7C04D7481800801008008008084
+:101A90008008000008004FBC08004FBC08005098A8
+:101AA0000800506C0800505008004F8C08004F8C04
+:101AB00008004F8C08004FC4080072BC080073086F
+:101AC000080072C8080071F0080072C8080072F8B7
+:101AD000080072C8080071F0080071F0080071F089
+:101AE000080071F0080071F0080071F0080071F052
+:101AF000080071F0080071F0080071F0080072E849
+:101B0000080072D8080071F0080071F0080071F048
+:101B1000080071F0080071F0080071F0080071F021
+:101B2000080071F0080071F0080071F0080071F011
+:101B3000080071F0080072D8080078900800775CFF
+:101B4000080078580800775C080078280800764478
+:101B50000800775C0800775C0800775C0800775C19
+:101B60000800775C0800775C0800775C0800775C09
+:101B70000800775C0800775C0800775C0800775CF9
+:041B8000080077845E
+:0C1B84000A000122000000000000000028
+:101B90000000000D747061342E362E3135000000C7
+:101BA00004060F010000000000000000000000001B
+:101BB0000000000000000000000000000000000025
+:101BC0000000000000000000000000000000000015
+:101BD0000000000000000000000000000000000005
+:101BE00000000000000000000000000000000000F5
+:101BF00000000000000000000000000000000000E5
+:101C000000000000000000000000000000000000D4
+:101C100010000003000000000000000D0000000D97
+:101C20003C020800244217C03C03080024632AB485
+:101C3000AC4000000043202B1480FFFD2442000430
+:101C40003C1D080037BD2FFC03A0F0213C1008000C
+:101C5000261004883C1C0800279C17C00E00025E5A
+:101C6000000000000000000D2402FF8027BDFFE0FF
+:101C700000821024AFB00010AF420020AFBF0018A8
+:101C8000AFB10014936500043084007F0344182131
+:101C90003C0200080062182130A50020036080216A
+:101CA0003C080111277B000814A000022466005C98
+:101CB0002466005892020004974301049204000431
+:101CC0003047000F3063FFFF308400400067282357
+:101CD00010800009000048219202000530420004F3
+:101CE000104000050000000010A0000300000000EC
+:101CF00024A5FFFC240900049202000530420004E0
+:101D0000104000120000000010A0001000000000B1
+:101D10009602000200A72021010440252442FFFE74
+:101D2000A7421016920300042402FF8000431024EF
+:101D3000304200FF104000033C0204000A00017220
+:101D4000010240258CC20000AF4210188F4201787A
+:101D50000440FFFE2402000AA7420140960200024E
+:101D6000240400093042000700021023304200071B
+:101D7000A7420142960200022442FFFEA74201440C
+:101D8000A740014697420104A74201488F4201083B
+:101D9000304200205040000124040001920200045F
+:101DA00030420010144000023483001000801821DB
+:101DB000A743014A000000000000000000000000EE
+:101DC00000000000AF48100000000000000000000C
+:101DD00000000000000000008F4210000441FFFEE0
+:101DE0003102FFFF104000070000000092020004D3
+:101DF0003042004014400003000000008F421018E1
+:101E0000ACC20000960200063042FFFF24420002EE
+:101E10000002104300021040036288219622000055
+:101E20001120000D3044FFFF00A710218F83003CDC
+:101E30008F45101C00021082000210800043102108
+:101E4000AC45000030A6FFFF0E0005B700052C02D0
+:101E500000402021A6220000920300042402FF80FB
+:101E600000431024304200FF1040001F000000001B
+:101E700092020005304200021040001B00000000EA
+:101E80009742100C2442FFFEA742101600000000EB
+:101E90003C02040034420030AF4210000000000059
+:101EA0000000000000000000000000008F42100051
+:101EB0000441FFFE000000009742100C8F45101CEB
+:101EC0003042FFFF244200300002108200021080E6
+:101ED000005B1021AC45000030A6FFFF0E0005B7E7
+:101EE00000052C02A62200009604000224840008AB
+:101EF0000E0001E73084FFFF974401040E0001F556
+:101F00003084FFFF8FBF00188FB100148FB0001016
+:101F10003C02100027BD002003E00008AF4201781A
+:101F20003084FFFF308200078F85002410400002BC
+:101F3000248300073064FFF800A4102130421FFF03
+:101F400003421821247B4000AF850028AF82002483
+:101F500003E00008AF4200843084FFFF3082000FAE
+:101F60008F85002C8F860034104000022483000FE0
+:101F70003064FFF000A410210046182BAF8500301C
+:101F80000046202314600002AF82002CAF84002C96
+:101F90008F82002C34048000034218210064182131
+:101FA000AF83003C03E00008AF4200808F82001442
+:101FB000104000088F8200048F82FFE41440000567
+:101FC0008F8200043C02FFBF3442FFFF00822024C6
+:101FD0008F82000430430006240200021062000FCA
+:101FE0003C0201012C620003504000052402000461
+:101FF0001060000F3C0200010A00022E00000000E9
+:1020000010620005240200061462000C3C0201115B
+:102010000A000227008210253C02001100821025D0
+:10202000AF421000240200010A00022EAF82000C11
+:1020300000821025AF421000AF80000C00000000AD
+:10204000000000000000000003E0000800000000A5
+:102050008F82000C10400004000000008F4210002E
+:102060000441FFFE0000000003E000080000000043
+:102070008F820010000229C224A3FFF0000318423F
+:102080002444F80000031140004310210002108096
+:1020900000431021000210803C0308002463180054
+:1020A0002C84030114800013004330218F84001816
+:1020B00000A4102B1440000F0000302100A41023B6
+:1020C00000021940006218210003188000621821E4
+:1020D0008F82001C008210212442FFFF0045102B3C
+:1020E00014400004000318803C02080024421A1423
+:1020F0000062302103E0000800C0102127BDFFE08E
+:10210000AFBF0018AFB10014AFB000103C046008BE
+:102110008C8250002403FF7F3C06600000431024A3
+:102120003442380CAC8250008CC24C1C3C1A8000EB
+:10213000000216023042000F10400007AF82001C60
+:102140008CC34C1C3C02001F3442FC00006218246B
+:10215000000319C2AF8300188F420008275B4000BC
+:1021600034420001AF420008AF8000243C02601CF2
+:10217000AF400080AF4000848C4500088CC3080845
+:1021800034028000034220212402FFF00062182460
+:102190003C0200803C010800AC2204203C025709AC
+:1021A000AF84003C14620004AF85003424020001B7
+:1021B0000A00028EAF820014AF8000143C0280003F
+:1021C000344400708C8300008F42000038420001CC
+:1021D0003042000110400018AF83003800804021D9
+:1021E000006030218D0700003C0508008CA5045CD0
+:1021F0003C0408008C84045800E6302300001021C1
+:1022000000A6282100A6302B008220210086202154
+:102210003C010800AC25045C3C010800AC240458D7
+:102220008F42000038420001304200011440FFEDAF
+:1022300000E03021AF8700383C028000344200705B
+:102240008C4700008F8600383C0508008CA5045C94
+:102250003C0408008C84045800E638230000102158
+:1022600000A72821008220218F82001400A7302B94
+:10227000008620213C010800AC25045C3C010800DC
+:10228000AC240458104000160000000097420104DE
+:10229000104000058F830000146000072462FFFFD8
+:1022A0000A0002D02C62000A2C6200105040000488
+:1022B0008F83000024620001AF8200008F83000042
+:1022C0002C62000A144000032C6200070A0002D7A7
+:1022D000AF80FFE41040000224020001AF82FFE45F
+:1022E0008F4301088F44010030622000AF83000457
+:1022F00010400008AF8400103C0208008C42042CFF
+:10230000244200013C010800AC22042C0A0005B361
+:102310003C0240003065020014A0000324020F00BC
+:102320001482026024020D0097420104104002C88A
+:102330003C02400030624000144000AD8F82003CFF
+:102340008C4400088F4201780440FFFE24020800FC
+:10235000AF42017824020008A7420140A740014291
+:10236000974201048F8400043051FFFF3082000146
+:1023700010400007022080212623FFFE24020002D5
+:102380003070FFFFA74201460A000304A74301483B
+:10239000A74001463C0208008C42043C1440000D5A
+:1023A0008F830010308200201440000224030009B3
+:1023B00024030001006020218F8300102402090003
+:1023C0005062000134840004A744014A0A00031F3C
+:1023D0000000000024020F0014620005308200207B
+:1023E000144000062403000D0A00031E2403000508
+:1023F000144000022403000924030001A743014AFA
+:102400003C0208008C4204203C0400480E00020AF2
+:10241000004420250E000233000000008F82000CD3
+:102420001040003E000000008F4210003C030020DE
+:1024300000431024104000398F8200043042000213
+:102440001040003600000000974210141440003382
+:1024500000000000974210088F88003C3042FFFFC8
+:1024600024420006000218820003388000E8302170
+:10247000304300018CC400001060000430420003AF
+:102480000000000D0A00036000E810215440001015
+:102490003084FFFF3C05FFFF0085202400851826BF
+:1024A0000003182B0004102B0043102410400005DB
+:1024B00000000000000000000000000D000000000F
+:1024C000240001CB8CC200000A00035F00452025D8
+:1024D0003883FFFF0003182B0004102B0043102447
+:1024E0001040000500000000000000000000000D8A
+:1024F00000000000240001D48CC200003444FFFF1F
+:1025000000E81021AC4400003C0208008C4204307A
+:10251000244200013C010800AC2204308F6200001C
+:102520008F84003CAF8200088C8300003402FFFFE0
+:102530001462000F000010213C0508008CA5045413
+:102540003C0408008C84045000B0282100B0302BDB
+:1025500000822021008620213C010800AC25045483
+:102560003C010800AC2404500A0005A9240400081A
+:102570008C820000304201001040000F000010214A
+:102580003C0508008CA5044C3C0408008C840448DD
+:1025900000B0282100B0302B0082202100862021AD
+:1025A0003C010800AC25044C3C010800AC24044864
+:1025B0000A0005A9240400083C0508008CA5044471
+:1025C0003C0408008C84044000B0282100B0302B6B
+:1025D00000822021008620213C010800AC25044413
+:1025E0003C010800AC2404400A0005A924040008AA
+:1025F0008F6200088F62000000021602304300F074
+:10260000240200301062000524020040106200E045
+:102610008F8200200A0005B12442000114A00005A9
+:1026200000000000000000000000000D000000009D
+:10263000240001FE8F4201780440FFFE00000000EC
+:102640000E00023B00000000144000050040802105
+:10265000000000000000000D000000002400020542
+:102660008E02000010400005000000000000000085
+:102670000000000D00000000240002088F62000C22
+:1026800004430003240200010A000457AE000000C6
+:10269000AE0200008F82003C8C480008A2000007B8
+:1026A0008F65000C8F64000430A3FFFF0004240238
+:1026B00000852023308200FF0043102124420005C2
+:1026C000000230832CC20081A605000A14400005D8
+:1026D000A2040004000000000000000D0000000043
+:1026E000240002208F85003C0E0005D5260400142E
+:1026F0008F6200048F430108A60200083C0210000C
+:1027000000621824106000080000000097420104D5
+:10271000920300072442FFEC346300023045FFFFC0
+:102720000A0003ECA2030007974201042442FFF0D1
+:102730003045FFFF960600082CC2001354400005E8
+:10274000920300079202000734420001A202000730
+:102750009203000724020001106200052402000316
+:102760001062000B8F82003C0A00040930C6FFFF94
+:102770008F82003C3C04FFFF8C43000C0064182453
+:1027800000651825AC43000C0A00040930C6FFFFA1
+:102790003C04FFFF8C4300100064182400651825DA
+:1027A000AC43001030C6FFFF24C2000200021083B9
+:1027B000A20200058F83003C304200FF000210801F
+:1027C000004328218CA800008CA2000024030004F0
+:1027D0000002170214430012000000009742010497
+:1027E0003C03FFFF010318243042FFFF0046102383
+:1027F0002442FFFE00624025ACA8000092030005C1
+:10280000306200FF0002108000501021904200143E
+:102810003042000F004310210A00043EA2020006CD
+:102820008CA40004974201049603000A3088FFFF3D
+:102830003042FFFF004610232442FFD6000214005E
+:1028400001024025ACA80004920200079204000592
+:102850002463002800031883006418213442000414
+:10286000A2030006A20200078F8200042403FFFBDC
+:102870003442000200431024AF8200049203000699
+:102880008F87003C00031880007010218C440020CA
+:102890003C02FFF63442FFFF00824024006718210B
+:1028A000AE04000CAC68000C920500063C03FF7FF0
+:1028B0008E02000C0005288000B020213463FFFF49
+:1028C000010330249488002600A728210043102407
+:1028D000AE02000CAC860020AC880024ACA800102E
+:1028E00024020010A742014024020002A740014236
+:1028F000A7400144A7420146974201043C04000856
+:102900002442FFFEA7420148240200010E00020AF1
+:10291000A742014A9603000A9202000400431021D4
+:102920002442000230420007000210233042000718
+:102930000E000233AE0200108F6200003C0308005C
+:102940008C63044424040010AF8200089742010401
+:102950003042FFFF2442FFFE00403821000237C30F
+:102960003C0208008C420440006718210067282BB5
+:1029700000461021004510213C010800AC2304440E
+:102980003C010800AC2204400A00053E00000000A3
+:1029900014A0000500000000000000000000000D71
+:1029A000000000002400029E8F4201780440FFFED8
+:1029B000000000000E00023B000000001440000573
+:1029C00000408021000000000000000D0000000019
+:1029D000240002A58E020000544000069202000767
+:1029E000000000000000000D00000000240002A80C
+:1029F0009202000730420004104000058F8200045C
+:102A00002403FFFB3442000200431024AF82000481
+:102A10008F6200040443000892020007920200063D
+:102A20008E03000CAE000000000210800050102148
+:102A3000AC430020920200073042000454400009D9
+:102A40009602000A920200053C0300010002108079
+:102A5000005010218C46001800C33021AC460018ED
+:102A60009602000A92060004277100080220202125
+:102A700000C2302124C60005260500140E0005D52D
+:102A800000063082920400068F6500043C027FFF3E
+:102A900000042080009120218C8300043442FFFF39
+:102AA00000A2282400651821AC83000492020007CC
+:102AB0009204000592030004304200041040001408
+:102AC00096070008308400FF000420800091202138
+:102AD0008C860004974201049605000A306300FFCB
+:102AE0003042FFFF004310210045102130E3FFFF7B
+:102AF000004310232442FFD830C6FFFF0002140019
+:102B000000C23025AC8600040A0004F292030007DC
+:102B1000308500FF0005288000B128218CA400002A
+:102B200097420104306300FF3042FFFF0043102151
+:102B3000004710233C03FFFF008320243042FFFFA7
+:102B400000822025ACA400009203000724020001AB
+:102B50001062000600000000240200031062001151
+:102B6000000000000A0005158E03001097420104C2
+:102B7000920300049605000A8E24000C00431021E5
+:102B8000004510212442FFF23C03FFFF0083202474
+:102B90003042FFFF00822025AE24000C0A000515FC
+:102BA0008E03001097420104920300049605000A68
+:102BB0008E24001000431021004510212442FFEE16
+:102BC0003C03FFFF008320243042FFFF00822025CA
+:102BD000AE2400108E0300102402000AA742014018
+:102BE000A74301429603000A920200043C040040FD
+:102BF00000431021A7420144A74001469742010427
+:102C0000A7420148240200010E00020AA742014A1D
+:102C10000E000233000000008F62000092030004E7
+:102C200000002021AF820008974201049606000AA6
+:102C30003042FFFF00621821006028213C03080099
+:102C40008C6304443C0208008C4204400065182157
+:102C5000004410210065382B004710213C0108007A
+:102C6000AC2304443C010800AC220440920400045C
+:102C7000008620212484000A3084FFFF0E0001E733
+:102C800000000000974401043084FFFF0E0001F5AE
+:102C9000000000003C021000AF4201780A0005B0BD
+:102CA0008F820020148200273062000697420104C0
+:102CB000104000673C0240003062400010400005B8
+:102CC00000000000000000000000000D00000000F7
+:102CD000240003968F4201780440FFFE240208007E
+:102CE000AF42017824020008A7420140A7400142F8
+:102CF0008F82000497430104304200011040000716
+:102D00003070FFFF2603FFFE24020002A7420146A7
+:102D1000A74301480A0005682402000DA7400146A8
+:102D20002402000DA742014A8F620000240400081B
+:102D3000AF8200080E0001E7000000000A00054213
+:102D400002002021104000423C024000936200003B
+:102D5000304300F0240200101062000524020070CD
+:102D600010620035000000000A0005B08F820020CC
+:102D70008F620000974301043050FFFF3071FFFF66
+:102D80008F4201780440FFFE320200070002102348
+:102D9000304200072403000A2604FFFEA743014037
+:102DA000A7420142A7440144A7400146A751014858
+:102DB0008F42010830420020144000022403000921
+:102DC00024030001A743014A0E00020A3C0400400C
+:102DD0000E000233000000003C0708008CE70444AA
+:102DE000021110212442FFFE3C0608008CC604405C
+:102DF0000040182100E33821000010218F650000F9
+:102E000000E3402B00C230212604000800C8302116
+:102E10003084FFFFAF8500083C010800AC27044464
+:102E20003C010800AC2604400E0001E70000000051
+:102E30000A000542022020210E0001390000000096
+:102E40008F82002024420001AF8200203C0240001B
+:102E5000AF420138000000000A00028F3C028000EF
+:102E60003084FFFF30C6FFFF00052C0000A6282598
+:102E70003882FFFF004510210045282B0045102116
+:102E800000021C023042FFFF0043102100021C021E
+:102E90003042FFFF004310213842FFFF03E00008EB
+:102EA0003042FFFF3084FFFF30A5FFFF00001821F4
+:102EB0001080000700000000308200011040000276
+:102EC00000042042006518210A0005CB00052840B7
+:102ED00003E000080060102110C0000624C6FFFFB8
+:102EE0008CA2000024A50004AC8200000A0005D5D5
+:102EF0002484000403E000080000000010A0000883
+:102F000024A3FFFFAC8600000000000000000000CA
+:102F10002402FFFF2463FFFF1462FFFA24840004ED
+:082F200003E0000800000000BE
+:042F280000000001A4
+:042F2C000A00002671
+:102F300000000000000000000000000D74787034F4
+:102F40002E362E3136000000040610000000000A64
+:102F5000000001360000EA600000000000000000F0
+:102F60000000000000000000000000000000000061
+:102F70000000000000000000000000000000000051
+:102F80000000000000000000000000000000001D24
+:102F90000000000000000000000000000000000031
+:102FA0000000000000000000000000000000000021
+:102FB0000000000000000000000000000000000011
+:102FC00000000000000000001000000300000000EE
+:102FD0000000000D0000000D3C02080024423B6090
+:102FE0003C03080024633E18AC4000000043202B43
+:102FF0001480FFFD244200043C1D080037BD7FFC07
+:1030000003A0F0213C100800261000983C1C08008A
+:10301000279C3B600E0004AE000000000000000D85
+:103020003C0580008F83003034A800708D070000BD
+:10303000008330253C02900000C22025ACA4002073
+:10304000AF8700243C0480008C8900200520FFFE0F
+:1030500000000000348400708C8500003C180800DB
+:103060008F18007C3C0D08008DAD007800A7C823A8
+:1030700003195021000070210159602B01AE582125
+:10308000016C38213C010800AC2A007C3C0108009E
+:10309000AC27007803E00008000000000A00003DB3
+:1030A000240400018F8500303C048000348300013B
+:1030B00000A3102503E00008AC82002003E0000814
+:1030C000000010213084FFFF30A5FFFF10800007B3
+:1030D000000018213082000110400002000420424C
+:1030E000006518211480FFFB0005284003E000085C
+:1030F0000060102110C00007000000008CA200003A
+:1031000024C6FFFF24A50004AC82000014C0FFFB0E
+:103110002484000403E000080000000010A0000860
+:1031200024A3FFFFAC8600000000000000000000A8
+:103130002402FFFF2463FFFF1462FFFA24840004CB
+:1031400003E000080000000090AA00318FAB0010DF
+:103150008CAC00403C0300FF8D680004AD6C002087
+:103160008CAD004400E060213462FFFFAD6D0024AF
+:103170008CA700483C09FF000109C024AD67002866
+:103180008CAE004C0182C82403197825AD6F000471
+:10319000AD6E002C8CAD0038314A00FFAD6D001CC7
+:1031A00094A900323128FFFFAD68001090A70030CD
+:1031B000A5600002A1600004A167000090A3003296
+:1031C000306200FF00021982106000052405000132
+:1031D0001065000E0000000003E00008A16A000175
+:1031E0008CD80028354A0080AD7800188CCF0014A8
+:1031F000AD6F00148CCE0030AD6E00088CC4002C76
+:10320000A16A000103E00008AD64000C8CCD001C35
+:10321000AD6D00188CC90014AD6900148CC8002471
+:10322000AD6800088CC70020AD67000C8CC200148C
+:103230008C8300700043C82B13200007000000009F
+:103240008CC20014144CFFE400000000354A0080DA
+:1032500003E00008A16A00018C8200700A0000C629
+:10326000000000009089003027BDFFF88FA8001CE7
+:10327000A3A900008FA300003C0DFF8035A2FFFF33
+:103280008CAC002C00625824AFAB0000A1000004FD
+:1032900000C05821A7A000028D06000400A048210C
+:1032A0000167C8218FA50000008050213C18FF7FD6
+:1032B000032C20263C0E00FF2C8C0001370FFFFF53
+:1032C00035CDFFFF3C02FF0000AFC82400EDC02455
+:1032D00000C27824000C1DC00323682501F8702566
+:1032E000AD0D0000AD0E00048D240024AFAD000034
+:1032F000AD0400088D2C00202404FFFFAD0C000C51
+:103300009547003230E6FFFFAD06001091450048BA
+:1033100030A200FF000219C2506000018D24003469
+:10332000AD0400148D4700388FAA001827BD00088F
+:10333000AD0B0028AD0A0024AD07001CAD00002C29
+:10334000AD00001803E00008AD00002027BDFFE03D
+:10335000AFB20018AFB10014AFB00010AFBF001C87
+:103360009098003000C088213C0D00FF330F007F93
+:10337000A0CF0000908E003135ACFFFF3C0AFF006B
+:10338000A0CE000194A6001EA22000048CAB001465
+:103390008E29000400A08021016C2824012A4024E9
+:1033A0000080902101052025A6260002AE240004FD
+:1033B00026050020262400080E00007224060002C4
+:1033C00092470030260500282624001400071E001E
+:1033D0000003160324060004044000032403FFFF37
+:1033E000965900323323FFFF0E000072AE23001007
+:1033F000262400248FBF001C8FB200188FB1001448
+:103400008FB0001024050003000030210A00007C6A
+:1034100027BD002027BDFFD8AFB1001CAFB00018FA
+:10342000AFBF002090A900302402000100E050212D
+:103430003123003F00A040218FB000400080882150
+:1034400000C04821106200148FA70038240B00052B
+:1034500000A0202100C02821106B001302003021A1
+:103460000E000108000000009225007C30A400023C
+:103470001080000326030030AE0000302603003425
+:103480008FBF00208FB1001C8FB00018006010218A
+:1034900003E0000827BD00280E000087AFB0001031
+:1034A0000A00014F000000008FA3003C0100202112
+:1034B0000120282101403021AFA300100E0000CED2
+:1034C000AFB000140A00014F000000008F820050CE
+:1034D00024430001304200FFAF83005003E00008A6
+:1034E000000000003C0580008CA30E108F84004477
+:1034F000AC8300208CA20E1803E00008AC820024EC
+:103500003C0580008CA30E148F840044AC83002003
+:103510008CA20E1C03E00008AC82002493820038C9
+:103520001040001B2483000F2404FFF000643824A3
+:1035300010E00019978B002C9784004C9389002E83
+:103540003C0A601C0A0001900164402301037021C1
+:10355000006428231126000231C2FFFF30A2FFFFC2
+:103560000047302B50C0000E00E448218D4D000C68
+:1035700031A3FFFF00036400000C2C0304A1FFF340
+:103580000000302130637FFF0A000188240600011B
+:1035900003E00008000000009784004C00E448218C
+:1035A0003123FFFF3168FFFF0068382B54E0FFF83C
+:1035B000A783004C938A002E11400005240F0001C0
+:1035C000006BC023A380002E03E00008A798004CE6
+:1035D000006BC023A38F002E03E00008A798004CC7
+:1035E00003E000080000000027BDFFE8AFB00010B6
+:1035F0003084FFFF3C10800093A8002BAFBF001465
+:10360000A6040144960A0E1630C600FF8FA90030AA
+:10361000A60A0146AE050148A2060152A608015AB3
+:10362000AE0701608FA3002CA609015801202021BC
+:103630000E00017CAE0301543C021000AE02017882
+:103640008FBF00148FB0001003E0000827BD0018E2
+:103650003C038000346200708C4900008F87003C7E
+:103660002484000727BDFFF83084FFF8AF890024C9
+:103670003C088000950E008A31CDFFFFAFAD000001
+:103680008FAC000001875823256AFFFF31461FFFDA
+:1036900000C4282B14A0FFF7350D00708DAC00007E
+:1036A0003C0508008CA500843C0A08008D4A008077
+:1036B000018958230000102100ABC0210007C882F7
+:1036C00001422021030B302B0019388035094000BE
+:1036D0000086782100E9102127BD00083C01080080
+:1036E000AC3800843C010800AC2F008003E00008E7
+:1036F000000000008F82003C2486000730C5FFF8E0
+:1037000000A2182130641FFF03E00008AF84003CD2
+:103710003C0320FF27BDFFE83C0760003C08800019
+:10372000240500103464FFFDACE53008AFBF001085
+:10373000AD040E00000000000000000000000000CA
+:1037400000000000000000003C0200FF345FFFFDAD
+:10375000AD1F0E003C0B60048D7850002419FF7FD4
+:103760003C0E00020319782435EC380C35CD0109E4
+:10377000ACED4C1824060009AD6C50008CEA0438FE
+:10378000AD060008AD0000148CE94C1C3145FFFF6C
+:103790003C06570900091E0238A42F713062000F41
+:1037A00034C80010AF820048104000072485C0B321
+:1037B0008CEB4C1C3C0D001F35ACFC00016C502404
+:1037C000000A49C2AF8900348CF90808241FF000B0
+:1037D000033FC02403087026030678262DE8000165
+:1037E0002DC600010106382550E00009A3800038ED
+:1037F0003C09601C8D24000824030001A3830038C9
+:1038000030827C00A780004CA380002EA782002C71
+:10381000AF80005014A00003AF80003C3C05600066
+:10382000ACA0442C0E000559000000008FBF001012
+:103830000A000E9927BD001827BDFFC8AFB3002CA2
+:10384000AFB20028AFBF0030AFB10024AFB000204E
+:10385000936200080080982100A090211440003558
+:10386000240400100E0001C9000000000E000168D1
+:10387000004080210002C4003C1932000319882551
+:10388000AE110000936F0009976E0012936A000A50
+:1038900031EC00FF31CDFFFF018D5821000B4400BA
+:1038A000314900FF01093825AE0700048F8500501B
+:1038B0009784004000403021308320001460004D88
+:1038C000AE050008AE00000C3C0580009784004067
+:1038D0000662004F8E03000C3090000816000002B4
+:1038E000264800062648000294A40E148CA50E1C3F
+:1038F0008F670004936A00023084FFFF314900FFA4
+:10390000AFA900108F720014AFA800180E0001AF0D
+:10391000AFB200148FBF00308FB3002C8FB20028DD
+:103920008FB100248FB00020240400100A0001F29F
+:1039300027BD00389365000997710012936C000B46
+:1039400030AD00FF01B13021318B00FF00CB802171
+:103950002602000A3050FFFF0E0001C9020020219C
+:103960000E000168004088219368000997640012E6
+:103970000002FC00310900FF308AFFFF012A3821D4
+:1039800024E3000203E3C0253C1941000319782514
+:10399000AE2F00008F6E000C3C0D800095AC0E1415
+:1039A00001D32825AE2500048DA50E1C8F670004C9
+:1039B000936B00023184FFFF316600FFAFA6001059
+:1039C0008F68001400403021AFB200180E0001AF24
+:1039D000AFA80014020020218FBF00308FB3002C4D
+:1039E0008FB200288FB100248FB000200A0001F2AE
+:1039F00027BD0038976200123C0580009784004084
+:103A0000305FFFFF0661FFB4AE1F000C8E03000C99
+:103A10003C078000006798250A00026BAE13000C7B
+:103A200027BDFFD8AFB40020AFBF0024AFB3001C48
+:103A3000AFB20018AFB10014AFB00010936200082D
+:103A4000144000940080A021AF60000C97850040D6
+:103A500030A440001080009E24030016241040076C
+:103A6000A363000AAF700014938F00428F6C0014A0
+:103A700031EE0001000E6A40018D5825AF6B001435
+:103A8000978A00408F6800143149001001093825D9
+:103A9000AF6700149786004030C300081060009F95
+:103AA000000000008F6600143C0310003C048000FE
+:103AB00000C32825AF65001494820E0A3C1F8100C4
+:103AC0002413000E3059FFFF033FC025AF780004D8
+:103AD000A37300029372000A3406FFFC265100040F
+:103AE000A371000A978800403107200010E0009180
+:103AF000000000003C0B80009789004095680E0C88
+:103B000097840040000918423107C0003065000367
+:103B100000071303309F100000A2C025001FCA0336
+:103B200003199825001390C0A772001297910040C6
+:103B3000936F000A00118182320E003C01CF682190
+:103B400025AC003CA36C0009956A0E0C31493FFF7F
+:103B5000A7690010976D0012936C0009018D582120
+:103B6000256A00023149000700094023310300079C
+:103B7000A363000B93670009976400129765001018
+:103B800030E200FF8F900028979800400044F82111
+:103B900003E5C82103269821331200401240000596
+:103BA0003266FFFF00D0702B3C11800011C0001660
+:103BB000000090210206782B15E0002D0000202146
+:103BC0003C1080008E120E143C058000AE120E10C8
+:103BD0008E110E1CAE110E18AE060E008CB8000031
+:103BE000331300081260FFFD0000000094B90E08B6
+:103BF00000C0802100008821A79900408CA60E04F7
+:103C000024120001AF860028977F001033F3FFFFD6
+:103C10008E8900000130202310800058AE840000FF
+:103C2000022020210E000243020028218E8C000079
+:103C300015800005000000008F6D0014240EFFBFEA
+:103C400001AEA024AF7400148F78000C03138821F8
+:103C5000AF71000C936F000815E000030000000036
+:103C60001640004000000000A3720008020020215E
+:103C70008FBF00248FB400208FB3001C8FB20018B8
+:103C80008FB100148FB000100080102103E00008F5
+:103C900027BD00288F900028978200403C118000AB
+:103CA000020098213045004014A0FFD90000902167
+:103CB000976800108F8700283103FFFF1467FFEC1F
+:103CC00000002021000088210A000339241200018D
+:103CD0002403000E24104007A363000AAF700014F1
+:103CE000938F00428F6C001431EE0001000E6A4089
+:103CF000018D5825AF6B0014978A00408F6800141F
+:103D00003149001001093825AF670014978600403B
+:103D100030C300081460FF6300000000AF600004BF
+:103D2000A3600002978800403107200014E0FF7173
+:103D300000003021A760001297910040936F000AA5
+:103D40003C0B800032301F000010718301CF6821CE
+:103D500025AC0028A36C0009956A0E0C0A00030A22
+:103D6000A76A00108F6600143C1FEFFF37F9FFFEB3
+:103D700000D998240A00034FAF7300148F6B00140E
+:103D8000356A00400E00016EAF6A00140A00033E5F
+:103D9000022020218F8500448F8A003027BDFFC07C
+:103DA0003C048000AFB70034AFB40028AFB1001CB2
+:103DB000AFBF0038AFB60030AFB5002CAFB30024B2
+:103DC000AFB20020AFB000188C8701048CA900248A
+:103DD000AC8A00808CA8002000E988230000B8216C
+:103DE000AC880E108CA600240000A021AC860E1812
+:103DF0008C820E10AC820E148C830E18AC830E1CB9
+:103E0000122000333C168000936B000811600054B0
+:103E100000000000976E001031CDFFFF022D602BD7
+:103E20001580004F0000000097700010320FFFFF58
+:103E3000AECF0E003C0580008CB30000327200084B
+:103E40001240FFFD0000000094B50E088CA50E0482
+:103E500032B0FFFF32140001128000440000000065
+:103E60000000000D3219A04024180040133800450E
+:103E70003214A0001280003F00000000937300087D
+:103E80001260000927A4001097620010305FFFFF46
+:103E900000BFC82B53200005AFB10010320800400E
+:103EA0001100003400000000AFB10010A7900040E6
+:103EB000AF8500280E0002BD000000000040A021D8
+:103EC000104000BE8FB100101620FFCF0000000090
+:103ED0002E96000102D78825122000178FBF0038C8
+:103EE0008F85003024170F0010B700713C0480004C
+:103EF0008C8F017805E0FFFE24180F0050B8008F6A
+:103F00003C0480008C990E14241402403C051000DF
+:103F1000AC9901448C930E1CAC930148A0800152D3
+:103F2000A480015AAC800160A4800158AC94015473
+:103F3000AC8501788FBF00388FB700348FB6003062
+:103F40008FB5002C8FB400288FB300248FB20020CF
+:103F50008FB1001C8FB0001803E0000827BD00409F
+:103F6000AED10E000A0003C33C05800014A0FFBEC2
+:103F70003219A0400E0001750000A0210A0003EADA
+:103F80002E9600013C0380008C7F017807E0FFFE45
+:103F9000240208008F84003CAC6201783C0380005E
+:103FA000946B008A316AFFFF0144382324E9FFFF44
+:103FB00031281FFF2D06000814C0FFF9346C4000A3
+:103FC00000A0A0210E000168008C90218F8300309A
+:103FD00024040F000040A821023488233C068000FE
+:103FE0001064000524050001938E004231C50001D4
+:103FF00000056A4035A500010015FC003C020100E7
+:1040000003E2C825AE5900008F93005032180036E5
+:10401000320F0008AE53000411E0004100B89825AB
+:1040200094C30E0A8F84003C3C0B8100306AFFFF72
+:104030002492000832481FFF014B48253C0710001E
+:10404000269200062410000EACC901600267982574
+:10405000A4D0015AAF88003CA4D201581620000811
+:104060003C1080008F8E003024050F0051C50002E7
+:1040700024170001367300400E00016E3C108000D2
+:104080008E180E1402402021AE1801448E0F0E1C13
+:10409000AE0F0148A2150152AE1301540E00017C6F
+:1040A0003C151000AE1501780A0003E7000000007F
+:1040B000128000053C07800094F20E08324900404F
+:1040C00011200042000000008C8A01780540FFFEAC
+:1040D0000000000094950E103C1005002403200001
+:1040E00032AEFFFF01D06825AC8D014C8C8C0E14D4
+:1040F0003C0B1000AC8C01448C860E1CAC86014835
+:10410000A0800152A480015AAC800160A4800158B3
+:10411000AC830154AC8B01780A0003F13C048000AD
+:104120008F8D003C26920002A4D2015825AC0008D5
+:1041300031861FFF0A00044CAF86003CAC80014C66
+:1041400012800019000000008C9F0E10AC9F0144EB
+:104150008C830E183C08800024110040AC83014879
+:104160008FBF0038A10001528FB70034A500015A5B
+:104170008FB60030AD0001608FB5002CA50001584E
+:104180008FB40028AD1101548FB300248FB20020EA
+:104190008FB1001C8FB000183C04100027BD0040F8
+:1041A00003E00008AD0401788C820E14AC82014457
+:1041B0008C830E1C0A00048B3C0880000E000175E5
+:1041C0002E9600010A0003EB02D7882500000000AC
+:1041D0000000000D000000002400033A0A000467FC
+:1041E0003C04800027BDFFD8AFB100143C11800013
+:1041F000AFB00010AFB40020AFB3001CAFB20018D6
+:10420000AFBF00243C13080026733C340E0001F9B4
+:10421000363000703C14080026943CB40200902113
+:104220008E0800008E2400003883000130620001F7
+:10423000104000163C0A8000024048218D270000F3
+:104240003C1F08008FFF006C3C0F08008DEF0068DA
+:1042500000E8102303E260210000C0210182702BDE
+:1042600001F8682101AE40213C010800AC2C006C33
+:104270003C010800AC2800688D4B00003966000145
+:1042800030C5000114A0FFED00E040218E1F0000AA
+:104290003C1808008F18006C3C0D08008DAD0068BC
+:1042A00003E8C823031938210000702100F9602BAE
+:1042B00001AE4021010C58213C010800AC27006CE4
+:1042C0003C010800AC2B00688E2801002406FF800A
+:1042D0003C04800A2505024000A64824AE280020A0
+:1042E000AE2900248E09000030A3007F0064502115
+:1042F0003C078000AF8A0044AF880030AF890024BB
+:104300008CE201780440FFFE000000008E1F0000D8
+:104310003C1808008F1800743C0D08008DAD00702B
+:1043200003E9C82303195821000070210179302BBB
+:1043300001AE602101864821240508003C010800E7
+:10434000AC2B00743C010800AC290070ACE501788E
+:1043500090E40108A384004293830042306A000184
+:104360001140000F240E0D002502F8002C47030118
+:1043700010E0001C000819C22464FFF00004504241
+:10438000000A41400E00039A0113D8213C0A400064
+:104390003C088000AD0A01380A0004BD000000009E
+:1043A000110E0026240F0F00110F002A3C02800876
+:1043B0003447008090FF00002418005033F900FFBC
+:1043C0001738FFF33C0A40000E0009A3000000006C
+:1043D0003C0A40003C088000AD0A01380A0004BDD8
+:1043E000000000008F8400340064282B14A0000B10
+:1043F0008F86004800866021258BFFFF0163482BD4
+:104400001520000600646823000D19400E00039A71
+:104410000074D8210A0005193C0A40000000000081
+:104420000000000D00000000240003AD0E00039A00
+:10443000000000000A0005193C0A40003C1B08006F
+:10444000277B3DB40E00039A000000000A00051906
+:104450003C0A40003C1B0800277B3DD40E00039A19
+:10446000000000000A0005193C0A40008F8200503D
+:1044700024430001304200FFAF83005003E00008F6
+:1044800000000000000411C003E0000824420240C4
+:104490003C04080024843BCC2405001A0A00007C5C
+:1044A0000000302127BDFFE0AFBF001CAFB20018F5
+:1044B000AFB10014AFB000103C108000920B0109A6
+:1044C0002412FF800E0005563164007F8F9100306A
+:1044D0000051502101524024AE08002492030109EA
+:1044E0000E0005563064007F24060080240700C0BB
+:1044F00024040040AE000810AE040814AE060818EC
+:10450000AE07081C920C01090051F82133F8007F16
+:104510003C19800A031910213184007F0E000556D2
+:10452000AF8200448E1101003C0C008035850001F3
+:104530000222782101F24824AE0908048E0E0100FF
+:10454000359800023609090001C2682131AB0078B4
+:1045500001655025AE0A08208E0501008E08010075
+:10456000360509800102182124640040009230249D
+:10457000AE0608088E07010000E2F82127F9004086
+:104580003332007802588825AE1108248E040100C9
+:10459000952F000C8FBF001C8FB2001831EEFFFF6B
+:1045A000000E69C0AE0D0800AE0C0828952B000C5B
+:1045B0008FB10014316AFFFF000A41C0AE08002C21
+:1045C0008CA300508FB000108CA2003C8D240004FE
+:1045D0008CA6001C8CA7003827BD0020AF83006884
+:1045E000AF820058AF840054AF86006003E000083B
+:1045F000AF8700643C0A0800914A3BF13C0908007F
+:1046000095293BEA3C051100000A3C0025280002E0
+:1046100000E8302500C5182524820008AC8300007E
+:1046200003E00008AC8000043C0880003507090066
+:1046300090E60011240200280080502130C300FFC2
+:1046400000A0602110620002340B86DD240B0800FC
+:104650003C07800034E20A9A9459000034EF0A9C27
+:1046600034ED0AA03338FFFFAD5800008DEE000096
+:1046700034E80A8024040001AD4E00048DA9000036
+:10468000AD4900089105001930A3000310640043F0
+:104690002866000214C000B0240400021064008BDD
+:1046A000240500031065009634E60AA43C090800BE
+:1046B00095293BE0240208005162004D3C0E800029
+:1046C0003C0E800035C5090090A6001290B9001973
+:1046D00035CB09808D68002830C700FF000778803F
+:1046E0003138FFFF332300FF01F8102100032500BC
+:1046F0000088702500025C003C0D600001CD302573
+:10470000356906FFAD490004AD4600008CA7002CBA
+:1047100025490028AD4700088CB90030AD59000C80
+:104720008CB80034AD5800108CAF0038AD4F001479
+:104730008CA3001CAD4300188CA800203C03800013
+:104740003462093CAD48001C8CA40024346F09007D
+:10475000AD4400208CAD0028AD4D00248C590000E4
+:10476000AD2C000425220014AD3900008C78010C1A
+:10477000AD38000891E800123C04080090843BF03A
+:10478000AD20001000082F0000046C0000AD602573
+:10479000358AFFFF03E00008AD2A000C3C09080041
+:1047A00095293BE03C0F080095EF3BEA34F90AA459
+:1047B0003C0E080095CE3BDC972800003138FFFF07
+:1047C00001F8682101AE382300082C0024E3FFF231
+:1047D00000A3202524020800AD44000CAD400010C9
+:1047E000AD4B00141562FFB6254A00183C0E800040
+:1047F00035CD090091A2001191A700193C050800D0
+:1048000094A53BE6304600FF35CB0A80956E002A22
+:104810000006C88230F800FF9787005C00191E0070
+:1048200000187C003128FFFF00A82021006F4825D8
+:104830000124102501C730213C0B4000004BC82546
+:104840000006C400AD590000AD58000491AF001837
+:104850003C03000624E90001000F46000103702517
+:10486000AD4E00088DA5002C3C0380003462093C4D
+:10487000AD45000C8DAB001C31247FFF2549001491
+:10488000AD4B00108C590000AD2C0004346F0900B2
+:10489000AD3900008C78010CA784005C252200143F
+:1048A000AD38000891E800123C04080090843BF009
+:1048B000AD20001000082F0000046C0000AD602542
+:1048C000358AFFFF03E00008AD2A000C34E20AA499
+:1048D00094460000951900283C09080095293BE002
+:1048E0000006C40000197C00370E810001EB68252A
+:1048F000AD4E000CAD4D00100A0005E2254A001433
+:104900003C09080095293BE03C18080097183BEA51
+:104910003C0F080095EF3BDC94CE00003139FFFFDF
+:10492000950D002803194021010F3823000E2400A3
+:10493000000D2C0024E3FFEE00A33025348281001B
+:10494000AD42000CAD460010AD400014AD4B001858
+:104950000A0005E2254A001C1460FF5434E90AA449
+:10496000952800003C09080095293BE000083C0020
+:1049700000EB1825AD43000C0A0005E2254A0010A3
+:1049800003E00008240207D027BDFFE0AFB2001803
+:10499000AFB10014AFB00010AFBF001C0E00005C40
+:1049A000008088218F8800588F8700543C0580083C
+:1049B00034B20080011128213C10800024020080C4
+:1049C000240300C000A72023AE0208183C0680087C
+:1049D000AE03081C18800004AF850058ACC5000465
+:1049E0008CC90004AF8900541220000936040980E4
+:1049F0000E00069500000000924C00278E0B0074FC
+:104A000001825004014B3021AE46000C360409806F
+:104A10008C8E001C8F8F006001CF682319A00004CA
+:104A20008FBF001C8C90001CAF9000608FBF001CDB
+:104A30008FB200188FB100148FB000100A00005E12
+:104A400027BD00208F8600688F8300588F82005416
+:104A50003C05800834A40080AC860050AC83003C48
+:104A600003E00008ACA200043C038000346700703F
+:104A70008CE30000308700FF3C0408008C84005465
+:104A800027BDFFF830AA00FF248200013C01080086
+:104A9000AC22005430C800FFAF8300243C098000E2
+:104AA0008D25017804A0FFFE352B00708D65000078
+:104AB000A3A700033C1808008F1800748FB90000EA
+:104AC0003C0D08008DAD007000A338233C047FFF2F
+:104AD00000E078213482FFFF0307382100007021B5
+:104AE0000322582401AE302100EF602B000847C09C
+:104AF00000CC182101682825AFA500003C01080062
+:104B0000AC2700743C010800AC2300709124010A1A
+:104B1000A3A000023C0280FFA3A400018FB8000004
+:104B2000314F007F3459FFFF03196824000F7600CE
+:104B30003C0B002001AE6025356A20002408FF8070
+:104B40003C06100027BD0008AD2C014CAD2A0154D5
+:104B5000A5200158A128015203E00008AD260178E4
+:104B60003C038000346200708C480000308A00FFF3
+:104B700030A900FFAF8800243C0480008C850178B8
+:104B800004A0FFFE348700708CEB00003C05080099
+:104B90008CA500743C1908008F390070016820232F
+:104BA00000A470210000102101C4C02B0322782131
+:104BB0003C07800001F868213C010800AC2E00741D
+:104BC00034E60A003C010800AC2D00708CCC0020BB
+:104BD0000009582B34E80980ACEC01448CC900244E
+:104BE000000B1540ACE90148A0EA01509104004CCB
+:104BF000A0E4016D03E00008A4E0015827BDFFE830
+:104C0000308400FFAFBF00100E00070D30A500FF7D
+:104C10008F8300588FBF00103C0580003446004051
+:104C20002404FF903C02100027BD0018ACA3014CE7
+:104C3000A0A40152ACA6015403E00008ACA2017884
+:104C400027BDFFE03C088008AFBF001CAFB20018D2
+:104C5000AFB10014AFB00010351000808E06001800
+:104C60003C078000309200FF00C72025AE040018EA
+:104C70000E00005C30B100FF9203000534620008B2
+:104C80000E00005EA2020005024020210E00073443
+:104C900002202821024020218FBF001C8FB2001863
+:104CA0008FB100148FB00010240500052406000108
+:104CB0000A0006CF27BD00203C08800027BDFFE882
+:104CC000AFB0001035050980AFBF001490A70009F0
+:104CD000240200063506090030E300FF241000041A
+:104CE000106200722408000294AE005C3C0D0204C5
+:104CF00031CCFFFF018D5825AC8B000090AA000835
+:104D000031490020112000080000000090BF004E33
+:104D10003C1901033738030033EF00FF01F8282561
+:104D200024100008AC85000490CC001190C900113B
+:104D3000318A00FF000A5882312700FF256A0005EA
+:104D4000000A108038E300281460002900824823FC
+:104D500090CF00123C1980003722090031E500FF96
+:104D60000005708001D06821000D340034C406FFB6
+:104D7000AD240004904C001190580012373F098078
+:104D80008FE400348F2F010C00105882330500FF90
+:104D900000AB702100083400008F1823000E6F0054
+:104DA00001A61025319F00FC3067FFFF03E9C021F9
+:104DB0000047C825014B7821000F2880AF19000C4F
+:104DC0000E00005C012580213C0A800824090004B3
+:104DD000354800800E00005EA10900090200102184
+:104DE0008FBF00148FB0001003E0000827BD00182B
+:104DF00090CE001190CD00193C07080094E73BE6E7
+:104E000031C600FF0006208231AC00FF00045E00C6
+:104E1000000C1C00016310250047C8253C1F400002
+:104E2000033FC0253C198000AD380000372209003F
+:104E3000904C001190580012373F09808FE40034E5
+:104E40008F2F010C00105882330500FF00AB70213A
+:104E500000083400008F1823000E6F0001A61025F3
+:104E6000319F00FC3067FFFF03E9C0210047C825E0
+:104E7000014B7821000F2880AF19000C0E00005C58
+:104E8000012580213C0A800824090004354800805F
+:104E90000E00005EA1090009020010218FBF00145E
+:104EA0008FB0001003E0000827BD00180A00076F4C
+:104EB0002408001227BDFFD8AFB40020AFB3001CF8
+:104EC000AFB20018AFB10014AFBF0024AFB00010F4
+:104ED0003C06800090C3010B309200FF30B300FF0E
+:104EE000306200300000A0211040007000008821D6
+:104EF00034C409809088000800083E0000072E0393
+:104F000004A00097240400048F8800583C01080086
+:104F1000A0243BF03C0C8000AD8000483C038000A6
+:104F2000906E010B31C5002010A000073C028000EC
+:104F300034790980933800080018860000107E0339
+:104F400005E2009C3C0280083450090034470A8086
+:104F5000904D010B94EB002C92030011921F001254
+:104F600090E50018307800FF33F900FF00197880D1
+:104F700001F8702101D1502130B100FF01514821C9
+:104F80002524000A31AC00403091FFFF000C302B8B
+:104F900002202021A78B005C3C010800A42A3BE6EC
+:104FA0003C010800A4293BE83C010800A4293BEA95
+:104FB0003C010800A4203BE03C010800A4203BDCAD
+:104FC0000E0001C9010680230E0005B20040202119
+:104FD000004020210E0005BF020028211680005C41
+:104FE000000000000E0001F2022020213C08080011
+:104FF00091083BF031140003128000163C1F80081A
+:105000008F8400583C0C800835860080248B00017A
+:10501000ACCB003C3C0580088CAA00040160202138
+:10502000014B482319200002AF8B00588CA40004C8
+:105030000E000695ACA400043C0F80008DEE0074B9
+:105040003C05800834AD0080004E8821ADB1000CD5
+:105050003C1F800837F9008002402021026028218F
+:10506000A320006B0E00070D3C1280008F980058A3
+:1050700034500006AE58014C0E0005503C13100091
+:10508000A24201528FBF0024AE5001548FB40020C1
+:10509000AE5301788FB100148FB3001C8FB200188B
+:1050A0008FB0001003E0000827BD002834C309803A
+:1050B000906F0008000F7600000E6E0305A000330D
+:1050C00034C209009059001B241F00103C01080045
+:1050D000A03F3BF0333800021300FF908F88005848
+:1050E0008F8300641468FF8E3C0380000E00005C18
+:1050F000000000003C0980083525008090A40009CC
+:1051000024070016308800FF1107000D0000000082
+:1051100090A600093C0C0800918C3BF0240A000882
+:1051200030C400FF358B00013C010800A02B3BF090
+:10513000108A002F240D000A108D00282402000C74
+:105140000E00005E000000000A0007FC8F88005877
+:105150000E000763004020210A00082E0000000016
+:105160003C0B8008356A00808D4800548CC9010CC6
+:105170001120FF66AF880058240600143C01080087
+:10518000A0263BF00A0007FB3C0C80009071000851
+:10519000241400023C010800A0343BF0323000200F
+:1051A0001200000B241400018F8800580A0007FC2D
+:1051B00024110008345F00808FE70038AC470004FA
+:1051C0008C430004AFE3003C0A0008073C02800067
+:1051D0008F8800580A0007FC24110004A0A20009CF
+:1051E0000E00005E000000000A0007FC8F880058D7
+:1051F000240200140A0008ADA0A2000927BDFFE8A0
+:10520000AFBF0014AFB000103C1080009202010943
+:10521000240500010E00070D304400FF3C1F8008EC
+:1052200093F8000E37E3008093F9000F906E00268C
+:1052300093E9000A332F00FF00186600000F6C008E
+:1052400031CB00FF018D5025000B3200014638257F
+:10525000312800FF3445600000E820252402FF814A
+:105260003C031000AE04014C8FBF0014AE05015486
+:10527000A2020152AE0301788FB0001003E00008D3
+:1052800027BD001827BDFFE8308400FFAFBF001026
+:105290000E00070D30A500FF344600403C0480009E
+:1052A0002405FF92AC860154A08501528F830058DB
+:1052B0008FBF00103C02100027BD0018AC83014CCA
+:1052C00003E00008AC82017827BDFFD8AFB2001818
+:1052D000AFB10014AFB00010AFBF0020AFB3001CDF
+:1052E0003C07800090E20109308600FF30B000FFEB
+:1052F000000618C232040002307100011480000759
+:10530000305200FF3C098008353300809268000568
+:105310003105000810A0000C30CA00100240202106
+:105320000E00074502202821240200018FBF002023
+:105330008FB3001C8FB200188FB100148FB0001013
+:1053400003E0000827BD00281540003034E50A00BE
+:105350008CB900248CB800081338004700004021A5
+:105360003C0E800835D30080926D0068240B00024B
+:1053700031AC00FF118B00803C068000927F004C16
+:1053800090C40109509F00043213007C1100006793
+:10539000000000003213007C1660005A02402021F9
+:1053A00016200008320C00013C07800034EB0A0094
+:1053B0008D6500248CE8010414A8FFDC0000102196
+:1053C000320C00011180000D024020213C108000B1
+:1053D0008E0E010C8F8D006811CD000800000000BA
+:1053E0000E0007E2022028218E0F010C3C188008D5
+:1053F00037100080AE0F0050024020210E0007340D
+:10540000022028210A000900240200013C070800AC
+:105410008CE7006424E600013C010800AC2600642F
+:105420001600000D00000000022028210E000734A5
+:1054300002402021926F0068240D000231EE00FF2F
+:1054400011CD0022024020210E0008B4000000000F
+:105450000A000900240200010E00003D240400019E
+:10546000926C0025020C58250E00005EA26B0025F0
+:105470000A000940022028218E6300188CE40104F0
+:105480008CBF002400031602149FFFB53045007F37
+:105490009269004C264400013093007F1265004061
+:1054A000312300FF1464FFAF3C0E80082648000142
+:1054B0003111007F310200FF1225000B240800018A
+:1054C000004090210A00090D241100012405000468
+:1054D0000E0006CF240600010E0008B400000000F4
+:1054E0000A000900240200012407FF800247282443
+:1054F00000A79026324200FF004090210A00090DCB
+:10550000241100010E0007E202202821320600309B
+:1055100010C0FFA332100082024020210E00074578
+:10552000022028210A000900240200018E630018CD
+:105530000240202102202821006610250E0008D6F6
+:10554000AE6200189264004C24050003240600019A
+:105550000E0006CF308400FF0E00003D2404000141
+:10556000926A0025020A48250E00005EA269002505
+:105570000A000900240200018E7800183C198000FE
+:105580000240202103197825022028210E0007342B
+:10559000AE6F00189264004C0A00098824050004CC
+:1055A0003246008038CA0080146AFF6E3C0E8008C4
+:1055B0000A0009612648000127BDFFC0AFB00018EE
+:1055C0003C108000AFBF0038AFB70034AFB600303A
+:1055D000AFB5002CAFB40028AFB30024AFB20020A9
+:1055E0000E00055EAFB1001C920401089205010B8C
+:1055F000308400FF0E0008E730A500FF144000D6FD
+:105600008FBF00383C09800835280080A100006B5E
+:105610003607098090E60000240200503C1708007D
+:1056200026F73DF430C300FF3C14080026943E04E6
+:10563000106200033C1080000000B8210000A0218F
+:10564000241F001036110A00361309808E1601043B
+:105650008F8D00588E38002436190A808E720020F3
+:105660003C010800A03F3BF0972C002C8EF5000079
+:10567000932B0018024D702302D878233C010800B8
+:10568000AC2F3BCC3C010800AC2E3BD03C010800C9
+:10569000AC2D3BF4A78C005C02A0F809317200FF2E
+:1056A000304A0002154000DA3045000110A000B475
+:1056B00000000000360509008E2B002490BF001169
+:1056C00090B9001290B6001133EF00FF333800FF9D
+:1056D00032CD00FF0018708001CF8021024D602183
+:1056E0000212A821258A00103C010800A4353BE8DD
+:1056F0003C010800AC2B3BF83C010800A42A3BE429
+:105700003C010800A4303BE60260B0213C1580005B
+:105710008F9200588F8400608ED3002024110006E1
+:1057200000923023027228233C010800AC313BEC8C
+:1057300004C000AF0000982104A000AD00C5102BEC
+:10574000104000AF000000003C010800AC263BD038
+:105750008E9000000200F8090000000030430002B3
+:105760001460006F004088213046000154C00011D1
+:105770008E9200043C0808008D083BD43C09C00010
+:105780003C04800001093825AEA70E008C8B000078
+:10579000316A00081140FFFD00000000948D0E08E2
+:1057A00024130001A78D00408C8C0E04AF8C0028C0
+:1057B0008E9200040240F8090000000002228825B1
+:1057C000322E000215C000A5000000003C180800A1
+:1057D00097183BDC3C12080096523BE83C19080045
+:1057E00097393BDE3C0708008CE73BD4031240218D
+:1057F0003C0B08008D6B3BF83C0E080095CE3BF24D
+:105800003C128000011978218E46010C00F86821B5
+:1058100025EC000201AE482101675021AF860068E7
+:105820003C010800AC2A3BF83C010800A4293BE0FD
+:105830003C010800A4283BEA0E0001C93184FFFFA7
+:105840000E000550004080213C010800A0223BF1E1
+:105850008E8200080040F809020020218F85005840
+:105860000E0005BF004020218E90000C0200F809B8
+:10587000004020213C03080094633BEA3C020800FE
+:1058800094423BDE00622021248500020E0001F2DA
+:1058900030A4FFFF3C1908008F393BCC3C1F0800A7
+:1058A0008FFF3BD4033FC0233C010800AC383BCC06
+:1058B00017000006000000003C0508008CA53BEC2A
+:1058C00034BF00403C010800AC3F3BEC126000429A
+:1058D0008F8200448E430E108F930044AE630020ED
+:1058E0008E440E18AE6400243C04080094843BE00F
+:1058F0000E000697000000008F8600588E8A001068
+:105900003C010800AC263BF40140F809000000000F
+:105910003C0908008D293BCC1520FF7E8F92005852
+:105920009796005C3C14800E323500100E0006C6BF
+:10593000A696002C56A000458EEB000432270040AE
+:1059400054E0001E8EF100088EEC000C0180F80976
+:10595000000000008FBF00388FB700348FB60030D2
+:105960008FB5002C8FB400288FB300248FB2002095
+:105970008FB1001C8FB0001803E0000827BD004065
+:10598000920901098F88003000093E0000E83025A7
+:10599000AE0600808E2300208E240024AFA30010CA
+:1059A000AE030E148FA20010AE020E10AE040E1C39
+:1059B000AE040E180A0009E3360509000220F809B2
+:1059C000000000008EEC000C0180F80900000000CF
+:1059D0000A000A8B8FBF0038240800012410000140
+:1059E000A4400020A44800220A000A6FAC50002402
+:1059F0003C010800AC203BD00A000A0A8E9000004F
+:105A00003C010800AC253BD00A000A0A8E90000039
+:105A100092110109000028210E000734322400FFF2
+:105A20008FBF00388FB700348FB600308FB5002C91
+:105A30008FB400288FB300248FB200208FB1001CD8
+:105A40008FB0001803E0000827BD00400160F8098E
+:105A5000000000000A000A85322700405260FFB1B2
+:105A60009796005C8EB60E148F940044AE9600207C
+:105A70008EAF0E1CAE8F00240A000A7E9796005C43
+:105A80008F8200000004218003E0000800821021C2
+:105A90003C07800834E20080904300690080402188
+:105AA000106000093C0401003C0708008CE73BF44F
+:105AB0008F83001C00E320230480000893890008E2
+:105AC00014E300030100202103E0000800801021FE
+:105AD0003C04010003E00008008010211120000BAD
+:105AE000006738233C0D800035AC0980918B007C29
+:105AF000316A0002114000202409003400E9702BB3
+:105B000015C0FFF10100202100E938232403FFFC28
+:105B100000A3C82400E3C02400F9782B15E0FFEAB5
+:105B20000308202130C400030004102314C0001413
+:105B3000304900030000302100A9782101E67021DE
+:105B400000EE682B11A0FFE03C0401002D3800019D
+:105B50000006C82B010548210319382414E0FFDA98
+:105B60002524FFFC2402FFFC00A218240068202149
+:105B700003E00008008010210A000AF42409003024
+:105B80003C0C80003586098090CB007C316A000493
+:105B90001540FFE9240600040A000B030000302131
+:105BA0003C0308008C63005C8F82000427BDFFE883
+:105BB000AFBF001410620005AFB00010000329C091
+:105BC00024A40280AF840000AF8300043C10800056
+:105BD00036030A00946500320E000AD530A43FFF58
+:105BE0008E0401003C180080370F00030082C8219A
+:105BF0002402FF80032260243329007F000CF94037
+:105C000003E94025332E00783C0D1000010D50258E
+:105C100001CF5825AE0C002836080980AE0C080CC0
+:105C2000AE0B082CAE0A0830910300693C06800CCC
+:105C30000126382110600006AF8700208D09003C46
+:105C40008D06006C0126382318E0007F000000005C
+:105C50003C0C8008358B00803C0A8000A160006904
+:105C6000355009808E0200383C06800034C50A0099
+:105C700090AD003C31A8002011000019AF82001C3B
+:105C8000240E00013C19800037300A00A38E000862
+:105C9000AF8000108E0400248F85001024180008A7
+:105CA000AF80000CAF8000143C010800A4383BDE3C
+:105CB0003C010800A4203BF20E000AD9000030216C
+:105CC000920F003C8FBF00148FB00010000F714284
+:105CD000AF82001827BD001803E0000831C20001A0
+:105CE00090B90032240F0001333800FF00182182E0
+:105CF000108F003F241F0002109F006234C20AC0B0
+:105D00003C03800034640A008C9900241720001D95
+:105D10003466090090830030241F00053062003F84
+:105D2000105F004C240500018F86000CA38500083D
+:105D3000AF860014AF8600103C19800037300A008F
+:105D40008E0400248F850010241800083C010800F0
+:105D5000A4383BDE3C010800A4203BF20E000AD927
+:105D600000000000920F003C8FBF00148FB00010A5
+:105D7000000F7142AF82001827BD001803E0000831
+:105D800031C200018C8800088C8D00248CCB00640B
+:105D90003C19800037300A00AF8B0010A380000848
+:105DA0008E0400248F86000C8F850010010D602367
+:105DB00024180008AF8C00143C010800A4383BDE16
+:105DC0003C010800A4203BF20E000AD900000000AC
+:105DD000920F003C8FBF00148FB00010000F714273
+:105DE000AF82001827BD001803E0000831C200018F
+:105DF00090A7003030E3003F5064002834C50AC04B
+:105E00008CAA00241540002234C809008CAB00483D
+:105E10003C0C7FFF3585FFFF016510243C18800096
+:105E2000AF82000C370509008F8E000C8CAF00602C
+:105E300001CF682B15A0000201C020218CA40060B6
+:105E40000A000B75AF84000C8D02006C0A000B5029
+:105E50003C0680008C8900488F86000C3C0A7FFF3E
+:105E60003550FFFF013038243C0480082405000130
+:105E7000AF870014AC80006CA38500080A000B8378
+:105E8000AF8600108C4400140A000B75AF84000C20
+:105E90008D0200680A000BBD3C18800034C40980E4
+:105EA0008C8600708CB0001400D0482B11200004A8
+:105EB000000000008C8200700A000BBD3C188000BE
+:105EC0008CA200140A000BBD3C1880008F850010C6
+:105ED00027BDFFE0AFBF0018AFB1001414A0000849
+:105EE000AFB000103C04800034870A0090E6003018
+:105EF0002402000530C3003F106200B7348409005B
+:105F00008F91000C00A080213C048000348E0A0098
+:105F10008DCD00043C0608008CC63BD031A73FFF66
+:105F200000E6602B5580000100E03021938F0008CF
+:105F300011E0007600D0102B349909809338007C52
+:105F400033040002108000772403003400C3F82BD0
+:105F500017E000D600C3302300D0102B3C0108000E
+:105F6000A4233BDC1440006D020018213C0408000F
+:105F70008C843BCC0064282B54A0000100602021BD
+:105F80003C05800034A90A009128003C3C0108002F
+:105F9000AC243BD4310300201460000200004821EF
+:105FA0008CA90E188F8800180128502B1140005F13
+:105FB000000000003C0508008CA53BD400A960212E
+:105FC000010C582B1160005C00B0682B01093823CC
+:105FD00000E028213C010800AC273BD4120000035C
+:105FE0002402FFFC10B0008C322A000300A2F82427
+:105FF0003C010800A4203BF23C010800AC3F3BD42C
+:1060000003E028218F840010120400063C0380085E
+:106010008C6A006C02002021AF91000C2550000119
+:10602000AC70006C8F8B001400858823AF9100103A
+:1060300001652023AF8400141220000224070018F9
+:10604000240700103C0E800835C6008090CD006803
+:10605000240C00013C010800A0273BF031A700FF01
+:1060600010EC0047000000001480001800002821F8
+:106070003C0B800091650109357109808E23001861
+:1060800030A500FF0003560224A300013146007F23
+:106090003070007F1206007E240CFF803C0F8008C9
+:1060A00035E90080A123004C3C0808008D083BEC3A
+:1060B000240E00023C010800A02E3C31350D0008E2
+:1060C0003C010800AC2D3BEC240500103C1F800077
+:1060D00037E40A009099003C333800201300000593
+:1060E00000A02021240200013C010800AC223BD486
+:1060F00034A400018FBF00188FB100148FB00010BE
+:106100000080102103E0000827BD00203C010800AA
+:10611000A4203BDC1040FF95020018210A000C105F
+:1061200000C018210A000C08240300303C050800B8
+:106130008CA53BD400B0682B11A0FFA80000000084
+:106140003C04080094843BDC0085782101E7702B37
+:1061500011C000072CA200043C1F60008FF95404FA
+:106160003338003F1700FFE3240400422CA2000450
+:106170001040FF9A240400420A000C738FBF0018DD
+:106180001528FFB9000000008CC200183C188000E0
+:10619000241900020058F825ACDF001837040A0063
+:1061A000A0D900689089003C240F000400A01021B1
+:1061B000312800203C010800A02F3C3111000002D2
+:1061C00024050010240200013C010800AC223BCC55
+:1061D0000A000C693C1F80008F8800148C890060C5
+:1061E0000109282B14A00002010088218C91006075
+:1061F0003C0B80008D640E18240A00010220282127
+:1062000002203021A38A00080E000AD90220802132
+:106210000A000BF7AF820018000A182312200007AB
+:10622000306400033C0D800035A7098090EC007CB1
+:10623000318B000415600019248E00043C01080015
+:10624000A4243BF23C18080097183BF203052021D8
+:1062500000C4782B11E0FF6C8F8400102CA6000581
+:1062600014C0FFA42404004230B900031720000228
+:1062700000B9182324A3FFFC3C010800AC233BD445
+:106280003C010800A4203BF20A000C3600602821E3
+:1062900000AC38240A000C5C00EC18263C01080015
+:1062A000A42E3BF20A000CC6000000003C010800CE
+:1062B000AC203BD40A000C72240400428F830014EB
+:1062C0003C0B8000356A0A001460000600001021B3
+:1062D000914600302405000530C400FF10850003FE
+:1062E0000000000003E000080000000091490048A1
+:1062F000312800FF000839C214E0FFFA3C0480088E
+:106300003C06080094C63BDC3C0308008C633BF46D
+:106310003C0508008CA53BD43C18080097183BF2BC
+:106320000066C8218C8E00040325782101F86821BD
+:1063300001AE60231980001D000000009158004C40
+:106340008F8D0020956E0E10330F00FF8DA9000475
+:1063500001CF30238DAA000030CFFFFF000F610076
+:10636000012C2821000038210147202100AC182BE6
+:106370000083C821ADA50004ADB9000091B8000AA2
+:1063800001F87021A1AE000A956C0E128F8A0020D0
+:10639000A54C00089549003825280001A54800387B
+:1063A0009147000D34EB0008A14B000D03E00008FD
+:1063B0000000000027BDFFD8AFB00018938F000881
+:1063C0008FB000143C087FFF8F8700103C0C8000CA
+:1063D0003518FFFFAFBF0020AFB1001C35990A0090
+:1063E00002181824932A003C000F5FC03C02BFFF34
+:1063F0002CF000013449FFFF006BF8253C08080031
+:106400008D083BF48F99001C3C18080097183BEA54
+:1064100003E9582400107F803C07EFFF3C05F0FFA4
+:10642000016F18253C1180003149002034E2FFFF44
+:1064300034ADFFFF362E098027A500102406000288
+:1064400001194023270A00020062182400808021DD
+:1064500015200002000058218D8B0E1CA7AA0012E7
+:106460000500003A2407000030EF00FF000F3F0056
+:10647000006740253C028008AFA80014344B008020
+:10648000916A00683C0F080091EF3BF13C09DFFF87
+:10649000353FFFFF000A602B3C02080094423BE4BA
+:1064A000A3AF0011011FC024000CCF400319182511
+:1064B0008FA70010AFA300143C1F080093FF3BF30D
+:1064C000A7A200168FA8001400ED48243C0B010081
+:1064D0003C0A0FFF012BC82533F80003354CFFFFA2
+:1064E000010D78243C027000032C382400181E0093
+:1064F00000E2482501E35825AFAB0014AFA9001016
+:1065000091DF007CA3BF00150E00007200000000A8
+:10651000362D0A0091A6003C30C4002010800006F1
+:10652000260200083C11080096313BE0262EFFFFB2
+:106530003C010800A42E3BE08FBF00208FB1001C5F
+:106540008FB0001803E0000827BD00288F8A0018CC
+:10655000016A602B5580FFC4240700010A000D501A
+:1065600030EF00FF938300083C02800027BDFFD876
+:1065700034480A0000805021AFBF002034460AC0D2
+:10658000010028211060000E34440980910700307A
+:10659000240B00058F89000C30EC003F118B000BA1
+:1065A00000003821AFA900103C0B80088D69006CF9
+:1065B000AFAA00180E00013AAFA90014A38000088A
+:1065C0008FBF002003E0000827BD00288D1F004872
+:1065D0003C1808008F183BD48F9900143C027FFFB1
+:1065E0008D0800443443FFFFAFA900103C0B800826
+:1065F0008D69006C03E370240319782101CF6823AF
+:1066000001A83821AFAA00180E00013AAFA9001462
+:106610000A000DA5A38000083C05800034A60A00EE
+:1066200090C7003C3C06080094C63BF23C020800C0
+:106630008C423BEC30E30020000624001060003167
+:10664000004448253C0880083505008090A3006878
+:1066500000006821240C000100005021240B0001DF
+:106660003C188000370F00708DE800003C07800068
+:10667000AF8800248CF901780720FFFE34E5007014
+:106680008CA200003C0308008C6300743C0F0800DF
+:106690008DEF007000482023006428210000C021F5
+:1066A00000A4302B01F8702101C640213C010800F4
+:1066B000AC2500743C010800AC280070ACEC01482B
+:1066C0003C0208008C423BF4A4EA0144A4EB0146DE
+:1066D000ACE2014C3C04080090843BF13C03800890
+:1066E000A0E40152ACE90154A4ED0158346D0080DE
+:1066F00091AC004C3C091000A0EC016D03E00008D7
+:10670000ACE901788CAC0E1C3C0B08008D6B3BD4C3
+:1067100094AA0E1694AE0E1401666821314BFFFF49
+:106720000A000DCD31CAFFFF3C04800034830A000B
+:106730009065003C30A200201040002B00000000BB
+:106740000000582100005021000048213C08800032
+:10675000350400708C8800003C078000AF8800245E
+:106760008CEC01780580FFFE34EE00708DCD0000CA
+:106770003C0508008CA500743C0408008C84007063
+:1067800001A8602300ACC02100001021030C302BB5
+:106790000082C821032678213C010800AC3800742F
+:1067A0003C010800AC2F0070ACEB01483C0E080027
+:1067B0008DCE3BF4240DFF91240B0040A4E901444D
+:1067C000A4EA0146ACEE014CA0ED0152ACEB015441
+:1067D000A4E0015890EA01093C091000A0EA016D0B
+:1067E00003E00008ACE901788C8B0E1894870E1238
+:1067F00094860E1030EAFFFF0A000E0830C9FFFF32
+:106800003C04800034830A009065003C30A20020E4
+:106810001040003927BDFFF8240C00010000502172
+:10682000240B00013C088000350400708C890000B6
+:106830003C088000AF8900248D0D017805A0FFFE83
+:10684000350E00708DC700003C0508008CA5007453
+:106850003C0408008C84007000E9682300ADC0216E
+:1068600000001021030D302B0082C821032678215F
+:106870003C010800AC3800743C010800AC2F0070EB
+:10688000910901093C0E080091CE3C313C0380FF88
+:10689000A3A900038FAD000031C7007F3462FFFF62
+:1068A00001A82025AFA400009106010AA3A00002C0
+:1068B0000007CE00A3A600018FA50000240E300023
+:1068C0003C09100000A2C02403197825AD0F014C2B
+:1068D00027BD0008AD0E0154A5000158AD0C0148BC
+:1068E000A50A0144240AFF80A50B0146A10A015212
+:1068F00003E00008AD0901788C8C0E1894870E1205
+:1069000094860E1030EBFFFF0A000E3E30CAFFFFE8
+:1069100027BDFFE8AFB000103C108000AFBF0014EF
+:1069200036180A00970F00320E000AD531E43FFFF7
+:106930008E0E0100240DFF803C04200001C258216E
+:10694000016D6024000C4940316A007F012A402516
+:10695000010438253C048008AE07083034860080E6
+:1069600090C500682403000230A200FF1043000419
+:106970008F9F000C8F990010AC9F0068AC99006449
+:106980008FBF00148FB0001003E0000827BD00186F
+:106990003C0A0800254A37FC3C090800252938D460
+:1069A0003C08080025082C743C07080024E739E45B
+:1069B0003C06080024C636383C05080024A5339060
+:1069C0003C04080024842FA03C030800246336EC18
+:1069D0003C020800244234883C010800AC2A3DFCFB
+:1069E0003C010800AC293DF83C010800AC283DF40E
+:1069F0003C010800AC273E003C010800AC263E10DC
+:106A00003C010800AC253E083C010800AC243E04D3
+:106A10003C010800AC233E143C010800AC223E0CB3
+:086A200003E000080000000083
+:086A2800800009408000090014
+:106A3000800801008008008080080000800E0000AF
+:106A4000800800808008000080000A8080000A0022
+:086A50008000098080000900AC
+:00000001FF
+/*
+ * This file contains firmware data derived from proprietary unpublished
+ * source code, Copyright (c) 2004 - 2009 Broadcom Corporation.
+ *
+ * Permission is hereby granted for the distribution of this firmware data
+ * in hexadecimal or equivalent format, provided this copyright notice is
+ * accompanying it.
+ */
diff --git a/firmware/bnx2/bnx2-rv2p-06-4.6.16.fw.ihex b/firmware/bnx2/bnx2-rv2p-06-4.6.16.fw.ihex
new file mode 100644
index 0000000..871de9e
--- /dev/null
+++ b/firmware/bnx2/bnx2-rv2p-06-4.6.16.fw.ihex
@@ -0,0 +1,441 @@
+:100000000000000000000CA00000005800000000EC
+:1000100000000000000000000000000000000000E0
+:1000200000000000000000000000000000000000D0
+:1000300000000DE000000CF80000000500000000CA
+:1000400000000000000000000000000000000000B0
+:080050000000000000000000A8
+:0800580000000010B18000025D
+:100060000000001F0103010000000008AC000001B7
+:1000700000000000050000000000000C2F800001BF
+:10008000000000002B000000000000002B8000009A
+:1000900000000010203F006300000010213F00031B
+:1000A0000000001020BF0032000000188000FFFD9B
+:1000B00000000010B1B8B00D0000000B2FDF0002EF
+:1000C0000000000003D80000000000002C380000F1
+:1000D0000000001091D400000000000806005555F3
+:1000E0000000001880000075000000188000010B5F
+:1000F00000000008020000020000000F42E0001CA7
+:100100000000001091840A11000000102C62000B06
+:10011000000000188000001E00000008020000021D
+:100120000000000F42E0001C0000001091840A183B
+:10013000000000082C8000B1000000082D0000091C
+:100140000000001091D40000000000082D8001077D
+:10015000000000188000006F0000001880000015EB
+:1001600000000008B1000001000000082C8000B071
+:10017000000000082D000008000000082D8000018C
+:1001800000000018800000690000000B2FDF000253
+:100190000000000C1F800002000000002C0700007F
+:1001A0000000001091DE00000000000005000000CB
+:1001B000000000188000FFDC0000000B2FDF0002B1
+:1001C0000000000C1F800000000000002C07000051
+:1001D0000000001091DE000000000000050000009B
+:1001E000000000188000FFD60000000C1F800002F5
+:1001F0000000000005000000000000188000FFD390
+:100200000000000C298000020000000C1F8000028A
+:10021000000000002ADF0000000000082A0000059E
+:100220000000000805005555000000188000FFCDB3
+:10023000000000080224003C000000180004000038
+:10024000000000188000001C000000188000001E44
+:100250000000001880000052000000188000009E7E
+:10026000000000188000009D0000001880000000C1
+:10027000000000188000000000000018800000004E
+:10028000000000188000000000000018800000003E
+:10029000000000188000000000000018800000002E
+:1002A000000000188000000000000018800000DF3F
+:1002B000000000188000000000000018800000000E
+:1002C0000000001880000015000000188000001BCE
+:1002D000000000188000000000000018800000B43A
+:1002E000000000188000002E00000018800000DFD1
+:1002F000000000188000010A00000018800000D5EE
+:10030000000000188000012E000000188000003B53
+:10031000000000188000000000000018800000713C
+:100320000000000C1F80000100000000050000001C
+:10033000000000188000FFAC0000001091D4000005
+:100340000000000C298000010000000C1F8000014B
+:10035000000000082A000002000000000500000064
+:10036000000000188000FFA60000001091D40000DB
+:100370000000000C298000010000000C1F8000011B
+:100380000000000029420000000000082A000002CE
+:100390000000000005000000000000188000FF9F22
+:1003A000000000188000FF9E00000010B1BCB00AE1
+:1003B0000000000B2FDF00020000000003D8000047
+:1003C000000000002C3C00000000001091D4000050
+:1003D00000000008060055550000001880000016B7
+:1003E00000000018800000AC000000102C6201BA70
+:1003F0000000001880000005000000082C8000B1FB
+:10040000000000082D0000090000001091D4000039
+:10041000000000082D8001070000000C298000006A
+:100420000000000C1F8000000000001091DE0000A2
+:10043000000000002ADF0000000000082A0000067B
+:100440000000000805005555000000188000FF89D5
+:100450000000001091D400000000000C2980000171
+:100460000000000C1F800001000000082A00000BA3
+:100470000000000005000000000000188000FF835D
+:1004800000000018000200000000000006820000CA
+:1004900000000010B18A000600000000860C140065
+:1004A00000000010B18C00040000000005000000F6
+:1004B000000000082A0000010000001091D4000094
+:1004C00000000018000D0000000000000502000000
+:1004D0000000001091DE000000000018000A00007B
+:1004E00000000010B1A0B0130000000B2FDF0002CD
+:1004F000000000002C200000000000082C800000FC
+:10050000000000082D0000000000001091D4000041
+:100510000000000806005555000000188000FFEE9E
+:10052000000000082D80011C00000010001F0000CA
+:100530000000001091DE00000000000F476000087E
+:100540000000000F060E0001000000000F58000020
+:10055000000000000A640000000000000AE500003E
+:10056000000000090B66FFFF000000000D610000A5
+:1005700000000018800000130000000F4760000812
+:100580000000000B2FDF0002000000082C8000009C
+:10059000000000082D0000000000001091D40000B1
+:1005A000000000082D80011C0000000F060E000155
+:1005B00000000010001F0000000000000F580000A5
+:1005C0000000001091DE0000000000000A6400003E
+:1005D000000000000AE50000000000090B66FFFFB4
+:1005E000000000000D610000000000000262000039
+:1005F0000000000B2FDF00020000000031040000AB
+:1006000000000000309A0000000000000C96180066
+:10061000000000090C99FFFF00000004CC99340091
+:1006200000000010B1963202000000080F800000A8
+:100630000000000C298000010000001000220002D0
+:100640000000000C295200010000000C295200009B
+:10065000000000080200000E000000080280001ADE
+:1006600000000010B1C40A020000000802000003EC
+:1006700000000008220000010000000C1F800001A3
+:10068000000000002ADF0000000000002A0008002F
+:100690000000000805005555000000188000FF3FCD
+:1006A0000000000B2FDF00020000001091D40000BA
+:1006B000000000082A000001000000002C200000BB
+:1006C000000000082C800000000000082D00000041
+:1006D000000000082D80011C0000001091D40000D3
+:1006E0000000001091DE0000000000082C800006D1
+:1006F000000000082D00000600000000308000000F
+:100700000000000031000000000000082D800006FD
+:100710000000000C298000010000000C1F80000177
+:100720000000001091DE0000000000002ADF000041
+:10073000000000082A000010000000000500000072
+:10074000000000188000FF2A0000001091A0B009EE
+:10075000000000082C8000B1000000082D000009F6
+:100760000000001091D40000000000082D80010757
+:10077000000000188000FFAB00000018800000108F
+:1007800000000008AC000001000000188000000B11
+:10079000000000000380B0000000000B2FDF00020B
+:1007A000000000002C0040000000001091D4000068
+:1007B0000000000806005555000000188000FF9A50
+:1007C00000000018800000300000001880000006C3
+:1007D0000000000B2FDF0002000000002C000E00C4
+:1007E000000000082A000007000000080500555519
+:1007F000000000188000FF140000000006820000C6
+:100800000000000C298000010000000C1F80000186
+:10081000000000100CE70007000000090562FFFF60
+:1008200000000010BA6C1405000000002ADF000070
+:100830000000000021000000000000082A00000560
+:100840000000001091D40000000000082C8000B0CF
+:10085000000000082D0000080000000C31620018A4
+:10086000000000082D800001000000188000FF8CAF
+:1008700000000018000D000000000010B1A0B00E34
+:100880000000000B2FDF00020000000003D8000072
+:10089000000000002C2000000000001091D4000097
+:1008A0000000001880000014000000102C620002FC
+:1008B000000000188000000B0000000B2FDF00027A
+:1008C000000000002C0700000000000C1F80000149
+:1008D0000000001091DE0000000000000500000094
+:1008E000000000188000FEF6000000082C8000B117
+:1008F000000000082D0000090000001091D4000045
+:10090000000000082D8001070000000C2980000174
+:100910000000000C1F8000010000001091DE0000AC
+:10092000000000002ADF0000000000082A00000A82
+:100930000000000005000000000000188000FEEB31
+:100940000000000005020000000000082C8000B03C
+:10095000000000082D000008000000082D80015054
+:10096000000000000000000000000010205F0000F8
+:10097000000000082C800000000000082D0000008E
+:10098000000000082D8001080000000000000000A9
+:100990000000001091DE000000000018000A0000B6
+:1009A0000000001091D40000000000080600AAAA70
+:1009B000000000188000FF5B0000000C298000018F
+:1009C0000000000C1F800001000000082A00000940
+:1009D000000000080500AAAA000000188000FED749
+:1009E0000000001091D400000000000806005555DA
+:1009F000000000188000FF530000001091A03C028E
+:100A000000000010B1E662070000000B2FDF0002BB
+:100A1000000000002C310000000000092CB1007F14
+:100A2000000000082CD90000000000082D00000084
+:100A3000000000082D80010D00000010B1A8000684
+:100A400000000010205F0000000000002C200000CB
+:100A5000000000002CA70000000000082D0000107E
+:100A6000000000082D800108000000188000FF4CE5
+:100A700000000010B1A6001000000010001F0000D0
+:100A80000000000F0F300007000000000A600000A7
+:100A9000000000000AE100000000000F4B620008A7
+:100AA000000000090B1600FF000000000D620000AE
+:100AB000000000090D1A00FF0000001007300003BD
+:100AC0000000000C0D1A00080000000C0B160008B6
+:100AD0000000000F4CE30018000000000C992C00EF
+:100AE00000000004CC993400000000080F800000D2
+:100AF0000000000C298000010000000033310000DC
+:100B00000000000822000016000000002ADF00009C
+:100B1000000000082A00000C00000010009F0000E8
+:100B2000000000000F2000000000000C1F800001EA
+:100B30000000000805005555000000188000FEABBD
+:100B40000000001091D40000000000080600AAAACE
+:100B5000000000188000FF270000000F4722000857
+:100B600000000009070E000F00000008070E000833
+:100B700000000008028000010000000702851C0040
+:100B800000000008828500010000000002854C0082
+:100B90000000000742851C0000000003C3AA5200A9
+:100BA0000000000003B10E00000000074B071C000E
+:100BB0000000000F0F3000070000000F0A9600032E
+:100BC000000000000A955C00000000004A005A0086
+:100BD000000000000C960A00000000090C99FFFFBD
+:100BE000000000080D00FFFF00000010B196320267
+:100BF000000000080F80000500000010B1A80008E8
+:100C000000000010205F00000000000B2FDF00023A
+:100C1000000000002C200000000000002CA70000B5
+:100C2000000000082D000010000000082D800108C1
+:100C3000000000188000FF130000000C2980000154
+:100C400000000010001F00000000000C1F800001C9
+:100C5000000000002ADF0000000000082A00000D4C
+:100C6000000000080500AAAA000000188000FE8508
+:100C70000000001091D40000000000080600555547
+:100C8000000000188000FF010000000C2980000116
+:100C90000000000C1F800001000000082A0000076F
+:100CA0000000000805005555000000188000FE7D7A
+:100CB00000000008030500040000000683040C0087
+:100CC00000000008028502000000000086050C00FC
+:100CD00000000001860C0E00000000080204000461
+:100CE00000000000020418000000000083871800C4
+:080CF0000000001800020000E2
+:080CF80000000010B1800004AF
+:100D00000000001F0103010000000008050000FFB3
+:100D10000000001800020000000000002A0000008F
+:100D200000000010B1D400000000000C2980000178
+:100D30000000000802540008000000180004000031
+:100D40000000001880000010000000188000001152
+:100D5000000000188000003A000000188000010424
+:100D6000000000188000010300000018800001024C
+:100D70000000001880000102000000188000000040
+:100D8000000000188000011400000018800000FE20
+:100D9000000000188000000C0000001880000118FE
+:100DA000000000188000016A000000188000006741
+:100DB00000000018800000DA00000018800000E742
+:100DC000000000002A000000000000188000FFEB77
+:100DD000000000002A0000000000000C2980000034
+:100DE0000000001020530000000000188000FFE702
+:100DF000000000002A000000000000188000FFE54D
+:100E000000000018000200000000000005020000C1
+:100E1000000000109196342100000010205F0000B7
+:100E2000000000002C1E0000000000082C800006BE
+:100E3000000000082D000006000000082D800102BF
+:100E400000000000000000000000001091DE000023
+:100E5000000000000D61000000000018000A000002
+:100E600000000000050200000000001091963416FA
+:100E700000000010205F00000000000009D8000002
+:100E8000000000002C1E0000000000082C8000B2B2
+:100E9000000000082D00000A000000082D8001025B
+:100EA00000000000000000000000001091DE0000C3
+:100EB000000000000D620000000000002C13000084
+:100EC00000000018000A00000000000005020000F9
+:100ED000000000109196340900000010205F00000F
+:100EE000000000002C1E0000000000082C800006FE
+:100EF000000000082D00006A000000082D8001029B
+:100F000000000000000000000000001091DE000062
+:100F1000000000000D7A000000000018000A000028
+:100F20000000001091DE000000000010001F000013
+:100F3000000000002F80AA00000000002A0000002E
+:100F4000000000000D6100000000000003620000CE
+:100F5000000000002C4000000000000002638C0034
+:100F600000000000264600000000000802040012F5
+:100F700000000010B9060827000000000F5800000C
+:100F8000000000000A640000000000000AE5000004
+:100F9000000000090B66FFFF000000000C000000CD
+:100FA000000000000B800000000000080CC60012CA
+:100FB000000000188000FFCB000000080F80000335
+:100FC000000000000000000000000010009F000072
+:100FD00000000008271100120000000066900000C9
+:100FE00000000008A31B001200000010B1980003CD
+:100FF00000000010001F0000000000080F80000427
+:101000000000000822000003000000082C80000CF3
+:10101000000000082D00000C00000010009F0000E0
+:1010200000000000259600000000000C2980000050
+:101030000000000006660000000000008661180045
+:10104000000000090260000F0000000F020400020F
+:1010500000000010B60C08030000000C1FBF0000C9
+:101060000000000C33660010000000003214000085
+:1010700000000000329500000000000573662C009F
+:101080000000000031E32E00000000082D80001059
+:1010900000000010205300000000001091DE00004E
+:1010A000000000188000FF900000000023000000F6
+:1010B0000000000925E6FFFF000000082200000BE9
+:1010C0000000000C695200000000000C29800000A4
+:1010D0000000001020530000000000188000FF896D
+:1010E0000000001091DE000000000010001F000052
+:1010F000000000002F80AA00000000002A0000006D
+:10110000000000002C400000000000082C8000407F
+:10111000000000082D000020000000082D80011CA8
+:1011200000000000000000000000001091DE000040
+:101130000000000F42EA001000000010004F000401
+:1011400000000010B746920000000008024900129B
+:1011500000000010B5840A00000000000D610000CE
+:1011600000000010BA66345A00000000036200005C
+:1011700000000010B8630C5800000008830500123E
+:1011800000000010004F00020000000003490000B2
+:101190000000000183068C000000000083C60C00E4
+:1011A00000000010B1870010000000000B6E00006E
+:1011B000000000188000FF6B0000000106691400A9
+:1011C00000000010918C000200000008B4E900014A
+:1011D00000000010B1E92C4C0000000086692C00D2
+:1011E00000000000020000000000000902EAFFFF0A
+:1011F00000000010000C00020000000002040A00C1
+:101200000000000F460C00010000000F02850001E5
+:1012100000000010918C01FC00000010B7040E4388
+:10122000000000002C400000000000000F40000003
+:10123000000000000D610000000000000A640000D2
+:10124000000000000AE50000000000090B66FFFF37
+:10125000000000000C000000000000000B800000F7
+:10126000000000080C860012000000080F80000338
+:101270000000000C2952000000000010009F000038
+:101280000000000827110012000000006690000016
+:1012900000000000264600000000000023060000B9
+:1012A00000000010B198000500000010001F0000B1
+:1012B000000000080F800004000000000000000093
+:1012C00000000010001F00000000000032140000A9
+:1012D00000000000329500000000000031E32E0005
+:1012E0000000000573662C00000000002596000039
+:1012F00000000010B18700160000000C29800000DB
+:101300000000000F0F6B0007000000000D690000D7
+:10131000000000000A6C0000000000000AED000060
+:10132000000000000B6E0000000000000B800000B9
+:10133000000000000C870000000000080F80000380
+:1013400000000010205300000000000C6952000152
+:1013500000000010001F00000000000022C58C00EB
+:1013600000000000231B0000000000002711000007
+:10137000000000002690000000000010B8170E03C7
+:101380000000000C29800000000000188000FFF61B
+:1013900000000010B1980002000000080F80000457
+:1013A000000000082200001A000000082C80000C39
+:1013B000000000082D00000C000000082D80001027
+:1013C00000000010001F0000000000000D6E000073
+:1013D00000000003E7CF34000000000C298000006B
+:1013E0000000001091DE000000000010B18700072F
+:1013F00000000000361400000000000036950000D8
+:101400000000000037160000000000082C8000508B
+:10141000000000082D000030000000082D80000CA6
+:101420000000001020530000000000188000FF1F83
+:10143000000000002646000000000000230000001D
+:101440000000000925E6FFFF000000000B6E000011
+:1014500000000003E7CF2C00000000082200001B62
+:101460000000000C695200000000000C2980000000
+:101470000000001020530000000000188000FF153D
+:10148000000000002FD50000000000002A0000002E
+:1014900000000010003F000B000000000666000086
+:1014A000000000008661180000000009026000F0E2
+:1014B00000000010B70C08070000000C7366001055
+:1014C000000000082C800018000000082D00001803
+:1014D000000000082D8000020000000C5FBF00002B
+:1014E0000000001091DE0000000000188000FF07DF
+:1014F000000000002FD50000000000002A000000BE
+:10150000000000002C4000000000000C29800000BA
+:101510000000001091DE0000000000082C80001A7E
+:10152000000000082D00001A000000003300000039
+:10153000000000082D800002000000003180000043
+:101540000000001091DE0000000000082C80000C5C
+:10155000000000082D00000C000000082D80000491
+:1015600000000010205300000000001091DE000079
+:10157000000000188000FEF6000000188000FEF554
+:10158000000000002A00000000000010001F000002
+:10159000000000000F008000000000080F8000071E
+:1015A0000000001880000014000000000502000088
+:1015B000000000082200000900000000286D000063
+:1015C00000000000290000000000000F6568001006
+:1015D00000000003F66C940000000010B972A00433
+:1015E0000000000C73E700190000000C2142000409
+:1015F000000000003BF600000000000C2980000005
+:101600000000001020530000000000082200000825
+:101610000000000C6142000400000018000A0000F5
+:10162000000000002A00000000000010001F000061
+:101630000000000F0F470007000000080F8000089F
+:101640000000000C29800000000000102053000062
+:10165000000000188000FEDA0000001091DE00009B
+:10166000000000002FD5000000000010001F000047
+:101670000000000033510000000000002A000000BC
+:1016800000000010B1C600230000000F0F5000073B
+:10169000000000000A600000000000000AE10000F5
+:1016A0000000000F4B620008000000090B1600FF4D
+:1016B0000000000F4C620010000000000D620000EE
+:1016C000000000090D1A00FF000000100750000381
+:1016D0000000000C0D1A00080000000C0B1600089A
+:1016E000000000000CC60000000000000B8000009D
+:1016F0000000000006980000000000080F800003B2
+:101700000000001006C200040000000C29000002C6
+:1017100000000010264200020000000C29520003C5
+:10172000000000082200000100000010009F0000DF
+:1017300000000000231B00000000000027111A0019
+:1017400000000000669000000000000C295200001C
+:1017500000000010B19732090000000C2980000041
+:101760000000000006980000000000102053000058
+:101770000000000C295200030000000022C58C006C
+:1017800000000010001F0000000000080F80000390
+:10179000000000188000FFF300000010B1C8001323
+:1017A00000000010B1C600030000000C29800000FA
+:1017B00000000010205300000000000C295200001F
+:1017C0000000000C295200030000001006C20002B5
+:1017D0000000000C295200020000000022C58C000D
+:1017E00000000000276500000000000026E4000063
+:1017F000000000082200001600000010B1C600031F
+:10180000000000002348000000000010B180000527
+:1018100000000000234800000000000C29800000A8
+:101820000000000F0F500007000000188000001299
+:1018300000000008220000160000000C29800000B3
+:10184000000000003014000000000000309500008F
+:101850000000001007500003000000090B1600FFF5
+:10186000000000090D1A00FF0000000F31160008EB
+:10187000000000003162340000000003F16230001B
+:1018800000000010205F0000000000002C5100004C
+:10189000000000092CD1007F000000082CD90000B6
+:1018A000000000082D000000000000082D80000C42
+:1018B00000000000000000000000001091DE0000A9
+:1018C0000000001005C2000300000000330000000B
+:1018D000000000080F8000070000001020530000E7
+:1018E00000000010009F0000000000188000FE872C
+:1018F000000000002FD50000000000002A000000BA
+:101900000000000F0F50000700000010B1C6002DAE
+:101910000000000F4742000800000009070E000FFA
+:1019200000000008070E000800000010001F000063
+:1019300000000008090000010000000709121C0057
+:1019400000000003CBCA9200000000000B97A20029
+:101950000000000742171C00000000000B040000FC
+:101960000000000F0A840003000000000A959C009C
+:10197000000000004A009A000000000882120001E6
+:10198000000000010C170800000000000C978C00FC
+:101990000000000002180000000000080D00FFFF1A
+:1019A000000000080F8000060000000C2900000065
+:1019B0000000001006C200040000000C29520002C2
+:1019C00000000010264200020000000C2952000313
+:1019D000000000082200000100000010009F00002D
+:1019E00000000010B197320C00000000231B000023
+:1019F00000000000271108000000000066900000B1
+:101A00000000000C29800000000000000218000007
+:101A100000000010205300000000000C29520003B9
+:101A20000000000022C5360000000010001F00006A
+:101A3000000000080F800006000000188000FFF47E
+:101A400000000000231B0000000000002711080018
+:101A5000000000006690000000000010B1C8000BFC
+:101A60000000000C2980000000000010205300003E
+:101A70000000000C295200000000000C2952000355
+:101A80000000001006C200020000000C29520002F3
+:101A90000000000022C58C00000000002765000047
+:101AA0000000000026E400000000000023480000C1
+:101AB00000000008220000170000000C2980000030
+:101AC00000000010001F0000000000102053000064
+:081AD000000000188000FE4A2E
+:00000001FF
+/*
+ * This file contains firmware data derived from proprietary unpublished
+ * source code, Copyright (c) 2004 - 2009 Broadcom Corporation.
+ *
+ * Permission is hereby granted for the distribution of this firmware data
+ * in hexadecimal or equivalent format, provided this copyright notice is
+ * accompanying it.
+ */
diff --git a/firmware/bnx2/bnx2-rv2p-09-4.6.15.fw.ihex b/firmware/bnx2/bnx2-rv2p-09-4.6.15.fw.ihex
new file mode 100644
index 0000000..63995bb
--- /dev/null
+++ b/firmware/bnx2/bnx2-rv2p-09-4.6.15.fw.ihex
@@ -0,0 +1,490 @@
+:100000000000000000000D88000000580000000003
+:1000100000000000000000000000000000000000E0
+:1000200000000000000000000000000000000000D0
+:100030000000101800000DE00000000500000000A6
+:1000400000000000000000000000000000000000B0
+:080050000000000000000000A8
+:0800580000000010B18000025D
+:100060000000001F0303010000000008AC000001B5
+:1000700000000000050000000000000C2F800001BF
+:10008000000000002B000000000000002B8000009A
+:1000900000000010203F006C00000010213F000312
+:1000A0000000001020BF003B000000188000FFFD92
+:1000B00000000010B1B8B0150000000B2FDF0002E7
+:1000C0000000000003D80000000000002C380000F1
+:1000D000000000082C800000000000082D00000037
+:1000E0000000001091D400000000000806005555E3
+:1000F000000000188000008F000000082D80011C07
+:1001000000000008020000010000001091DE000065
+:100110000000000F42E0001C0000001091840A174C
+:1001200000000010086600160000000C2980000284
+:100130000000000C1F800002000000002ADF000009
+:10014000000000082A00000F0000000805005555B7
+:10015000000000188000FFE8000000080200000115
+:100160000000000F42E0001C0000001091840A19FA
+:10017000000000082C800006000000082D0000068A
+:100180000000001091D40000000000082D8001063E
+:1001900000000018800000830000001008660013B3
+:1001A000000000188000FFF100000008B10000010D
+:1001B000000000082C80010C000000082D00000841
+:1001C000000000082D800001000000188000007C65
+:1001D0000000000B2FDF00020000000C1F80000257
+:1001E000000000002C0700000000001091DE00005D
+:1001F0000000000005000000000000188000FFD390
+:100200000000000B2FDF00020000000C1F80000028
+:10021000000000002C0700000000001091DE00002C
+:100220000000000005000000000000188000FFCD65
+:100230000000000C1F80000200000000050000000C
+:10024000000000188000FFCA0000000C2980000296
+:100250000000000C1F800002000000002ADF0000E8
+:10026000000000082A0000050000000805005555A0
+:10027000000000188000FFC40000000802240045B0
+:100280000000001800040000000000188000001C9E
+:10029000000000188000001E0000001880000065AB
+:1002A00000000018800000AD00000018800000ACC5
+:1002B000000000188000000000000018800000000E
+:1002C00000000018800000000000001880000000FE
+:1002D00000000018800000000000001880000000EE
+:1002E00000000018800000000000001880000000DE
+:1002F00000000018800000F30000001880000000DB
+:1003000000000018800000000000001880000015A8
+:10031000000000188000001B000000188000000092
+:1003200000000018800000C3000000188000002EAC
+:1003300000000018800000F3000000188000011E7B
+:1003400000000018800000E9000000188000014251
+:10035000000000188000004E00000018800000001F
+:1003600000000018800000800000000C1F800001C9
+:100370000000000005000000000000188000FFA33E
+:100380000000001091D400000000000C2980000142
+:100390000000000C1F800001000000082A0000027D
+:1003A0000000000005000000000000188000FF9D14
+:1003B0000000001091D400000000000C2980000112
+:1003C0000000000C1F800001000000002942000016
+:1003D000000000082A0000020000000005000000E4
+:1003E000000000188000FF96000000188000FF95B4
+:1003F00000000010B1BCB00A0000000B2FDF0002AB
+:100400000000000003D80000000000002C3C0000A9
+:100410000000001091D400000000000806005555AF
+:10042000000000188000002900000018800000BBB8
+:10043000000000102C6201BA0000001880000005C6
+:10044000000000082C80010D000000082D000009AC
+:100450000000001091D40000000000082D8001076A
+:100460000000000C298000000000000C1F8000002C
+:100470000000001091DE0000000000002ADF0000F4
+:10048000000000082A00000600000008050055557D
+:10049000000000188000FF800000001091D40000D0
+:1004A0000000000C298000010000000C1F800001EA
+:1004B000000000082A00000B0000000005000000FA
+:1004C000000000188000FF7A000000000202000017
+:1004D00000000000029A000000000000060C2C0042
+:1004E00000000004C60C340000000010001F0000D3
+:1004F00000000010B196180C0000000806960004D9
+:1005000000000009068DFFFC00000004CD051A0064
+:1005100000000004CC9A18000000001020D7000052
+:100520000000000C2B56000000000000000000003E
+:1005300000000000000000000000001020D70000B4
+:10054000000000080F80000100000010B18001F4DD
+:1005500000000010001F00000000000C6B5600009F
+:1005600000000018000400000000000006820000E7
+:1005700000000010B18A000600000000860C140084
+:1005800000000010B18C0004000000000500000015
+:10059000000000082A0000010000001091D40000B3
+:1005A00000000018000D000000000000050200001F
+:1005B0000000001091DE000000000018000A00009A
+:1005C00000000010B1A0B0130000000B2FDF0002EC
+:1005D000000000002C200000000000082C8000001B
+:1005E000000000082D0000000000001091D4000061
+:1005F0000000000806005555000000188000FFEEBE
+:10060000000000082D80011C00000010001F0000E9
+:100610000000001091DE00000000000F476000089D
+:100620000000000F060E0001000000000F5800003F
+:10063000000000000A640000000000000AE500005D
+:10064000000000090B66FFFF000000000D610000C4
+:1006500000000018800000130000000F4760000831
+:100660000000000B2FDF0002000000082C800000BB
+:10067000000000082D0000000000001091D40000D0
+:10068000000000082D80011C0000000F060E000174
+:1006900000000010001F0000000000000F580000C4
+:1006A0000000001091DE0000000000000A6400005D
+:1006B000000000000AE50000000000090B66FFFFD3
+:1006C000000000000D610000000000000262000058
+:1006D0000000000B2FDF00020000000031040000CA
+:1006E00000000000309A0000000000188000FFBCED
+:1006F0000000000C29800001000000100022000210
+:100700000000000C295200010000000C29520000DA
+:10071000000000080200000E000000080280001A1D
+:1007200000000010B1C40A0200000008020000032B
+:1007300000000008220000010000000C1F800001E2
+:10074000000000002ADF0000000000002A0008006E
+:100750000000000805005555000000188000FF2724
+:100760000000000B2FDF00020000001091D40000F9
+:10077000000000082A000001000000002C200000FA
+:10078000000000082C800000000000082D00000080
+:10079000000000082D80011C0000001091D4000012
+:1007A0000000001091DE0000000000082C80000610
+:1007B000000000082D00000600000000308000004E
+:1007C0000000000031000000000000082D8000063D
+:1007D0000000000C298000010000000C1F800001B7
+:1007E0000000001091DE0000000000002ADF000081
+:1007F000000000082A0000100000000005000000B2
+:10080000000000188000FF120000001091A0B00945
+:10081000000000082C80010D000000082D000009D8
+:100820000000001091D40000000000082D80010796
+:10083000000000188000FFAF0000001880000010CA
+:1008400000000008AC000001000000188000000B50
+:10085000000000000380B0000000000B2FDF00024A
+:10086000000000002C0040000000001091D40000A7
+:100870000000000806005555000000188000FF9E8B
+:100880000000001880000030000000188000000602
+:100890000000000B2FDF0002000000002C000E0003
+:1008A000000000082A000007000000080500555558
+:1008B000000000188000FEFC00000000068200001E
+:1008C0000000000C298000010000000C1F800001C6
+:1008D000000000100CE70007000000090562FFFFA0
+:1008E00000000010BA6C1405000000002ADF0000B0
+:1008F0000000000021000000000000082A000005A0
+:100900000000001091D40000000000082C80010CB1
+:10091000000000082D0000080000000C31620018E3
+:10092000000000082D800001000000188000FF90EA
+:1009300000000018000D000000000010B1A0B00E73
+:100940000000000B2FDF00020000000003D80000B1
+:10095000000000002C2000000000001091D40000D6
+:100960000000001880000014000000102C6200023B
+:10097000000000188000000B0000000B2FDF0002B9
+:10098000000000002C0700000000000C1F80000188
+:100990000000001091DE00000000000005000000D3
+:1009A000000000188000FEDE000000082C80010D11
+:1009B000000000082D0000090000001091D4000084
+:1009C000000000082D8001070000000C29800001B4
+:1009D0000000000C1F8000010000001091DE0000EC
+:1009E000000000002ADF0000000000082A00000AC2
+:1009F0000000000005000000000000188000FED389
+:100A00000000000005020000000000082C80010C1E
+:100A1000000000082D000008000000082D800134AF
+:100A2000000000000000000000000010205F000037
+:100A3000000000082C800140000000082D00003C50
+:100A4000000000082D80011C0000000000000000D4
+:100A500000000010205F0000000000082C800080D3
+:100A6000000000082D000000000000082D80010893
+:100A700000000000000000000000001091DE0000F7
+:100A800000000018000A00000000001091D40000CF
+:100A9000000000080600AAAA000000188000FF5A03
+:100AA0000000000C298000010000000C1F800001E4
+:100AB000000000082A000009000000080500AAAA9A
+:100AC000000000188000FEBA0000001091D4000061
+:100AD0000000000806005555000000188000FF5275
+:100AE0000000001091A03C0200000010B1E6620777
+:100AF0000000000B2FDF0002000000002C3100007E
+:100B0000000000092CB1007F000000082CD9000073
+:100B1000000000082D000000000000082D80010DDD
+:100B200000000010B1A8000600000010205F0000C7
+:100B3000000000002C200000000000002CA7000096
+:100B4000000000082D000010000000082D800108A2
+:100B5000000000188000FF4B00000010B1A600103C
+:100B600000000010001F00000000000F0F30000701
+:100B7000000000000A600000000000000AE1000020
+:100B80000000000F4B620008000000090B1600FF78
+:100B9000000000000D620000000000090D1A00FFB7
+:100BA00000000010073000030000000C0D1A0008C0
+:100BB0000000000C0B1600080000000F4CE30018AA
+:100BC000000000000C992C0000000004CC993400B7
+:100BD000000000080F8000000000000C29800001C8
+:100BE0000000000033310000000000082200001661
+:100BF000000000002ADF0000000000082A00000CAE
+:100C000000000010009F0000000000000F20000006
+:100C10000000000C1F800001000000080500555571
+:100C2000000000188000FE8E0000001091D400002B
+:100C3000000000080600AAAA000000188000FF2695
+:100C40000000000F4722000800000009070E000FF7
+:100C500000000008070E00080000000802800001E4
+:100C60000000000702851C000000000882850001CA
+:100C70000000000002854C000000000742851C00B7
+:100C800000000003C3AA52000000000003B10E00E0
+:100C9000000000074B071C000000000F0F3000078A
+:100CA0000000000F0A960003000000000A955C0097
+:100CB000000000004A005A00000000000C960A00E4
+:100CC000000000090C99FFFF000000080D00FFFF65
+:100CD00000000010B1963202000000080F800005ED
+:100CE00000000010B1A8000800000010205F000004
+:100CF0000000000B2FDF0002000000002C2000008D
+:100D0000000000002CA70000000000082D000010CB
+:100D1000000000082D800108000000188000FF126C
+:100D20000000000C2980000100000010001F0000DE
+:100D30000000000C1F800001000000002ADF0000FE
+:100D4000000000082A00000D000000080500AAAA03
+:100D5000000000188000FE680000001091D4000020
+:100D60000000000806005555000000188000FF0034
+:100D70000000000C298000010000000C1F80000111
+:100D8000000000082A000007000000080500555573
+:100D9000000000188000FE60000000080305000449
+:100DA0000000000683040C00000000080285020019
+:100DB0000000000086050C0000000001860C0E00FB
+:100DC00000000008020400040000000002041800F3
+:100DD00000000000838718000000001800020000D7
+:100DE00000000010B18000040000001F0303010098
+:100DF00000000008050000FF0000001800020000CD
+:100E0000000000002A00000000000010B1D4000023
+:100E10000000000C298000010000000802540009B5
+:100E2000000000092952003F0000001800040000E3
+:100E30000000001880000010000000188000001161
+:100E4000000000188000004B000000188000013CEA
+:100E5000000000188000013B000000188000013AEB
+:100E6000000000188000013A000000188000000017
+:100E7000000000188000014D0000001880000136BD
+:100E8000000000188000000C0000001880000152D3
+:100E900000000018800001AC0000001880000080F5
+:100EA00000000018800001070000001880000115F4
+:100EB000000000002A000000000000188000FFEA87
+:100EC000000000002A0000000000000C2980000043
+:100ED0000000001020530000000000188000FFE612
+:100EE000000000002A000000000000188000FFE45D
+:100EF0000000000003820000000000188000FFDFF7
+:100F0000000000010C161400000000008C181400F2
+:100F10000000001091980003000000080C960002E9
+:100F200000000010B1800003000000080C960001D2
+:100F3000000000000C000000000000000D1900007F
+:100F400000000010205600000000000C2BD700010C
+:100F5000000000080F8000010000000000000000F9
+:100F600000000010001F00000000000C6BD7000103
+:100F700000000010011301F100000018000700003C
+:100F800000000000050200000000001091963421CE
+:100F900000000010205F0000000000002C1E000078
+:100FA000000000082C800006000000082D0000064C
+:100FB000000000082D800102000000000000000079
+:100FC0000000001091DE0000000000000D61000034
+:100FD00000000018000A00000000000005020000E8
+:100FE000000000109196341600000010205F0000F1
+:100FF0000000000009D80000000000002C1E0000C6
+:10100000000000082C80010E000000082D00000ADE
+:10101000000000082D800102000000000000000018
+:101020000000001091DE0000000000000D620000D2
+:10103000000000002C13000000000018000A00004F
+:101040000000000005020000000000109196340925
+:1010500000000010205F0000000000002C1E0000B7
+:10106000000000082C800006000000082D00006A27
+:10107000000000082D8001020000000000000000B8
+:101080000000001091DE0000000000000D7A00005A
+:1010900000000018000A00000000001091DE0000AF
+:1010A00000000010001F00000000000C6BD70001C2
+:1010B000000000002F80AA00000000002A000000AD
+:1010C000000000000D61000000000000036200004D
+:1010D000000000002C4000000000000002638C00B3
+:1010E0000000000026460000000000080204001274
+:1010F00000000010B906082E000000000F58000084
+:10110000000000000A640000000000000AE5000082
+:10111000000000090B66FFFF000000000C0000004B
+:10112000000000000B800000000000080CC6001248
+:10113000000000188000FFCA0000001020560000C8
+:101140000000000C2BD70001000000080F800003F6
+:10115000000000000000000000000010001F000060
+:101160000000000C6BD700010000000827110012DE
+:10117000000000006690000000000008A31B0012A1
+:1011800000000010B198000600000010001F0000D1
+:101190000000000C6BD7000100000010205600007A
+:1011A0000000000C2BD70001000000080F80000495
+:1011B0000000000822000003000000082C80000C42
+:1011C000000000082D00000C00000010001F0000AF
+:1011D0000000000C6BD70001000000002596000005
+:1011E0000000000C298000000000000006660000DE
+:1011F0000000000086611800000000090260000F76
+:101200000000000F0204000200000010B60C0803EA
+:101210000000000C1FBF00000000000C336600102F
+:1012200000000000321400000000000032950000B1
+:101230000000000573662C000000000031E32E0062
+:10124000000000082D800010000000102053000056
+:101250000000001091DE0000000000188000FF7602
+:1012600000000000230000000000000925E6FFFF49
+:10127000000000082200000B0000000C6952000072
+:101280000000000C29800000000000102053000026
+:10129000000000188000FF6F0000001091DE0000C9
+:1012A00000000010001F00000000000C6BD70001C0
+:1012B000000000002F80AA00000000002A000000AB
+:1012C000000000002C400000000000082C800040BE
+:1012D000000000082D000020000000082D80011CE7
+:1012E00000000000000000000000001091DE00007F
+:1012F0000000000F42EA001000000010004F000440
+:1013000000000010B74692000000000802490012D9
+:1013100000000010B5840A00000000000D6100000C
+:1013200000000010BA66346D000000000362000087
+:1013300000000010B8630C6B000000088305001269
+:1013400000000010004F00020000000003490000F0
+:101350000000000183068C000000000083C60C0022
+:1013600000000010B1870010000000000B6E0000AC
+:10137000000000188000FF50000000010669140002
+:1013800000000010918C000200000008B4E9000188
+:1013900000000010B1E92C5F0000000086692C00FD
+:1013A00000000000020000000000000902EAFFFF48
+:1013B00000000010000C00020000000002040A00FF
+:1013C0000000000F460C00010000000F0285000124
+:1013D00000000010918C01FC00000010B7040E56B4
+:1013E000000000002C400000000000000F40000042
+:1013F000000000000D610000000000000A64000011
+:10140000000000000AE50000000000090B66FFFF75
+:10141000000000000C000000000000000B80000035
+:10142000000000080C86001200000010205600008A
+:101430000000000C2BD70001000000080F80000303
+:101440000000000C2952000000000010001F0000E6
+:101450000000000C6BD700010000000827110012EB
+:10146000000000006690000000000000264600001A
+:10147000000000002306000000000010B1980009E1
+:1014800000000010001F00000000000C6BD70001DE
+:1014900000000010205600000000000C2BD70001B7
+:1014A000000000080F8000040000000000000000A1
+:1014B00000000010001F00000000000C6BD70001AE
+:1014C000000000003214000000000000329500000F
+:1014D0000000000031E32E000000000573662C00C0
+:1014E000000000002596000000000010B1870021D8
+:1014F0000000000C298000000000000F0F6B0007A7
+:10150000000000000D690000000000000A6C0000EF
+:10151000000000000AED0000000000000B6E00005B
+:10152000000000000B800000000000000C8700009D
+:10153000000000188000FF18000000010C161400C5
+:10154000000000008C181400000000080C96000138
+:101550000000001091980002000000080C990001A2
+:10156000000000000D190000000000000C00000049
+:1015700000000010205600000000000C2BD70001D6
+:10158000000000080F800001000000102053000040
+:101590000000000C6952000100000010001F000054
+:1015A0000000000C6BD700010000000022C58C0079
+:1015B00000000000231200000000000027110000BE
+:1015C000000000002690000000000010B8170E0375
+:1015D0000000000C29800000000000188000FFEBD4
+:1015E0000000000082970E0000000000A3120A0015
+:1015F000000000082200001A000000082C80000CE7
+:10160000000000082D00000C000000082D800010D4
+:1016100000000010001F00000000000C6BD700014C
+:10162000000000000D6E000000000003E7CF340052
+:101630000000000C298000000000001091DE000076
+:1016400000000010B1870007000000003614000001
+:101650000000000036950000000000003716000072
+:10166000000000082C800050000000082D00003011
+:10167000000000082D80000C000000102053000026
+:10168000000000188000FEF1000000002646000067
+:1016900000000000230000000000000925E6FFFF15
+:1016A000000000000B6E000000000003E7CF2C00DC
+:1016B000000000082200001B0000000C695200001E
+:1016C0000000000C298000000000001020530000E2
+:1016D000000000188000FEE7000000002FD5000089
+:1016E000000000002A00000000000010003F000C75
+:1016F000000000000666000000000000866118007F
+:1017000000000009026000F000000010B70C08089B
+:10171000000000002C4000000000000C7366001068
+:10172000000000082C800018000000082D000018A0
+:10173000000000082D8000020000000C5FBF0000C8
+:101740000000001091DE0000000000188000FED8AC
+:10175000000000002FD50000000000002A0000005B
+:10176000000000002C4000000000000C7366001018
+:10177000000000082C800018000000082D00001850
+:10178000000000082D8000020000000C5FBF000078
+:101790000000001091DE0000000000082C80000313
+:1017A000000000082D000003000000093060FFF079
+:1017B000000000082D8000010000000C29800000BE
+:1017C0000000001091DE0000000000082C80001ACC
+:1017D000000000082D00001A000000003300000087
+:1017E000000000082D800002000000003180000091
+:1017F0000000001091DE0000000000082C80000CAA
+:10180000000000082D00000C000000082D800004DE
+:1018100000000010205300000000001091DE0000C6
+:10182000000000188000FEBD000000188000FEBC13
+:10183000000000002A00000000000010001F00004F
+:101840000000000C6BD70001000000000F008000BA
+:10185000000000080F80000700000018800000153D
+:10186000000000000502000000000008220000093E
+:1018700000000000286D00000000000029000000AA
+:101880000000000F6568001000000003F66C940073
+:1018900000000010B972A0040000000C73E70019EA
+:1018A0000000000C21420004000000003BF6000094
+:1018B0000000000C298000000000001020530000F0
+:1018C00000000008220000080000000C6142000433
+:1018D00000000018000A0000000000002A000000BC
+:1018E00000000010001F00000000000C6BD700017A
+:1018F0000000000F0F470007000000080F800008DD
+:101900000000000C2980000000000010205300009F
+:10191000000000188000FE9F0000001091DE000013
+:10192000000000002FD5000000000010001F000084
+:101930000000000C6BD700010000000033510000D4
+:10194000000000002A00000000000010B1C60029BD
+:101950000000000F0F500007000000000A600000A8
+:10196000000000000AE100000000000F4B620008C8
+:10197000000000090B1600FF0000000F4C62001071
+:10198000000000000D620000000000090D1A00FFB9
+:1019900000000010075000030000000C0D1A0008A2
+:1019A0000000000C0B160008000000000CC6000030
+:1019B000000000000B8000000000000006980000FE
+:1019C00000000010205600000000000C2BD7000182
+:1019D000000000080F8000030000001006C2000491
+:1019E0000000000C29000002000000102642000246
+:1019F0000000000C29520003000000082200000132
+:101A000000000010001F00000000000C6BD7000158
+:101A100000000000231B00000000000027111A0036
+:101A200000000000669000000000000C2952000039
+:101A300000000010B197320C0000000C298000005B
+:101A40000000000006980000000000102053000075
+:101A50000000000C295200030000000022C58C0089
+:101A600000000010001F00000000000C6BD70001F8
+:101A700000000010205600000000000C2BD70001D1
+:101A8000000000080F800003000000188000FFEF36
+:101A900000000010B1C8001300000010B1C6000320
+:101AA0000000000C298000000000001020530000FE
+:101AB0000000000C295200000000000C2952000315
+:101AC0000000001006C200020000000C29520002B3
+:101AD0000000000022C58C00000000002765000007
+:101AE0000000000026E400000000000822000016AC
+:101AF00000000010B1C600030000000023480000F1
+:101B000000000010B1800005000000002348000024
+:101B10000000000C298000000000000F0F5000079B
+:101B200000000018800000120000000822000016CB
+:101B30000000000C298000000000000030140000AC
+:101B40000000000030950000000000100750000366
+:101B5000000000090B1600FF000000090D1A00FF2D
+:101B60000000000F31160008000000003162340050
+:101B700000000003F162300000000010205F000050
+:101B8000000000002C510000000000092CD1007F53
+:101B9000000000082CD90000000000082D00000003
+:101BA000000000082D80000C000000000000000074
+:101BB0000000001091DE00000000001005C20003CC
+:101BC0000000000033000000000000080F80000744
+:101BD000000000102053000000000010001F000053
+:101BE0000000000C6BD70001000000188000FE44CC
+:101BF000000000002FD50000000000002A000000B7
+:101C00000000000F0F50000700000010B1C60030A8
+:101C10000000000F4742000800000009070E000FF7
+:101C200000000008070E000800000010001F000060
+:101C30000000000C6BD70001000000080900000143
+:101C40000000000709121C0000000003CBCA92002C
+:101C5000000000000B97A2000000000742171C00C4
+:101C6000000000000B0400000000000F0A840003C5
+:101C7000000000000A959C00000000004A009A0045
+:101C80000000000882120001000000010C1708008B
+:101C9000000000000C978C000000000002180000FB
+:101CA000000000080D00FFFF000000080F80000684
+:101CB0000000000C290000000000001006C2000413
+:101CC0000000000C29520002000000102642000211
+:101CD0000000000C2952000300000008220000014F
+:101CE00000000010001F00000000000C6BD7000176
+:101CF00000000010B197320D00000000231B00000F
+:101D0000000000002711080000000000669000009D
+:101D10000000000C298000000000000002180000F4
+:101D200000000010205300000000000C29520003A6
+:101D30000000000022C5360000000010001F000057
+:101D40000000000C6BD70001000000080F800006A7
+:101D5000000000188000FFF200000000231B0000BC
+:101D6000000000002711080000000000669000003D
+:101D700000000010B1C8000B0000000C298000001A
+:101D800000000010205300000000000C2952000049
+:101D90000000000C295200030000001006C20002DF
+:101DA0000000000C295200020000000022C58C0037
+:101DB00000000000276500000000000026E400008D
+:101DC0000000000023480000000000082200001767
+:101DD0000000000C2980000000000010001F00001F
+:101DE0000000000C6BD70001000000102053000021
+:081DF000000000188000FE0352
+:00000001FF
+/*
+ * This file contains firmware data derived from proprietary unpublished
+ * source code, Copyright (c) 2004 - 2009 Broadcom Corporation.
+ *
+ * Permission is hereby granted for the distribution of this firmware data
+ * in hexadecimal or equivalent format, provided this copyright notice is
+ * accompanying it.
+ */
diff --git a/firmware/cxgb3/t3fw-7.0.0.bin.ihex b/firmware/cxgb3/t3fw-7.0.0.bin.ihex
deleted file mode 100644
index e661179..0000000
--- a/firmware/cxgb3/t3fw-7.0.0.bin.ihex
+++ /dev/null
@@ -1,1881 +0,0 @@
-:1000000060007400200380002003700000001000D6
-:1000100000002000E100028400070000E1000288E7
-:1000200000010000E0000000E00000A0010000006E
-:1000300044444440E3000183200200002001E0002A
-:100040002001FF101FFFD0001FFFC000E300043C91
-:1000500002000000200069541FFFC5802000699C39
-:100060001FFFC584200069DC1FFFC58820006A507F
-:100070001FFFC58C200003C0C00000E43100EA313E
-:1000800000A13100A03103020002ED306E2A05000C
-:10009000ED3100020002160012FFDBC03014FFDA5F
-:1000A000D30FD30FD30F03431F244C107249F0D347
-:1000B0000FD30FD30F12FFD5230A00240A00D30F4A
-:1000C000D30FD30F03431F244C107249F0D30FD327
-:1000D0000FD30F14FFCE03421F14FFCB03421F1296
-:1000E000FFCCC0302D37302D37342D37382D373CED
-:1000F000233D017233ED00020012FFC4C0302F37E0
-:10010000002F37102F37202F3730233D017233ED6A
-:1001100000020012FFBEC0302737002737102737F4
-:1001200020273730233D017233ED03020012FFB95F
-:1001300013FFBA0C0200932012FFB913FFB90C028F
-:1001400000932012FFB8C0319320822012FFB71312
-:10015000FFB7932012FFB715FFB316FFB6C030D715
-:100160002005660160001B00000000000000000088
-:10017000043605000200D30FD30F7531140747145E
-:1001800005330C0704437631E60436057539ED0076
-:10019000020012FFA715FFA3C030D72060000600A1
-:1001A00007471405330C070443043E057539F00373
-:1001B000020012FFA1C03014FFA1D30FD30FD30F41
-:1001C0009340B4447249F2D30FD30FD30F14FF9B63
-:1001D000834014FF9B834012FF9B230A0014FF9A65
-:1001E000D30FD30FD30F9340B4447249F2D30FD33C
-:1001F0000FD30F14FF95834012FF95CA20832084EC
-:10020000218522BC22743B108650B4559630B433FD
-:100210007433F463FFE6000000653FE0655FDD12C4
-:10022000FF7CC03028374028374428374828374CCF
-:10023000233D017233ED03020000020012FF7AC079
-:1002400032032E0503020012FF7813FF819320C0B2
-:1002500011014931004831010200C00014FF7E0441
-:10026000D23115FF7D945014FF7D04D33115FF7CEE
-:10027000945014FF7C04D43115FF7C24560014FFE5
-:100280007B04D53115FF7B24560010FF7A03000054
-:10029000000000000000000000000000000000005E
-:1002A000000000000000000000000000000000004E
-:1002B000000000000000000000000000000000003E
-:1002C000000000000000000000000000000000002E
-:1002D000000000000000000000000000000000001E
-:1002E000000000000000000000000000000000000E
-:1002F00000000000000000000000000000000000FE
-:1003000000000000000000000000000000000000ED
-:1003100000000000000000000000000000000000DD
-:1003200000000000000000000000000000000000CD
-:1003300000000000000000000000000000000000BD
-:1003400000000000000000000000000000000000AD
-:10035000000000000000000000000000000000009D
-:10036000000000000000000000000000000000008D
-:10037000000000000000000000000000000000007D
-:10038000000000000000000000000000000000006D
-:10039000000000000000000000000000000000005D
-:1003A000000000000000000000000000000000004D
-:1003B000000000000000000000000000000000003D
-:1003C000000000000000000000000000000000002D
-:1003D000000000000000000000000000000000001D
-:1003E000000000000000000000000000000000000D
-:1003F00000000000000000000000000000000000FD
-:1004000000000000000000000000000000000000EC
-:1004100000000000000000000000000000000000DC
-:1004200063FFFC000000000000000000000000006E
-:100430000000000000000000000000001FFC0000A1
-:100440001FFC0000E30005C81FFC00001FFC0000AB
-:10045000E30005C81FFC00001FFC0000E30005C806
-:100460001FFFC0001FFFC000E30005C81FFFC00042
-:100470001FFFC018E30005C81FFFC0181FFFC018EA
-:10048000E30005E01FFFC0181FFFC288E30005E07E
-:100490001FFFC2881FFFC288E30008501FFFC290E1
-:1004A0001FFFC57CE3000850200000002000016A07
-:1004B000E3000B3C2000018020000180E3000CA839
-:1004C0002000020020000203E3000CA82000021C10
-:1004D00020000220E3000CAC2000022020000226B5
-:1004E000E3000CB02000023C20000240E3000CB806
-:1004F0002000024020000249E3000CBC2000024C16
-:1005000020000250E3000CC82000025020000259D5
-:10051000E3000CCC2000025C20000260E3000CD859
-:100520002000026020000269E3000CDC2000026C65
-:1005300020000270E3000CE8200002702000027925
-:10054000E3000CEC2000028C2000028CE3000CF88D
-:100550002000029020000293E3000CF8200002AC7F
-:10056000200002B0E3000CFC200002D0200002F2C8
-:10057000E3000D00200003B0200003B0E3000D24D1
-:10058000200003B0200003B0E3000D24200003B0DE
-:10059000200003B0E3000D24200003B0200003B0CE
-:1005A000E3000D24200003B020006B74E3000D2451
-:1005B00020006B7420006B74E30074E800000000FE
-:1005C00000000000000000001FFC00001FFC0000F5
-:1005D0001FFFC5801FFFC67020006B7820006B785E
-:1005E000DEFFFE000000080CDEADBEEF1FFFC29074
-:1005F0001FFCFE001FFFC0841FFFC5C030000000AD
-:10060000003FFFFF8040000010000000080FFFFFC8
-:100610001FFFC25D000FFFFF804FFFFF8000000043
-:1006200000000880B000000560500000600000007D
-:1006300040000011350000004100000010000001E2
-:1006400020000000000010007FFFFFFF40000000BE
-:1006500005000000800000190400000000000800F0
-:1006600010000005806000007000000020000009FC
-:10067000001FF8008000001EA0000000F80000002D
-:100680000800000007FFFFFF1800000001008001C4
-:10069000420000001FFFC20D1FFFC0CC0001008000
-:1006A000604000001A0000000C0000000000300054
-:1006B000600008008000001C000100008000001A9B
-:1006C00080000018FC0000008000000100004000D5
-:1006D000800004000300000050000003FFFFBFFF84
-:1006E00000000FFF1FFFC390FFFFF000000016D0B7
-:1006F0000000FFF7A50000001FFFC4A01FFFC451AA
-:100700000001000800000B20202FFF801FFFC445C0
-:1007100000002C00FFFEFFF800FFFFFF1FFFC56871
-:1007200000002000FFFFDFFF0000FFEF01001100CD
-:100730001FFFC4611FFFC3C21FFFC4101FFFC5906E
-:10074000FFFFEFFF0000FFFB1FFFBE90FFFFF7FF63
-:100750001FFFC0540000FFFD0001FBD01FFFC5B00C
-:100760001FFFC6601FFFC591E0FFFE000000800074
-:100770001FFFC52C1FFFC5B41FFFC0581FFFC4D0EB
-:100780001FFCFFD800010081E100060000002710D7
-:100790001FFCFE301FFCFE70E10002001FFFC52899
-:1007A0001FFFC5400003D0901FFFC5542B5063802E
-:1007B0002B5079802B5090802B50A6801FFFC4595E
-:1007C0000100110F202FFE0020300080202FFF009D
-:1007D0000000FFFF0001FFF82B50B2002B50B208C1
-:1007E000000100102B50B1802B50B2802B50BA006A
-:1007F000000100112B50BD282B50BC802B50BDA0F8
-:1008000020300000DFFFFE005000000200C00000AA
-:1008100002000000FFFFF7F41FFFC05C000FF800AC
-:1008200004400000001000000C4000001C400000CC
-:10083000E00000A01FFFC5301FFD00081FFFC544DA
-:100840001FFFC5581FFFC56CE1000690E10006ECD4
-:100850000100000000000000000000000000000097
-:100860002010004020100040201000402014008084
-:10087000200C0000200C0000200C00002010004084
-:10088000201400802014008020140080201800C054
-:10089000201C0100201C0100201C01002020014020
-:1008A000201800C0201800C0201800C0201C010023
-:1008B000201800C0201800C0201800C0201C010013
-:1008C000202001402020014020200140202009401C
-:1008D00020200940202009402020094020240980B0
-:1008E000FFFFFFFFFFFFFFFFFFFFFFFF0000000014
-:1008F00000000000000000000000000000000000F8
-:100900002000525420005124200052542000525400
-:1009100020005060200050602000506020004E9465
-:1009200020004E9420004E8C20004DFC20004CA056
-:1009300020004A88200048880000000000000000D5
-:1009400020005224200050F02000519420005194A7
-:1009500020004F3820004F3820004F3820004F38FB
-:1009600020004F3820004E8420004F3820004BC418
-:1009700020004A3C20004838000000000000000031
-:1009800020000B702000384C200004C02000442CB4
-:1009900020000B6820003F40200003F0200043ECC3
-:1009A0002000481420003C5020003B6C200037C839
-:1009B00020003654200033CC20002EF8200039CC03
-:1009C00020002B5C200027942000648C2000232032
-:1009D0002000200420001FB820001CA4200017B015
-:1009E000200014F020000D8C20000BB4200010BC5F
-:1009F000200012A02000413020003C0420000B7891
-:100A0000200004C000000000000000000000000002
-:100A100000000000000000000000000000000000D6
-:100A200000000000000000000000000000000000C6
-:100A300000000000000000000000000000000000B6
-:100A400000000000000000000000000000000000A6
-:100A50000000000000000000000000000000000096
-:100A60000000000000000000000000000000000086
-:100A70000000000000000000000000000000000076
-:100A8000000000003264000000000000326400003A
-:100A90006400640064006400640064006400640036
-:100AA0000000000000000000000000000000000046
-:100AB0000000000000000000000000000000000036
-:100AC0000000000000000000000000000000000026
-:100AD0000000000000000000000000000000000016
-:100AE00000000000000000000000100000000000F6
-:100AF00000000000000000000000000000000000F6
-:100B000000001000000000000000000000000000D5
-:100B100000000000004323800000000000000000EF
-:100B200000000000000000000000000000000000C5
-:100B3000000000000000000000000000005C9401C4
-:100B40005D94025E94035F94004300000000000087
-:100B50000000000000000000000000000000000095
-:100B60000000000000000000000000000000000085
-:100B7000000000000000000000000000005C900188
-:100B80005D90025E90035F90005300000000000043
-:100B90000000000000000000000000000000000055
-:100BA0000000000000000000000000000000000045
-:100BB000000000000000000000000000009C940005
-:100BC0001D90019D94029E94039F9404089405092E
-:100BD00094060A94070B94004300000000000000F4
-:100BE0000000000000000000000000000000000005
-:100BF000000000000000000000000000009C9001C8
-:100C00009D90029E90071D90039F90047890057917
-:100C100090067A90077B90005300000000000000CF
-:100C200000000000000000000000000000000000C4
-:100C300000000000000000000000000000DC940044
-:100C40001D9001DD9402DE9403DF940404940505F5
-:100C5000940606940707940808940909940A0A94CC
-:100C60000B0B940043000000000000000000000097
-:100C700000000000000000000000000000DC900107
-:100C8000DD9002DE900B1D9003DF9004B49005B55B
-:100C90009006B69007B79008B89009B9900ABA9034
-:100CA0000BBB90005300000063FFFC002000693084
-:100CB00010FFFF0A000000002000695400D231102C
-:100CC000FFFE0A00000000002000699C00D33110E4
-:100CD000FFFE0A0000000000200069DC00D4311093
-:100CE000FFFE0A000000000020006A5000D531100D
-:100CF000FFFE0A000000000063FFFC00E00000A00F
-:100D000012FFF78220028257C82163FFFC12FFF313
-:100D100003E83004EE3005C030932094219522631F
-:100D2000FFFC00001FFFD000000400201FFFC58053
-:100D30001FFFC670200A0011FFFB13FFFB03E63103
-:100D400001020016FFFA17FFFAD30F776B069060C7
-:100D5000B4667763F85415265419D90F140063FF4D
-:100D6000F90000006C1004C020D10F006C1006C008
-:100D7000C71AEF060D4911D830D7201BEF05BC224A
-:100D80008572AB76837105450B957209330C23761A
-:100D900001723B05233D08237601A39D19EEFE7DDC
-:100DA0006326C021C0E0032E380E0E42C8EE29A6ED
-:100DB0007E6D4A0500808800308C8271D10FC0F0B2
-:100DC000082F387FC0EA63FFE49210037F0CABFF6B
-:100DD0000F3D12DB802EDC100E4E36C021C05003BA
-:100DE0002538221200050542CB5029A67E6DEA0562
-:100DF00000B08800308CBC76C050A8F3C081068556
-:100E000038050542CA5129A67E0D480CD30F6D8ABC
-:100E10000500308800208C8271D10F00C061C05065
-:100E200008653875C0C663FFC0C0B0038B387BC08F
-:100E3000D763FFD16C101216EED82A221E2E221D67
-:100E4000C0D07AE11B2CA000D7A028CCE96481484F
-:100E500029CCE8649341C1B97BC12569CC1B6000F2
-:100E6000222CD000D7D028CCE964815429CCE86466
-:100E700093BAC1B97BC10968CC09C020D10F000069
-:100E8000002D25028C32C0900C6F5065F586292408
-:100E900067090847658582B44927200C18EEC00C05
-:100EA0007F11A8FF28F286DB707893026005541941
-:100EB000EEBC09790A2992A36890082822000988C3
-:100EC0000C65853F29F28564953929161A655563A5
-:100ED0007AE104DBA0600001C0B022161B8DB412C1
-:100EE000EEB10D881482240D0D47A82218EEAF092B
-:100EF000DD10082202929018EEAD12EEAE08C80185
-:100F00000D88020242021DEEAA92910D880298926B
-:100F100022B0232DB02204281006DD100242120850
-:100F2000DD0228B0210722100C88100288020D88EB
-:100F30000212EEA18D3302DD0182340D88029893F6
-:100F400092B992948DB582399D9588B68D389896D0
-:100F500088B792999D989897C0D028F28512EE97FD
-:100F600008480BA2722D24CF28F68522121B655447
-:100F7000DE7AE104DBA0600001C0B064BEFB2CB0EF
-:100F80000728B000DA2006880A28824CC0D10B80DE
-:100F900000DBA065AFE763FEE02EA0032B2067D93E
-:100FA000E0D4E065B1AB8B320BFC5064C4B218EEF8
-:100FB000848F2A08B80108FF0C64F2CDC09260014A
-:100FC0008A2AD003292067D4A06594C98B320BFCF0
-:100FD0005064C48C1FEE7B8E2A0FBF017FE9DC8C2E
-:100FE000330CE8506484B4C0B00CA5118F592B1693
-:100FF000128A578E582A1611DBE0AAFA7FAB01B18C
-:10100000EB75CE599E1F8937951CAF98981D798B2B
-:101010000825160C29EC0129160F9A168A1D851F22
-:101020002A16192A0A007BE30A7BE9052B12067FA0
-:10103000BB01C0A165A4698B35C0A0C08078E30462
-:1010400064E3B5C0A165A458891C2916170C4A543D
-:101050002A1616BCAA2A16186000AF0000008837AE
-:10106000893628161429161508F80C09E90C2916D2
-:1010700013981E78FB07281213B088281613891EB0
-:101080009A189F172A1213C0F02916197BE30C7BBC
-:10109000E9078E172B12087EBB01C0F165F406C06C
-:1010A000B02F121988352E12129819281211AAEE93
-:1010B000AF8F78FB022EEC019F138F199F12C0F0A7
-:1010C0007BE30C7EB9078913281202798B01C0F1EA
-:1010D00065F3D22516172912150C4F54C0E12F16AF
-:1010E00016BCFF2F161800F10400EE1A2F1214B0D0
-:1010F000EE0EF8130988010FEE012F1219A8AAAEFF
-:10110000FE7FEB01B1AAD5A02E16192B1219DA50C9
-:101110002C12185818D3C0D0C0902E121907480AA4
-:101120002C1218DAB08F34C0B1AAFF00C1042A1201
-:10113000162F86162C121700BB1AB0BB0EBE019ECE
-:10114000C90BFB1305BB019BC82A7410292467290E
-:1011500070038E75B19F2F7403B0EE0E4E0C65EDCB
-:10116000182820672D25026583132A221E29221D97
-:101170007A9104DBA0600001C0B064BCFC2CB00715
-:1011800028B000DA2006880A28824CC0D10B8000E3
-:10119000DBA065AFE763FCE189AAB199659094890A
-:1011A000341BEE0899AA88331FEE0208485428A47D
-:1011B0002C8E2A8C320FEE020BCB017EB9640C4BC5
-:1011C000516FB25E8B3375B6592CA0130BEE510ED6
-:1011D000CE010E0E410C0C417EC9472FA012B0FF6C
-:1011E00065F2DD8E378CA88B368FA97CB3077BC95F
-:1011F000027EFB01C0D1CED98835DDB00E8E0878D5
-:10120000EB01B1BD89A7DAC00F9B0879BB022ACCDC
-:1012100001DCB0C0B07DA3077AD9027CEB01C0B17C
-:1012200064B163C091292467C020D10F008BDAB16B
-:10123000BB64B0C02C20672D250265C2281DEDDCE3
-:101240008C321FEDE10DCD010FDD0C65D1C10C4FCE
-:10125000516FF2026001B8C0902924670908476500
-:10126000820F7AE104DBA0600001C0B064BC0A2CEC
-:10127000B00728B000DA2006880A28824CC0D10BBB
-:101280008000DBA065AFE763FBEF8C330CE95064B3
-:1012900092090CEF11AFAF2F16178EF885F7DBE030
-:1012A0008FF9251610A5F57F5B022BEC010CA850D9
-:1012B0006580DD8837DAE0AF8929160A789B022A33
-:1012C000EC019514891AD5A02916192A0A007BE386
-:1012D0000A7BE9052B12047FBB01C0A165A1C18B6C
-:1012E00035C0A0C08078E30464E104C0A164AD5CB3
-:1012F0006001AD00008E3419EDB39EDA8C331BED26
-:10130000AC0C4C542CD42C8A2A8C320BAA0209C95E
-:10131000010A990C659F0B0C4F516EF20263FF029C
-:101320008B330BA850648EFA29D0130BEA510A9A1A
-:10133000010A0A410909410A990C659EE52BD01260
-:10134000B0BB65B188C0A08E378CD82B32062FD2A7
-:10135000097CB3077BC9027EFB01C0A165AEC388CF
-:1013600035D3B0AE8E78EB01B1B389D7DAC0AF9B7D
-:1013700079BB01B1CADCB0C0B073A3077A39027C73
-:10138000EB01C0B165BE9BC090292467C020D10F7E
-:10139000008A3688372A16152816140AEA0C08F827
-:1013A0000C981B78FB01B0AA9F158F1B2F16192FC5
-:1013B0000A007BE30A7BE905281205785B01C0F18E
-:1013C00065F0E2AADE8B352F1219291210C050AF3A
-:1013D0009F79FB01B1EE9F11C0F075E30A7E5905BC
-:1013E00028120178BB01C0F164FCEA6000B700007C
-:1013F0007FB30263FEF663FEF17FB30263FC4563D5
-:10140000FC4000006450A4DA205815C8C020D10F59
-:10141000C09163FE43C09163FA73DA20DB70C0D1E0
-:101420002E0A80C09A2924682C70075814B8D2A0BC
-:10143000D10F000019ED6603480B9810088B0209C4
-:1014400029087983022B8DF829121A63FA8B000080
-:101450002A2C74DB40580E442E221D2A221E63FBC8
-:101460000FC09163FCE5022A0258024C0AA2020650
-:101470000000022A025802490AA202060000DB709C
-:10148000DA20C0D12E0A80C0CE2C24682C700758D8
-:10149000149FC020D10FD9A063FCB600C09463FC98
-:1014A000AAC09663FCA5C09663FCA0002A2C74DB3E
-:1014B00030DC405BFE2EC2D02DA4002B200C63FF3D
-:1014C000458F358EA77FEB0263FEBB63FD548935E4
-:1014D00088D7798B0263FEAE63FD47006C1004C0B1
-:1014E00020D10F006C1004C020D10F006C10042B11
-:1014F000221E28221DC0A0C0942924062A25027B72
-:101500008901DBA0C9B913ED24DA2028B0002CB082
-:101510000703880A28824CC0D10B8000DBA065AF8E
-:10152000E7C020D10F0000006C100602260229201F
-:1015300006C0E0689805289CF96581202A61021799
-:10154000ED170A0A4C65A0F02B729E1AED136FB8C6
-:10155000026000F42AA22668A0098B60D30F0ABBA0
-:101560000C65B0E42A729D64A0DE2B600C0CBC11EB
-:1015700007CC082DC2866FD9026000D71DED090D7A
-:10158000BD0A2DD2A368D0078F600DFF0C65F0C394
-:1015900022C285C0F06420BB1DED0E68434D18EDDE
-:1015A0000D8C6B08CC029C208A6008AA110DAA023F
-:1015B0009A21896A9924883298252C610408CC11D3
-:1015C0009C271CECFE0CBA11A7AA29A285ACBC2F43
-:1015D000C4CF299C2829A685C85A2A6C74DB405898
-:1015E0000DE2D2A0D10FD2E0D10F0000289CF96407
-:1015F00080912C60668931B1CC0C0C472C64666FED
-:10160000C669709E661AECF48C30896B0C8C400BAA
-:10161000CC100C99020A9902992088600888110D53
-:10162000880298218C339C238A329A22896A9924D1
-:101630008834982563FF820000CC57DA60DB30DC09
-:10164000405814A7C020D10F00DA60C0B658153733
-:1016500063FFE500DA6058153563FFDC00DA20DB54
-:1016600030DC40DD505815B7D2A0D10F9E102B6151
-:10167000045813C81DECD78E102B600CC0F02F64DB
-:101680006663FF80296123C08879830263FF752E1A
-:1016900016002C60662B61042CCC010C0C472C64CA
-:1016A000665813BC1DECCB8E102B600CC0F02F6461
-:1016B0006663FF506C1004C0B7C0A116ECC815ECEF
-:1016C000BAD720D840B822C040053502967195702F
-:1016D00002A438040442C94B1AECAD19ECAE29A699
-:1016E0007EC140D30F6D4A0500808800208C220AFD
-:1016F00088A272D10FC05008A53875B0E363FFD738
-:101700006C100893149412292006655270C07168F9
-:1017100098052A9CF965A28016ECA12921028A1459
-:1017200009094C6590C78AA00A6A512AACFD65A0D8
-:10173000BCCC5FDB30DA208C12581469C0519A148B
-:10174000C7BF9BA98E142EE20968E0602F629E1D20
-:10175000EC926FF8026000812DD22668D0052F220E
-:10176000007DF9752C629DC79064C06D9C118A1430
-:101770002B200C2AA0200CBD11A6DD0A4F14BFA8F7
-:1017800009880129D286AF88288C09798B551FECEE
-:10179000840FBF0A2FF2A368F0052822007F894337
-:1017A00029D285D49065907760003D00002B200CF5
-:1017B0001FEC7C0CBD11A6DD29D2860FBF0A6E96E8
-:1017C000102FF2A368F00488207F890529D285654F
-:1017D0009155DA205814D5600013DA20C0B6581499
-:1017E000D3600009C09063FFB9DA205814D089147F
-:1017F000899109FE506551CC8C128D14DA20DBD012
-:101800008DD09E100D6D515813419A1464A1F0C7EC
-:101810005F8FA195A9C0510F0F479F1263FEFB0078
-:10182000C091C0F12820062C2066288CF9A7CC0C8A
-:101830000C472C24666FC6088D148DD170DE01C054
-:1018400090DD90648141C9D28A112B210458135133
-:101850008A14C0B02B24668EA92AA020C1701CEC6B
-:101860005B0E281415EC508E148556AC2C9C132E50
-:10187000EC28A855DDE07CE3022DEDF8D3D00A7703
-:1018800036DA40DB50DC305BFF8BD4A02E200CB46A
-:1018900055290A88C0C01BEC490CEF11A6FF28F29D
-:1018A00085ABEE2CE4CFA98828F685290A80881319
-:1018B000A933DD3089147833022D3DF8289020D3E8
-:1018C000D007880CC170080847289420087736652F
-:1018D0007FAE891413EC438990C0D477973D18EC00
-:1018E00041C1BA2721048514099C4006CC118653B6
-:1018F00004771185520C77020B7702C0C098A09D27
-:10190000A18D2B9CA597A496A795A603DD029DA269
-:101910002BF2852CE4CF2BBC202BF6852A2C748B44
-:1019200012580D11D2A0D10F28203DC0E07C87773E
-:101930002E24670E0A4765A07318EC2B8F201CEC31
-:10194000198E148CC48EE408FF1108FF020E8E1449
-:10195000AECC1EEC269F910ECC029C90C0801EEC5B
-:10196000242C21021AEC162FD285AABAB8FF2FD642
-:10197000850ECC0228A4CF2C2502C020D10F8714BD
-:10198000877007074763FD86282123C099798B025A
-:1019900063FEB2DDF063FEAD00DA20DB308C12DDD9
-:1019A000505814E8D2A0D10FC0E163FF828B148C91
-:1019B00012DD50C0AA2E0A802A2468DA2058135358
-:1019C000D2A0D10F007096552B629E6EB8531DEBBE
-:1019D000F22DD22668D0048E207DE9452A629DCB67
-:1019E000AF2B21042C20665812EBC090292466826C
-:1019F0001418EC008F2108FF019F21C020D10F0097
-:101A00008B10C9B88CA00C6C51CCCC8E241FEBEE83
-:101A10008DE19E140FDD029DE18810658FA9C02025
-:101A2000D10FDA20C0B6581441C020D10F000000F9
-:101A30006C1006C0D02A2102941175A70E89347F3C
-:101A400097098B357FBF042D2502DAD00A0C4C652F
-:101A5000C17B16EBD21FEBD028629EC0EA78E3026E
-:101A600060018129F2266890078A2009AA0C65A1E5
-:101A7000732A629DDEA064A1702B200CC0700CBC88
-:101A80001106CC0829C286280A0C79830260014C11
-:101A900019EBC409B90A2992A3689007882009881C
-:101AA0000C65813824C2851CEBC664412F8931093D
-:101AB0008B140CBB016FB11D2C20669E10B1CC0C99
-:101AC0000C472C24666EC60260013409FE5065E1A5
-:101AD0002E8A102AAC188934C0E47F973617EBC7DA
-:101AE000C0821BEBC58C359E419B408B2098459D49
-:101AF0004418EBC307BB029B420C07400F771198B9
-:101B0000439747D7E07FC70B2C2102284A0008CC17
-:101B1000022C25027E97048B362B25227D97048C80
-:101B2000372C25217C973A0AAB022C0A01C0800A87
-:101B3000C8382A3C200808426480821CEB9419EBC8
-:101B40009529C67E00A08800B08C00A08800B08CCB
-:101B500000A08800B08C28629D2DF4A2288C182843
-:101B6000669D89307797351FEB9F8C338832047BD5
-:101B70000B2A2104B47704AA119EB19FB08F2B9D2C
-:101B8000B598B69CB718EB96099C4006CC110CAAE8
-:101B90000208FF029FB2C1CC0CAA029AB4C9772AEC
-:101BA000200C1BEB860CA911A699289285ABAA2DB7
-:101BB000A4CF08780B289685CF58C020D10FC087B6
-:101BC000C0900AC93879880263FF7863FF6CCC57EC
-:101BD000DA20DB308C11581342C020D10FDA2058A4
-:101BE00013D363FFE8C0A063FE89DA20C0B65813A0
-:101BF000CF63FFD92A2C748B11580C5BD2A0D10F64
-:101C00008A102B21045812631FEB64C0D02D246668
-:101C100063FEBD006C1006D62019EB5F1EEB612839
-:101C2000610217EB5E08084C65805F8A300A6A51D2
-:101C300069A3572B729E6EB83F2A922668A0048C27
-:101C4000607AC9342A729D2C4CFECAAB2B600CB64C
-:101C50004F0CBD11A7DD28D2860EBE0A78FB269C4C
-:101C6000112EE2A32C160068E0052F62007EF91504
-:101C700022D285CF2560000D00DA60C0B65813ABC4
-:101C8000C85A60010F00DA605813A8655106DC409D
-:101C9000DB308D30DA600D6D5158121CD3A064A07A
-:101CA000F384A1C05104044763FF6D00C0B02C60F1
-:101CB000668931B1CC0C0C472C64666FC6027096F5
-:101CC0000A2B6104581233C0B02B64666550B42AE5
-:101CD0003C10C0E7DC20C0D1C0F002DF380F0F425B
-:101CE00064F09019EB2A18EB2B28967E8D106DDA94
-:101CF0000500A08800C08CC0A089301DEB3A779702
-:101D00005388328C108F3302CE0BC02492E12261B3
-:101D1000049DE00422118D6B9BE59FE798E61FEB85
-:101D2000300998400688110822020FDD02C18D9DFE
-:101D3000E208220292E4B4C22E600C1FEB200CE8F1
-:101D400011A7882C8285AFEE0C220B2BE4CF2286C4
-:101D500085D2A0D10F28600CD2A08C1119EB180CE1
-:101D60008D11A7DD2ED285A9882B84CF0ECC0B2C0C
-:101D7000D685D10FC0F00ADF387FE80263FF6C63BD
-:101D8000FF6000002A6C74C0B2DC20DD40581211E4
-:101D9000C0B063FF63C020D10F0000006C10042CA2
-:101DA000221D2A221EC049D320293006243468C0AF
-:101DB000407AC105DDA060000200C0D06E9738C037
-:101DC0008F2E0A802B3014C0962934060EBB022EAB
-:101DD00031022B34147E8004243502DE407AC10E99
-:101DE000C8ABDBD0DA302C0A00580A792E31020E4B
-:101DF0000F4CC8FEC020D10F6895F82831020808A2
-:101E00004C658FEF1AEAE61CEAE42BA29EC09A7B8F
-:101E10009B462BC22668B0048D307BD93B29A29DFE
-:101E2000C0E3CB9394901BEAF72D31049B9608DD19
-:101E3000110EDD029D979D9124C4A212EAF32F3169
-:101E40000228A29DC0E52E3406288C3028A69D02CB
-:101E5000FF022F3502C020D10FDA30C0B65813333D
-:101E6000C020D10F6C10062A2006941168A80528FE
-:101E7000ACF965824F29210209094C659206CC5FB5
-:101E8000DB30DA208C11581296C051D3A0C7AF9A1C
-:101E90003AC0E019EAC31DEAC91AEAC28F3A16EA43
-:101EA000BFB1FB64B1352C629E6FC8026001E927A7
-:101EB000DC33277226687007882007880C6581D874
-:101EC00024629DC0766441D02B200C0CBC11A6CCA2
-:101ED00028C286C09E7893026001C819EAB109B988
-:101EE0000A2992A39410689007882009880C6581BC
-:101EF000B224C2856441AC292006299CF96491DF93
-:101F00002C20668931B1CC0C0C472C24666EC6029D
-:101F100060019809F8506581921AEAA38C3619EA93
-:101F2000A10C881489940C0C4709CC10A8990A9923
-:101F30000218EAB62A210499409841882A19EAB47D
-:101F40000C8802098802984228302C2C3013293042
-:101F50001204CC100699100C88109F4408A8020C9B
-:101F6000990209880298438F379F458C389C46898F
-:101F700039C0F1994719EAA788359F4B98480888D6
-:101F800014098802984A8F3018EA9777F732C0749C
-:101F900089328C33984C974D0F9740882B2E4611E1
-:101FA0000677112C461329461204AC1119EA8D0745
-:101FB000CC02C179098802984E07CC022C4610C089
-:101FC0007AADBC0CBA11A6AA29A2852EC4CF097974
-:101FD0000B29A6856550FCC020D10F002B200C0CCE
-:101FE000BC1106CC082FC28609B90A6FF90260013C
-:101FF0001E2992A36890082F220009FF0C65F10F9B
-:102000002FC28564F10928203D082840648084841B
-:102010003504841464407C85A57453778436048425
-:102020001464406F74536C293013C08C798864C079
-:10203000902924670908476580DD882089A48435B4
-:102040001AEA6B048414A4940A440294F014EA6615
-:1020500008881104880298F1843698F3048414A443
-:10206000990A990299F21AEA6229210224C285ADDD
-:10207000B82E84CF244C1024C6850A990229250243
-:10208000C020D10F00CC57DA20DB308C115812144D
-:10209000C020D10FC09163FF97DA20C0B65812A3B9
-:1020A00063FFE100DA205812A163FFD88A102B21C8
-:1020B000045811381DEA422B200CC0E02E24668FF4
-:1020C0003A63FE5400DA20DB30DC40DD5058131D4B
-:1020D000D2A0D10F2A2C748B11580B23D2A0D10F70
-:1020E000292123C08879830263FE2D2A12002C2027
-:1020F000662B21042CCC010C0C472C24665811258E
-:102100001DEA2F2B200CC0E02E24668F3A63FE08B8
-:10211000DA2058128663FF6CDA205BFF20D2A0D150
-:102120000F0000006C100A9516C061C1B0D9402A9A
-:10213000203DC0400BAA010A64382A200629160750
-:1021400068A8052CACF965C33B1DEA16C8442F12DC
-:102150000664F29B2621021EEA12961406064C65BE
-:1021600062DE15EA0E6440CF8A352930039A150ADB
-:10217000990C6490C22C200C8B159C120CCC11A5D0
-:10218000CC9C132CC286B4BB7CB3026002CE8F12EF
-:102190000EFE0A2EE2A368E0082622000E660C65F9
-:1021A00062BA88132882856482B2891564905EDAE7
-:1021B00080D9308C201EEA0C1FEA0D1DE9FA8B1520
-:1021C0008DD4D4B07FB718B88A293C10853608C69C
-:1021D000110E66029681058514A5D50F55029580CE
-:1021E0000418146D8927889608CB110888140EBB33
-:1021F00002A8D8299C200F88029BA198A088929BB6
-:10220000A3088814A8D80F880298A22AAC10C0D0BE
-:102210008A151FE9EA8E1219E9F68B1388142CB27D
-:1022200085098802AFEE0CAA0B2DE4CF2AB68528CB
-:102230002502C020D10F000026529E18E9D76F68F2
-:102240000260020D2882266880098920D30F089930
-:102250000C6591FD2A529DC0FD9A1164A1F32B20BB
-:102260000CC1CA0CB8110588082D82860EBE0A7DE5
-:10227000C30260020A2EE2A368E0082622000E666E
-:102280000C6561FB288285DE806482072920069820
-:1022900010299CF96492042C20668831B1CC0C0C76
-:1022A000472C24666EC6026001BD08FD5065D1B79B
-:1022B00017E9DA1CE9BD19E9C42A21048B2D28305D
-:1022C000102D211D0C88100BDB090A8802098802D9
-:1022D0000CBB0264415589109B909791989284356C
-:1022E000D9E064406FD730DB40D8307F4715273CBA
-:1022F00010BCE92632168C3996E69CE78A37283CD2
-:10230000042AE6080B131464304A2A821686799A46
-:102310009696978C778A7D9C982B82172C7C209A96
-:102320009A2A9C189B99867BB03B298C086DB92111
-:102330008BC996A52692162AAC18B8999BA196A08F
-:102340008BC786CD9BA22B921596A49BA386CB2CE4
-:10235000CC2026A605C0346B4420043B0C0448095D
-:102360000E880A7FB705C0909988BC88C0900B1A68
-:10237000126DAA069988998B288C181CE9A81BE96C
-:10238000A816E99DB1DD2A211C23E6130D0D4F2669
-:10239000E6122D251DC06087207DA907C0D0280A20
-:1023A0000028251D26E6172CE6162BE61505D81164
-:1023B0001AE99328E6180A7A022AE614292006293F
-:1023C0009CF96491062A200CC0901BE97C0CAD118D
-:1023D000A5DD2CD285ABAAC0B029A4CF0CFC0B2C58
-:1023E000D685DA208C172D120658110DD2A0D10FE8
-:1023F0008A356FA546D8308BD56DA90C8A860A8A96
-:1024000014CBA77AB335288C10C080282467080B1A
-:102410004765B11CDA20DB308C17581131D3A0C0CE
-:10242000C1C0D02DA4039C1663FD280086366461CC
-:102430001689109B909791989263FEA1C08163FFCB
-:10244000C98A16CCA7DA20DB308C17581125C0209A
-:10245000D10FDA20C0B65811B563FFE400DA208B43
-:10246000125811B263FFD9009F189E198A112B21AF
-:10247000045810488E198F18C0B02B246663FE2FA5
-:10248000C08063FE01DA20DB308C17DD5058122D3E
-:10249000D2A0D10FDA205811A563FFA42D2123C0AB
-:1024A000C87DC30263FE089F188A112B21042C20CB
-:1024B000669819B1CC0C0C472C24665810368E192E
-:1024C0008F18C0D02D246663FDE50000262123B0BF
-:1024D0006606064F262523656EEA28206A7F870553
-:1024E0000829416490FDC0D01BE93F19E94E262020
-:1024F0000723E61BB166097A022BE61A28200A2D6B
-:10250000E61D2AE61E09880228E61C8826060647DC
-:1025100028E6208B2826E53E2BE6212D24072C20BB
-:10252000062A20642CCCFD64C09DB4FF63FE950098
-:1025300000DB30DA208D16C0CE2E0A802C24688C69
-:1025400017581072D2A0D10F8E102632161FE9151F
-:102550000626148FF62BE61297E127E61328E614D9
-:10256000A6FF0CFF029FE0C1F62EEC4826ECC064EB
-:102570006D6B8435C080644DDBD9E0DC30DBE0DDA1
-:1025800030B18814E92986C98AC8279DFF2CCC1050
-:10259000299C102A76322A76300464011AE9242410
-:1025A0007631AA442476332AD21617E9219AB6073F
-:1025B000660196B784C3BCBB94B58435B4DD74831F
-:1025C000BF2D211D63FD8D0064AF5E1DE8F62C203C
-:1025D000168DD20ACC0C00D10400CC1AACBC9C29BC
-:1025E00063FF46002B21046EB8222C2066B8CC0C69
-:1025F0000C472C2466C9C49F189E198A11580FE5F0
-:102600008E198F18C0348720C0D02D2466C068264C
-:10261000240663FED00000006C1008292006289CC8
-:10262000F86582C3292102C0AA09094C6590E62BEE
-:10263000200C16E8DA0CBC11A6CC2EC286C1D27EC4
-:10264000D30260028E19E8D609B90A2992A32A1684
-:10265000026890078A2009AA0C65A27729C28564BE
-:1026600092712B629E1AE8CC6FB80260026E2AA2A9
-:102670002629160168A0082B22000ABB0C65B25C53
-:1026800029629DC18C6492542A21200A806099108D
-:102690002C203CC7EF000F3E010B3EB1BD0FDB39D4
-:1026A0000BBB098F260DBD112DDC1C0D0D410EDD60
-:1026B000038E27B1DD0D0D410FEE0C0DBB0B2BBCB6
-:1026C0001C0BB7027EC71C2C21257BCB162D1AFCB8
-:1026D0000CBA0C0DA16000093E01073EB1780987D4
-:1026E000390B770A77EB026002062B212328212180
-:1026F000B1BB0B0B4F2B25237B8B29B0BD2D252385
-:10270000C855DA20DB30580FF0292102CC96C0E8FA
-:102710000E9E022E2502CC57DA20DB30DC4058100A
-:1027200070C020D10F2C20668931B1CC0C0C472C05
-:1027300024666EC6026001CF09FD5065D1C92F0A1B
-:10274000012830112922146480112A221B090C440B
-:1027500000C10400FB1A0BAA022A261B2D3010C050
-:10276000A0C0E088301BE88F94139514240A01253B
-:10277000203C2BB022088C14778704C0F10BFA3868
-:10278000C0F2C0840858010F5F010F4E3805354074
-:1027900007EE10C0F0084F3808FF100FEE0228DCDB
-:1027A000FEC0F0084F38842B0BA8100AFF102A2116
-:1027B000200F88020E880208440218E89E8F110834
-:1027C00044022821250A2A140828140488110A889A
-:1027D000022A210494F08E2004D41008EE1104EE95
-:1027E00002C04A04EE029EF1842A08AE110EDE02F7
-:1027F00094F40A54110E44020555100C1E4094F72F
-:1028000007EE100E5502085502C08195F68433C0BC
-:102810005094F3B1948E3295F898F99EF2C080C12D
-:10282000EC24261498FB9EF599FA853895FC843A99
-:1028300094FD8E3B9EFE883998FF853525F61084E1
-:1028400036851424F6118E3784132EF612C0E064F8
-:10285000B04989307797442B301088338C111FE8AA
-:10286000618D322FC614C0F42FC6158F2B2EC619BA
-:102870002DC61A28C61B04AD1109984006881108F8
-:10288000DD020DBB0218E856C1D00DBB0208FF02E5
-:102890002FC6162BC618280A0E2816022B200C88C5
-:1028A000121CE8460CB911A6992A9285ACBB2EB42D
-:1028B000CF0A880B289685C9718B268A2907BB0801
-:1028C0002B26060BAA0C0A0A482A2525655048C063
-:1028D00020D10F00DA2058109563FE3900DA20C0AD
-:1028E000B658109263FE2E00689738C020D10F00B2
-:1028F00000DA20DB7058104FC0C0C0D10ADA390AA4
-:10290000DC3865CDE463FE0D8A102B2104580F21BD
-:10291000C0E02E246663FE25DB402A2C7458091281
-:10292000D2A0D10FDA20580F2663FCF76C1004C038
-:1029300020D10F006C1004270A801CE83F1DE83FDF
-:102940001AE8170C2911AA992A2CFC2B92850DAA9A
-:10295000029CB19AB0C05113E83C28928516E83821
-:1029600014E839A62604240AB888289685234691B7
-:10297000A76625649FD10F006C100AD6302830104E
-:10298000292006288CF964829768980B2A9CF9659F
-:10299000A1B2022A02580F0A89371BE802C89164C3
-:1029A000520E2A21020A0C4C65C2558D3019E7FBE4
-:1029B00074D7052E212365E29A2F929E1AE7F76FAE
-:1029C000F8026002502AA22668A0082C22000ACC35
-:1029D0000C65C2412A929D64A23B9A151FE7F18DB6
-:1029E00067C1E6C8DD2B620618E7EF64B0052880F2
-:1029F000217B8B432B200C18E7E90CBC11A8CC29B8
-:102A0000C28679EB460FBE0A2EE2A368E0052F22AC
-:102A1000007EF9372CC2859C1864C22F2B212F878A
-:102A2000660B7B360B790C6F9D266ED2462C203DB3
-:102A30007BC740CE5560001E2A200CC1B28C2058A6
-:102A4000106F9A1864A2418D6763FFCFC0C063FF07
-:102A5000C5D7B063FFD300C0E06000022E60030E54
-:102A6000DB0C6EB20EDC700CEA11AA6A2AAC20589C
-:102A70000198D7A0DA20DB70C1C82D21205810158D
-:102A80008C268B279A160CBB0C7AB3348F1889636B
-:102A900099F3886298F28E659EF82D60108A189DD1
-:102AA0001768D729C0D09DA92C22182B22139CABC4
-:102AB0009BAA97A58E667E7302600097CF58600030
-:102AC0001FDA208B16580FDB65A13563FFBDC0816F
-:102AD000C0908F18C0A29AF999FB98FA97F563FFF6
-:102AE000D2DB30DA20DC40580F7EC051D6A0C0C007
-:102AF0002BA0102CA4039B172C1208022A02066B91
-:102B000002DF702D60038E179D149E100CDD11C026
-:102B1000E0AD6D2DDC205801178C148B16ACAC2C5D
-:102B200064038A268929ABAA0A990C9A2688660921
-:102B3000094829252507880C98662F2218A7FF2FFA
-:102B4000261863FE96DA20DB30DC40DD5058107D1D
-:102B5000D2A0D10FC0302C20668961B1CC0C0C47BB
-:102B60002C24666EC6026000CEC03009FD5065D0D0
-:102B7000C68E6764E069647066DB608C18DF70DAAB
-:102B8000202D60038E170CDD119E10AD6D2DDC2005
-:102B90001EE7A75800F8232618DA208B16DC402FF2
-:102BA0002213DD50B1FF2F2613580F1DD2A0D10FD5
-:102BB0000028203D084840658DE76F953EDA308D4E
-:102BC000B56D990C8CA80C8C14CACF7CD32D2AAC73
-:102BD00010C090292467090D4764DDC560008E0090
-:102BE0002C1208066B022D6C20077F028E17DA204C
-:102BF0009E101EE78E58007C63FF9A00C09163FF11
-:102C0000D1655080DA20DB60DC40580F35C020C031
-:102C1000F02FA403D10FDA20C0B6580FC463FFE031
-:102C2000006F950263FD70DA20DB30DC40DD50C4BC
-:102C3000E0580EB6D2A0D10F8A152B2104580E559C
-:102C4000232466286010981763FF2500DA20580FA8
-:102C5000B763FFACC858DB30DA20580E9B2A21023C
-:102C600065AF9DC09409A90229250263FF92DB305C
-:102C7000DC40DD50C0A32E0A802A2468DA20580EDA
-:102C8000A3D2A0D10FC020D10FDA202B200C580FD7
-:102C9000C063FF6C6C1004282006C062288CF865A5
-:102CA0008125C050C7DF2B221BC0E12A206B2921C0
-:102CB0002300A104B099292523B1AA00EC1A0BC462
-:102CC000010A0A442A246B04E4390DCC030CBB012D
-:102CD0002B261B64406929200C1BE7300C9A110B32
-:102CE000AA082FA2861BE72E6FF9026000B60B9B85
-:102CF0000A2BB2A368B0082C22000BCC0C65C0A430
-:102D00002BA2851CE75264B09B882B2F21040C88D2
-:102D10000298B08420C08508441108440294B1840C
-:102D20002A08FF1194B48E349FB79EB5C0401DE7AA
-:102D3000232EA2850D9D082EEC282EA68525D4CF06
-:102D400029210209094C68941A689820C9402A214F
-:102D50000265A00B2A221E2B221D7AB10265A079E2
-:102D6000C020D10F2C212365CFDE6000082E212149
-:102D70002D21237EDBD52B221E2F221D2525027B14
-:102D8000F901C0B064BFC413E7042CB00728B00039
-:102D9000DA2003880A28824CC0D10B8000DBA065B2
-:102DA000AFE763FFA62A2C74C0B02C0A02580D8D21
-:102DB0001CE7289CA08B2008BB1106BB029BA189A5
-:102DC0003499A263FF790000262468DA20DB30DC26
-:102DD00040DD50580FDCD2A0D10FDA202B200C5848
-:102DE0000F53C020D10F00006C1006073D14C080A7
-:102DF000DC30DB40DA20C047C02123BC3003283858
-:102E00000808427740022DDC016481571EE6E01974
-:102E1000E6E129E67E6DDA0500508800308CC0E0DE
-:102E2000C02025A03C14E6DFB6D38FC0C0D00F87EA
-:102E3000142440220F8940941077F704C081048243
-:102E400038C0F10B2810C044C02204540104FD38DE
-:102E500002520102FE3808DD10821C07EE100E6ED1
-:102E6000020EDD02242CFEC0E004FE380AEE100E35
-:102E700088020D88028DAB1EE6CF08D8020E8802AC
-:102E800098B0C0E80428100E5E0184A025A1250892
-:102E90004411084402052514045511043402C0816C
-:102EA0000E8E3994B18FAA84109FB475660A26A13C
-:102EB0001FC0F206261460000726A120C0F20626D5
-:102EC000140565020F770107873905E610077810AA
-:102ED00008660206550295B625A1040AE6110858AF
-:102EE0001108280208660296B7C0606440566490D4
-:102EF00053067E11C0F489C288C30B340B964598E3
-:102F000047994618E6B79F410459110E99021FE6EA
-:102F1000B5020E4708D8029F4098420E9902B43875
-:102F2000C1E00E990299442FA00C0CF91114E6A3EC
-:102F30001EE69BA4FFAE992E928526F4CF0E880B39
-:102F4000289685D10F2BA00C0CBE111CE69C1FE609
-:102F500093ACBBAFEE2DE28526B4CF0D3D0B2DE635
-:102F600085D10F00C08005283878480263FEA5632C
-:102F7000FE9900006C1006C0B0C0A6C0C06570F01D
-:102F80008830C0300887140888406580D3C0E0C00E
-:102F900091C0D4C08225203C0B3F109712831CC0E7
-:102FA000700858010D5D01089738C0800B983807EC
-:102FB0007710048810086802087702C0800D9838DE
-:102FC0002D3CFE0888100D9E388D2B0AEE1008EE61
-:102FD0000207EE020CB8100FDD02053B400EDD02C9
-:102FE0009D408920043D100899110D99022D21045E
-:102FF00009A90208DD119941872A05B9100D3D0282
-:103000000ABB110DBB0208770297442821258712BD
-:10301000082814048811071E4007EE100E99027547
-:10302000660926211F0626146000060026212006B8
-:1030300026140868029B47098802984629200CD26A
-:10304000C0C0800C9E111BE65D1FE654AB99AFEE2D
-:103050002DE2852894CF0DAD0B2DE685D10F000014
-:10306000001FE6502FF022C03065FF20C03163FF03
-:103070001BDD408E51CAE00E7836981008770CB2EE
-:10308000AAB1BB8F502DDC1098D99FD889538F528D
-:10309000991199DB9FDA7E830AB1CC255C10C9783F
-:1030A00063FFCF0088108D1108E70C9751AD8DD7C5
-:1030B000F078DB01B1F79D5397528830C030088714
-:1030C00014088840648EC565BFA163FF93000000AB
-:1030D0006C1004D720B03A8820C0308221CAA17475
-:1030E0002B1F2972046D080FC981C9928575B133F0
-:1030F000A2527A3B0C742B0963FFE90000649FEB3A
-:10310000D10FD240D10F00006C1008D630C070959E
-:1031100015DA408E3914E6239A1464E0026451F8FB
-:103120002920062A9CF865A25B2A21020A0B4C651D
-:10313000B21B2C320015E61974C7052D212365D367
-:10314000202E529E1AE6156FE8026002172AA22668
-:1031500068A0082B22000ABB0C65B2082E529D1DE8
-:10316000E61064E1FF8B3864B2299E16C8BC8D69F5
-:103170001EE60D64D0052EE0217BEB492E200C18B5
-:10318000E6070CEF11A8FF29F286C186798B4A1752
-:10319000E60407E70A2772A3687004882077893954
-:1031A00025F28564529E27212E07B73607B90C6F8A
-:1031B0009D01D7B089696E924228203D7B873C8A69
-:1031C00015CDAF600018C1B28C202A200C580E8B90
-:1031D000D5A064A2A88B6863FFCBC05063FFC3C0B7
-:1031E000E06000022E60030E9B0C6EB20EDC700CD1
-:1031F000EA11AA6A2AAC285BFFB6D7A0DA20DB70F6
-:10320000C1C42D211F580E338C268B27D4A00CBB94
-:103210000C7AB3258A63C0909A5388629958985261
-:103220008F659F598E679E5B8D6697559D5A8B68FB
-:103230007B7B748B15CEB360000DDA20DB40580D1C
-:10324000FD65A10963FFCC00DA20DB308C14580D3A
-:10325000A4D6A0C0C0C0D19D152CA403DA20DB6089
-:10326000DF70DC50C0E02560039E101EE5E60C5DBB
-:1032700011AD6D2DDC285BFF3F8E66A5A88F6728FA
-:103280006403AF7F77FB01B1EE9E669F678D268C4E
-:1032900029A4DD0DCC0C9D268B680C0C482C252513
-:1032A00007BB0C9B6863FEC32C20668961B1CC0C04
-:1032B0000C472C24666EC6026000B409FD5065D030
-:1032C000AECBBB8E69CBE7DB60DC50DF70DA201E53
-:1032D000E5E12D6003C08098100CDD11AD6D2DDC93
-:1032E000285BFF248B15C84F8A268929A4AA9A2611
-:1032F0000A990C09094829252565B13BC020D10F41
-:10330000DB602D6C28DF70DA20C0C01EE5D29C1077
-:10331000DC505BFEB563FFCB002D203D0D4D4065BD
-:10332000DDFD6FE522DA308F456DE90C8EAA0E8E39
-:1033300014C9E37EF3112AAC10C090292467090F49
-:103340004764FDDB60014100C09163FFED0088151B
-:1033500065814CDA20DB608C14580D61C020C09070
-:1033600029A403D10FDA20C0B6580DF063FFDE00A8
-:103370008A162B2104580C87C0A02A24668B686308
-:10338000FF3E0000002B9CF965B0C5DA20580C8C7C
-:1033900063FD95002B200C0CBA11A5AA2FA286C1A3
-:1033A000C27FC3026000FC0DB90A2992A36890078E
-:1033B0008C2009CC0C65C0EB26A2856460E52C202E
-:1033C000668931B1CC0C0C472C24666FC60270960E
-:1033D0000ADAE02B2104580C6F272466893077978E
-:1033E0004B18E57F1DE5808A328B33C0F42C210415
-:1033F000099E4006EE1104CC110ECC029F61C1E083
-:103400000ECC029D608F2B9A669B679C6497650823
-:10341000FF029F622F200C18E5690CFE11A5EE2D0E
-:10342000E285A8FF27F4CF2DDC202DE6858F1565DA
-:10343000F091C020D10F00002A2C748B1458064A3A
-:10344000D2A0D10F00DA20DBE0580DB863FEFE00F9
-:1034500000DA20DB308C148D15580E3AD2A0D10F33
-:1034600000008815C888DA20DB30580C972A210222
-:1034700065AEDAC09409A90229250263FECFDA20DD
-:103480002B200C580DC363FEC4272468DA20DB30E0
-:103490002C12042D12052E0A80580C9C63FC80000F
-:1034A000C020D10FDA20580DA18A15CDA1DA200352
-:1034B0003B022C1204580D0A27A403C020D10F0090
-:1034C000C020D10F2A2C748B14580627D2A0D10FFC
-:1034D0006C100E282102941008084C65835E1FE5CD
-:1034E0002F29F29E6F98026003621DE52B29D226D8
-:1034F0006890082A220009AA0C65A3502CF29D644A
-:10350000C34A2B200C0CB611AF66286286C1EC783A
-:10351000E30260034219E52209B90A2992A36890DF
-:10352000078A2009AA0C65A32E246285644328C05B
-:10353000E12A3109C07027246689359A12992A88B0
-:10354000369913982B89379814992C88389915082F
-:1035500058149816982D89392A25042E251D2925B9
-:103560001C283028C09228243C2A30290808479873
-:10357000170989012A243D2A311599180A09410998
-:10358000A90C299CEC29251F7E87192D2A000DA046
-:103590006000083E010A3EB1AD08DA390EAA110AF0
-:1035A000990C29251F27211F18E52C078160010888
-:1035B0003E000D3EB18A0DA8392D9CFC2D2520C161
-:1035C0009009883608DD1C08771C893D8A3C2E2628
-:1035D000132E26142E26152E246B2925222A25216A
-:1035E000282014C0A027252E2D252F0808432D2183
-:1035F0001C282414C07027252427252527252C279F
-:10360000261827261B2724672724682932112725F7
-:103610002399196ED2156D080AB1AA00A10400E918
-:103620001A7D9B0563FFEE00000089191DE4FDC0B3
-:10363000E0C0729B1D8813951B9F1F9C1E961C1C2F
-:10364000E50826203DC0F006054008DB14076601AA
-:103650000D8810C071057E38067F3885120BFF106B
-:1036600016E4E60AEE1096400FEE020D5511B0AFCB
-:1036700009FF110FEE021FE4F90855020FEE02C018
-:10368000F49F418A209F4996489C4B9B4E9D439EA8
-:10369000468D161EE4DA8B15C7CF9C4D9C4C9C457D
-:1036A0009C440BD8140EAE020DBB109E4A9E4208DD
-:1036B0005502954F0D181415E4CD0D88110588029B
-:1036C000984718E4E885262E46122E461A2E4622E2
-:1036D0002646102646182646202F46112F46192F1B
-:1036E00046212846242846262C46142C461595119A
-:1036F0008C1718E4DD0505488F1805551109064893
-:1037000028461389140E66110655020F7F390C3EA8
-:103710004002EE1017E4D60D99110C26400F6611E9
-:103720000B99020C0C408B1D01CC1006FF022746A2
-:103730001B294616C07029311616E4CD0ECC0205A1
-:10374000FF021EE49C15E4CB2F461726461CC0F052
-:10375000861C2F461D2F461F2F46272546230ECC9D
-:1037600002851B2C461E1EE4C41CE48E8F1F8CC7D2
-:103770002E4625ADCC28200629246A2431179C2DFD
-:103780002425238C1ECC81272407C0D77F97188E31
-:10379000110928419E2964808E644098C098094987
-:1037A000362D240660000B00644075C09809493628
-:1037B0002D240601C4042D0AA02E210428628508A8
-:1037C000EE11AD88286685863F843E2D321006486E
-:1037D0001898C300C40406461818E47804C45300BB
-:1037E000661106DD02A8B82784CF9DC409064E964F
-:1037F000C51DE4A305A61106440216E4A09DC0065B
-:10380000440294C2C04304EE029EC114E46326F253
-:103810009D2744A2266C1826F69D655042C020D1F3
-:103820000FC09063FF890000654F70C098C0E82EFC
-:10383000240663FF7D2D2406C09063FF75CC57DA04
-:1038400020DB308C10580C26C020D10F00DA20C0AD
-:10385000B6580CB663FFE500DA20580CB463FFDC01
-:103860002A2C748B10580540D2A0D10F6C1006285A
-:1038700020068A336F8202600161C05013E4472939
-:10388000210216E446699204252502D9502C201500
-:103890009A2814E4448F2627200B0AFE0C0477098B
-:1038A0002B711C64E1398E428D436FBC0260016F45
-:1038B00000E104B0C800881A08A80808D8029827B0
-:1038C0002B200668B32ECE972B221E2C221D011111
-:1038D000027BC901C0B064B0172CB00728B000DA71
-:1038E0002003880A28824CC0D10B8000DBA065AF82
-:1038F000E7C020D10F2D206464DFCA8B29C0F10BF3
-:10390000AB0C66BFC02B200C0CBC11A6CC28C28609
-:103910002E0A0878EB611EE4220EBE0A2EE2A3688E
-:10392000E0052822007E894F29C2851EE42E64907E
-:10393000461FE43C9E90C084989128200A95930FDE
-:10394000880298928E200FEE029E942F20078826E0
-:103950002F950A98969A972E200625240768E34308
-:103960002921021AE4162DC285AABA2DDC202DC603
-:103970008525A4CF63FF4E002B2065CBBDC0C22C94
-:103980002465C9F605E4310002002E62821FE41EA0
-:103990002D41020FEE022E66820DE43129210263D1
-:1039A000FF23000064DFB889422820160091040D2F
-:1039B000880C00881AA8A8982963FFA38C202D32B0
-:1039C00021B1CC9CD02B32212A3223B4BB2B3621FF
-:1039D0007BA9A92D32222D362163FFA0C020D10F53
-:1039E0009F27252415ACB828751C2B2006C0C12E96
-:1039F000BCFE64E0AB68B7772DBCFD65DEC72D204B
-:103A000064C0F064D0868E290EAE0C66E089C0F1E9
-:103A100028205A288CFE08CF3865FEE863FF58003E
-:103A200000E0049310C0810AF30C038339C78F08A8
-:103A3000D80308A80108F80C080819A83303C80C13
-:103A4000A8B828751C030B472B24158310CBB7008F
-:103A5000E104B0BC00CC1AACAC0CDC029C27659E27
-:103A60005EC0B20B990209094F29250263FE50007E
-:103A70002D206A0D2D4165DF7EDA20C0B0580C7212
-:103A800064AF18C0F163FEEF9F2763FFD02E221FA3
-:103A900065EE3263FF79000028221F658E2763FFE1
-:103AA0006E252406252502C09063FE196C1006655C
-:103AB00071332B4C18C0C7293C18C0A1C08009A87D
-:103AC000380808426481101CE3B11AE3B22AC67EAA
-:103AD0002A5CFDD30F6DAA0500B08800908C884049
-:103AE000C0A00889471FE3DB090B47084C50080DAD
-:103AF0005304DD10B4CC04CC100D5D029D310CBB21
-:103B0000029B3088438E2098350FEE029E328D2620
-:103B1000D850A6DD9D268E40C0900E5E5064E09782
-:103B20001CE3C11EE3B0038B0BC0F49FB19EB02D0C
-:103B3000200A99B30CDD029DB28F200CFF029FB4C6
-:103B40008E262D20079EB68C282DB50A9CB72924D9
-:103B5000072F20062B206469F339CBB61DE392238F
-:103B600020168DD20B330C00D10400331AA3C3B43A
-:103B70008D932922200C13E3911FE3880C2E11AFA3
-:103B8000EEA3222924CF2FE285D2A00FDD0B2DE654
-:103B900085D10F00B48C2E200C0CEB111FE3881D77
-:103BA000E37FAFEEADBB22B28529E4CF02C20B2288
-:103BB000B685D2A0D10F00002E200C0CEB111FE314
-:103BC0007F1CE376AFEEACBB22B28529E4CF028244
-:103BD0000B22B685D2A0D10FC0D00BAD387DC80264
-:103BE00063FEEC63FEE08E40272C747BEE12DA70ED
-:103BF000C0B32C3C18DD50580A77C090884063FE53
-:103C0000E3066E02022A02033B02DC40DD5058004C
-:103C1000049A10DB50DA70580454881063FEF600E2
-:103C20006C10082E3C18C0A092161FE3688C40AFA1
-:103C30002F0C8C47C02304CB0BDDB07FB3022DBD0E
-:103C4000F8D9D0C0B075C30260008D9F146D084FC5
-:103C50008D900D6D36ADAA0D660C0EB70B0EBF0A1A
-:103C60009DF0B877B89FD8F000808800708C9711CD
-:103C700087909810971568B12AB22277D32D889132
-:103C8000C0D0CB8F9890279C10007088971200F0BE
-:103C90008C9F139D916460A0C08108BB0375CB38D5
-:103CA00063FFA900B1222EEC1863FFCE85920D7739
-:103CB0000C86939790A6D67D6B01B15595929693FD
-:103CC0008942600017B3CC299C188814DD90789342
-:103CD000022D9DF8D9D063FFBB8942DA9085160C7E
-:103CE0000D472D44021BE36792319B3086437A9146
-:103CF000261BE3581EE36589500E660196350B9925
-:103D000002993288420A880C98428756A7A797568C
-:103D10008F44AFAF9F44D10F1BE34F895096350BB3
-:103D20009902993288420A880C98428756A7A79729
-:103D3000568F44AFAF9F44D10F894263FF9E00006E
-:103D40006C10061FE3529310D6308830C091086380
-:103D5000510808470598389811282102293CFD0888
-:103D6000084C6581576591542A62030A2B5065B14E
-:103D70007E0A68142E0AFF7CA60A2C205ACCC42D79
-:103D80000A022D245A78E0026002088A288926183F
-:103D9000E3400A990C6592032E200B1CE33F08EECA
-:103DA0000B2EED012DE0322EE03308DD110EDD0289
-:103DB0001EE339AFDD0EDD010DCC372D200C8960FF
-:103DC000C1E07B96231AE2F78B622AA0219C127B2A
-:103DD000A316DAD0C1B00B4B37B4BB8C20580B877D
-:103DE0008C12DBA0CEAB6001BF0E4E371BE2EC0C99
-:103DF000DA11ABAA28A286B8EE78EB351EE2E90EFE
-:103E0000DE0A2EE2A368E00488207E89242BA285A6
-:103E100064B0A28762DE700C79369B1388268D27EA
-:103E2000097A0C08DD0C6FAD0E77D3107E7B6960CC
-:103E3000001FC0B063FFD800D79063FFEB9C12DA7D
-:103E400020DB70580AFC8B138C1265A06F8E627E8B
-:103E50007B469C129B13CC5FDA208B10044C0258DB
-:103E60000AA0D6A08B13250A01DE70DA20DC60DD03
-:103E7000405BFF6B8C12D9A02D200CC0E01BE2C769
-:103E800017E2CF0CDA11A7D7ABAA2BA2852E74CFDD
-:103E90000B990B29A68563FF24DA20DC60DD40DE68
-:103EA000708911282007DF50A9882824075BFEFFAE
-:103EB000D2A0D10F0000DBD0DA20580B1C6550F3E4
-:103EC0002A20140A3A4065A0EEDB60DC40DD30DADF
-:103ED0002058098E1FE2EED6A064A0D784A183A04B
-:103EE0000404470305479511036351C05163FE68FD
-:103EF0002C200628CCFD6480A868C704C093292420
-:103F000006C0C18E6419E2A79E269E299E2889922A
-:103F10009E2700910400CC1A009004B0C88D65085B
-:103F2000EE01AECC0D0E5E01EE11AECCB0CC2E0A81
-:103F3000FE0C0C190ECC36C0E20C0C470ECC372C04
-:103F40002416C0B02B24072C20061BE29F0A0E4526
-:103F50000D084228240B2E240AB48929240C7DB88C
-:103F60005A2920160A5D52B09E0EDD362D24642B90
-:103F7000CCFD65BDFB0D0C4764CDF51DE28A88289C
-:103F80008DD20C9B0C00D10400BB1AAB889829631E
-:103F9000FDDE00001CE2B963FE2000001CE2AF63FE
-:103FA000FE188D6563FFA20000DA202B200C580A52
-:103FB000F8645F0BC020D10FC020D10FC093C0E3C5
-:103FC0002E241663FF9D00006C1004C06017E2727F
-:103FD0001DE275C3812931012A300829240A78A1FC
-:103FE00008C3B27BA172D260D10FC0C16550512607
-:103FF00025022AD0202F200B290AFB2B20142E204B
-:104000001526241509BB010DFF0928F11C2B2414CA
-:10401000A8EE2EF51C64A0B52B221E28221D01112E
-:10402000027B8901DB6064B0172CB00728B000DA8E
-:104030002007880A28824CC0D10B8000DBA065AF26
-:10404000E7DB30DC40DD50DA205800D829210209B6
-:104050000B4CCAB2D2A0D10F00CC5A2C30087BC175
-:10406000372ED02064E02D022A02033B02DC40DD23
-:10407000505800CED2A0D10F2B2014B0BB2B24144B
-:104080000B0F4164F0827CB7CAC0C10C9C022C2586
-:1040900002D2A0D10FC020D10F2E200669E2C12F7D
-:1040A00021020F0F4C69F1B82624062625022B2287
-:1040B0001E28221D2A200B2920150DAA092CA11C1F
-:1040C000262415AC9929A51C7B814A600049B0BB08
-:1040D0002B24140B0D41CBD67CB7022C25022B22AE
-:1040E0001E2E221D7BE9022B0A0064B0172CB0079C
-:1040F00028B000DA2007880A28824CC0D10B800043
-:10410000DBA065AFE7C020D10F262406D2A0D10FD7
-:1041100026240663FFC7DB601DE22364BF422CB088
-:104120000728B000DA2007880A28824CC0D10B800B
-:1041300000DBA065AFE71DE21B63FF246C100428C1
-:104140002006C0646F8564CA5B2920147D9726DA37
-:1041500020DB30DC40055D02580019292102090AE4
-:104160004CC8A3C020D10F00C0B10B9B022B25026D
-:10417000C020D10F0000022A02033B022C0A015882
-:1041800000C9C9AADA20DB30DC405809D529A011C2
-:10419000D3A07E97082C0AFD0C9C012CA411C051C1
-:1041A0002D201406DD022D241463FFA2DA20DB305B
-:1041B000DC40DD50C0E0580955D2A0D10F0000000E
-:1041C0006C100616E1F61CE1F665513BC0E117E103
-:1041D000F22821028B2008084C65807C29320009D6
-:1041E00069516993732A629E6EA8482A722668A054
-:1041F000027AB93F2A629DB44FCBA72B200C0CBD8D
-:104200001106DD0828D28678FB150CBF0A2FF2A311
-:1042100068F00488207F89072DD285D30F65D06090
-:104220002A210419E21ED30F7A9B1DDA2058085365
-:10423000600025002C21041BE2197CBB14DA20C08D
-:10424000B658084EC9546000EFDA20580A386000AA
-:104250000700DA20C0B6580A356550DCDC40DB3098
-:104260008D30DA200D6D515808A9D3A064A0C91C67
-:10427000E1CCC05184A18EA00404470E0E4763FF19
-:104280004F2B2104C08C8931C070DF7009F95009AF
-:104290008F386EB8172C2066AECC0C0C472C2466D9
-:1042A0007CFB099D105808BB8D1027246694D11EF5
-:1042B000E1D2B8DC9ED0655056C0D7B83AC0B1C084
-:1042C000F00CBF380F0F42CBF119E1B018E1B22862
-:1042D000967EB04BD30F6DBA0500A08800C08C2C21
-:1042E000200CC0201DE1B60CCF11A6FF2EF285AD2B
-:1042F000CC27C4CF0E4E0B2EF685D10FC0800AB846
-:104300003878D0CD63FFC1008E300E0E4763FEBDFE
-:104310002A2C742B0A01044D025808AE2F200C12CF
-:10432000E1A70CF911A699289285A2FF27F4CFD214
-:10433000A008480B289685D10FC020D10F0000009F
-:104340006C1004C060CB55DB30DC40055D02022AF6
-:10435000025BFF9B29210209084CC882D2A0D10F21
-:104360002B2014B0BB2B24140B0C41CBC57DB7EB19
-:10437000C0C10C9C022C2502D2A0D10F0000022A41
-:1043800002033B02066C02C0D0C7F72E201428316E
-:104390000126250228240A0FEE012E241458010CB0
-:1043A00063FFA300262406D2A0D10F006C100628BC
-:1043B0002102D62008084C6580992B200C12E17749
-:1043C0000CB811A2882A8286B5497A9302600093BC
-:1043D00019E17409B90A2992A36890082A620009B0
-:1043E000AA0C65A07E2882851CE17F6480759C8074
-:1043F000B887B14B9B819B10655072C0A7D97028BC
-:104400000A01C0D0078D380D0D42CBDB1FE1601EC5
-:10441000E1612EF67ED830D30F6D4A05008088000A
-:10442000908CC0802F30082F740029600C1AE16333
-:104430000C9D11A2DD2CD285C020AA992294CF0C0C
-:10444000BC0B2CD685D280D10FC0E0038E387EA065
-:10445000C363FFB7CC582A6C74DB30DC405807E1EB
-:10446000C020D10FDA605809B163FFE70000DD40DA
-:1044700085102A6C74C0B0DC705808562F30082F95
-:10448000740028600CC0F00C8B11A2BB29B28512FD
-:10449000E14B09590BA2822F24CF29B685D2A0D196
-:1044A0000F0000006C1004292014282006B199295F
-:1044B0002414688124C0AF2C0A012B21022C24066D
-:1044C0007BA004C0D02D2502022A02033B02044C2B
-:1044D00002C0D05800BFD2A0D10FC020D10F000021
-:1044E0006C1004293101C2B429240A2A3011C28374
-:1044F00078A16C7BA1696450472C2006C0686FC509
-:1045000062CA572D20147CD722DA20DB30DC40DD54
-:10451000505BFFA6292102090E4CC8E2C020D10F32
-:10452000C0F10F9F022F2502C020D10FDA20DB300F
-:10453000C0C05BFFDC28201406880228241463FF17
-:10454000C72920151BE1182A200BC0C09C240BAAE8
-:10455000092BA11C2C2415AB9929A51C63FF9900DC
-:10456000C020D10FDA20DB30DC40DD50C0E058083D
-:1045700067D2A0D10F0000006C1004CB5513E113DB
-:1045800025221F0D461106550CA32326221E252683
-:104590001F06440B24261E734B1DC852D240D10F58
-:1045A000280A80C04024261FA82828261E28261D49
-:1045B000D240D10FC020D10F244DF824261E63FF16
-:1045C000D80000006C1004282006D6206E850260FA
-:1045D00000DE17E0F21DE0F919E0F2C0C1C0202AA8
-:1045E0008CFC64A1322B6102B44E0B0B4C65B0A85D
-:1045F0002B600C2A62000CB8110788082F828609EC
-:10460000B90A7FE30260009F2992A368900509AA76
-:104610000C65A09328828564808DB8891BE0F7948F
-:10462000819B8065514DC0B7B838C0A1C0E009AECC
-:10463000380E0E4264E0481AE0D51FE0D62FA67E61
-:10464000B04A6DAA0500808800908CC0A02E600C36
-:104650000CE811A7882F8285ADEE0F4F0B2F8685B2
-:104660002B600622E4CF68B12A296015C0B2C99A2E
-:10467000D2A02D61022B64060CDD022D6502D10F44
-:10468000C0E008AE387EB0B763FFAB00226406D24C
-:10469000A0D10F00D2A0D10F00CC57DA60DB30DC04
-:1046A0004058088FC020D10FDA6058092063FFE816
-:1046B0000028221E29221D789902280A00C176C1ED
-:1046C000C1C1D21BE0C2C124AB6B6480437891406E
-:1046D0002A80000CAF0C64F0AE0DAE0C64E0A802B2
-:1046E000AF0C64F0A207AE0C64E09C2FACE864F061
-:1046F000962EACE764E0902FACE664F08A2A80073F
-:1047000008A80B088A027B83022A8DF8D8A065AF1F
-:10471000BBC09060007300002B600C0CB811A78820
-:104720002E82866EE87909BA0A2AA2A368A0048EAE
-:10473000607AE96B2A828564A0651FE0AAC0E32E37
-:1047400064069EA19FA01FE0D62E600A92A30FEEE2
-:10475000029EA28E600FEE029EA42F60147AFF4785
-:1047600022A4172F8285ADBE22E4CF2FFC182F86FE
-:104770008563FE702A6C74C0B1DC90DD40580795EB
-:104780001DE08FC0C163FEC4D9A0DA60DB30DC401D
-:10479000DD50C2F0C1E009FE395807DCD2A0D10FCC
-:1047A000DA605808E263FEF02CA4172982850DBE5A
-:1047B0000822E4CF299C1829868564500C2A6C7441
-:1047C000044B02580169D2A0D10FC020D10F0000C4
-:1047D0006C10062B221E28221D93107B8901C0B06D
-:1047E000C0C9C03BC1F20406401DE078C0E2C074FD
-:1047F0000747010E4E01AD2D9E11C0402E0A1464D4
-:10480000B06E6D084428221D7B81652AB0007EA110
-:104810003B7FA1477B51207CA14968A91768AA1456
-:1048200073A111C09F79A10CC18B78A107C1AE29DA
-:104830000A1E29B4007CA12B2AB0070BAB0BDAB0FF
-:104840007DB3022ABDF8DBA0CAA563FFB428B0106F
-:1048500089116987BB649FB863FFDC00647FB46320
-:10486000FFD50000646FD0C041C1AE2AB40063FF21
-:10487000C62B2102CEBE2A221D2B221E7AB12A8CE3
-:10488000107CB1217AB901C0B0C9B913E043DA2074
-:1048900028B0002CB00703880A28824CC0D10B80B6
-:1048A00000DBA065AFE7D240D10F8910659FD463CC
-:1048B000FFF300006C1008C0706451718F30292123
-:1048C000020F0F4716E036090C4C65C08F8D300D76
-:1048D0006E5168E30260008428629E1AE02F6E88A1
-:1048E000522AA22668A0048B207AB9472A629DB07A
-:1048F0004ECBAF9A102B200C9E110CBC11A6CC29CC
-:10490000C286B748798B4117E02607B70A2772A3FA
-:1049100068700488207789302CC2859C12D7C065C6
-:10492000C0622C21041AE05D7CAB22DA2058069389
-:10493000600029002E21041DE0597EDB18DA20C01A
-:10494000B658068EC958600156C0C063FFCCDA2045
-:10495000580876600006DA20C0B658087465513FE2
-:10496000DC40DB308D30DA200D6D515806E8D3A0E5
-:1049700064A12CC05129210284A18FA00404470FF7
-:104980000F4763FF412B2104C08C8931C0A009F976
-:1049900050098A386EB81E2C2066AFCC0C0C472C00
-:1049A00024667CAB109E142A12005806FA8E14C09E
-:1049B000D02D24668D30C0921BE010C1F87FD60C3C
-:1049C00087129B702976012F7408277C106550B7D9
-:1049D000B83AC0D7DC70C051C080075838080842C8
-:1049E0006480791DDFEA19DFEB29D67E6A420AD39B
-:1049F0000F6DE90500A08800C08CC0A08830C0926F
-:104A00007F863807E80B2F84089B809981B4E82CB7
-:104A1000200CC0901DDFEA0CC311A633223285ADF5
-:104A2000CC02820B29C4CF223685D2A0D10F8A3086
-:104A3000C0F17EAE3229210263FE88002C200C8E4C
-:104A400011C0B01DDFDE0CCF11A6FF22F285ADCC68
-:104A50002BC4CF02EE0B2EF685D2A0D10FC0800A58
-:104A6000583878D08663FF7A9F13DB30DA20C0C1D4
-:104A7000DD705BFF572921028F132A9CFE65AE4330
-:104A8000272502C09063FE3B9E142A2C74C0B1DC23
-:104A900070DD405806D08E141BDFD8C1F863FF5B71
-:104AA000C020D10F6C100628210216DFBC08084C6C
-:104AB00065821529629E6F980260021C19DFB72972
-:104AC00092266890078A2009AA0C65A20B27629D8E
-:104AD000C0CC6472032B21048E31C0A0DDA00EFE79
-:104AE000500ECD386EB8112C20662CCC010C0C4722
-:104AF0002C24667CDB026001EAC0C12930081BDF80
-:104B0000A96490972F0AFFC0D3B09E64E0FD68921D
-:104B10000E6450832A2C74DB40580093D2A0D10F2E
-:104B20002B200C2721040CBC11A6CC29C286280AF4
-:104B3000087983026001B919DF9A09B90A2992A399
-:104B40006890082E220009EE0C65E1A42EC285644F
-:104B5000E19E26200713DFA36E7B0260019A17DF18
-:104B60009A1FDFA319DFD0C0D228200A93E09DE16D
-:104B7000A9690F880298E22F90802A9480B1FF07DC
-:104B8000FF029FE31EDF8E2FC285AEBE0FDF0B2F0D
-:104B9000C6852AE4CF655F7BC020D10F2F30102956
-:104BA00030112E301300993200ED3264F0EE2A30CD
-:104BB000141FDFBD00AA3278EF050F9E092DE47F98
-:104BC0001EDFBB66A0050F98092A8480B4A718DFF2
-:104BD000B8C76F009104AE9EDDE000AF1A00C31AA3
-:104BE0006EE1052DB2000DED0C1EDFB208D81C06DB
-:104BF0003303AE882A848B2EB02E27848C03EE01DB
-:104C00000FEE022EB42E58018F63FF0429310829BC
-:104C100025042830142E3109B0886480A32E240A7C
-:104C2000C0812E30162CB4232E240BB4EF2F240C6D
-:104C30008C378B36292504DEB0DFC00F8F390E8EFE
-:104C4000390FEE0264EEC9089F1101C4048D380CBF
-:104C5000B81800C4040CBE1800EE110EDD02C0E34B
-:104C60000EFF021EDF879F719E701EDF848F2098CB
-:104C7000739D7405FF110BCD53C18098750FDD0234
-:104C80000EDD029D722A24661EDF442F629D2AE4F7
-:104C9000A22FFC182F669D63FE760000002F3012B5
-:104CA0001BDF8600FA3278FF050B980B2A847F669B
-:104CB000D0050B9A0B2DA4802A301100AA3263FF75
-:104CC000442F240A9E2B63FF56CC57DA20DB30DCBE
-:104CD00040580703C020D10F00DA20C0B658079310
-:104CE00063FFE500DA7058062BC0A02A246663FE35
-:104CF00007DA2058078E63FFCFB16928200A862083
-:104D0000090947991129240798107F812693E027E4
-:104D1000E50A9AE388109DE119DF628D11096F029F
-:104D20009FE42DE416098802C0D398E22A24076381
-:104D3000FE5100001FDF2B08691188118D2B93E0B5
-:104D4000098802C09F98E50FDD020478119DE2C03A
-:104D5000F49FE1C0D409880298E463FFCE0000000C
-:104D60006C1004C020D10F006C100485210D381187
-:104D700014DF088622A42408660C962205330B93C0
-:104D800021743B13C862D230D10FC030BC299921A5
-:104D900099209322D230D10F233DF8932163FFE372
-:104DA0006C100AD620941817DEFDD930B83898193F
-:104DB0009914655252C0E1D2E02E61021DDEFA0E56
-:104DC0000E4C65E1638F308E190F6F512FFCFD651E
-:104DD000F1568EE129D0230E8F5077E66B8F181E87
-:104DE000DF37B0FF0FF4110F1F146590CE18DF34BA
-:104DF0008C60A8CCC0B119DEE828600B09CC0B0D83
-:104E0000880929811C28811A2A0A0009880C08BAF5
-:104E1000381BDF2A0CA90A2992947B9B0260008C24
-:104E20002B600C94160CBD11A7DD29D286B84879E9
-:104E300083026000D219DEDA09B80A2882A3981723
-:104E40006880026000A36000A51ADF1E84180AEEC5
-:104E500001CA981BDED18C192BB0008CC06EB31325
-:104E60001DDECE0C1C520DCC0B2DC295C0A17EDBDD
-:104E7000AE6000380C0C5360000900000018DF1011
-:104E80008C60A8CCC0B119DEC428600B09CC0B0D16
-:104E9000880929811C28811A2A0A0009880C08BA65
-:104EA000380CA90A2992947E930263FF72DA60C0DB
-:104EB000BA58071E64507460026600001ADEB78C90
-:104EC000192AA0008CC06EA31A18DEB30C1C52085D
-:104ED000CC0B18DEFA2BC295C0A178B30263FF3F5A
-:104EE00063FFC9000C0C5363FF0989607899182986
-:104EF000D285C9922B729E1DDEA86EB8242DD226B3
-:104F0000991369D00C60000EDA6058070860001829
-:104F1000000088607D890A9A1A29729D9C12991551
-:104F2000CF94DA60C0B65807016551F48D148C181F
-:104F3000DBD08DD0DA600D6D51580574D3A09A1472
-:104F400064A1DD82A085A1B8AF9F190505470202C3
-:104F5000479518C05163FE602B6104C08C8931C035
-:104F6000A009F950098A386EB81F2C6066A2CC0CD3
-:104F70000C472C64667CAB119F119E1B8A1558054B
-:104F8000858E1B8F11C0A02A64669F1164F0E18991
-:104F9000138819DEF06DE9172F810300908DAEFEA6
-:104FA0000080889F9200908C008088B89900908C37
-:104FB00065514E8A10851A8B301FDE8A881229604F
-:104FC0000708580A2C82942D61040ECC0C2C869470
-:104FD0006FDB3C1CDEB4AC9C29C0800B5D50A299F9
-:104FE00009094729C48065D0DA2E600CC0D01FDEC5
-:104FF000730CE811A788228285AFEE02420B2DE4E4
-:10500000CF228685D2A0D10F8E300E0E4763FDA62B
-:10501000A29C0C0C472C64077AB6CD8B602E600ADC
-:10502000280AFF08E80C64810E18DE9D831682139F
-:10503000B33902330B2C34162D350AC02392319F1D
-:1050400030C020923308B20208E80292349832C08D
-:10505000802B600C286407D2A01CDE580CBE11A760
-:10506000EE2DE285ACBB28B4CF0D9D0B2DE685D18E
-:105070000F8B1888138D30B88C0D8F470D4950B4A5
-:10508000990499100D0D5F04DD1009FF029F800D3A
-:10509000BB029B8165508D851AB83AC0F1C0800C67
-:1050A000F83808084264806B1BDE3919DE3A29B6ED
-:1050B0007E8D18B0DD6DDA0500A08800C08CC0A020
-:1050C00063FEF3001DDE4B28600A82138B16C0E0DE
-:1050D0002EC48002B20B0D8802B2BB99239F20C060
-:1050E000D298229D2122600C0C2D11A7DD28D2859B
-:1050F00008BB0B18DE322BD685A8222E24CFD2A0D7
-:10510000D10F9E1B851A2A6C748B185BFF178E1BA0
-:1051100063FEA300C087C0900AF93879809263FFCC
-:1051200086C020D10F9E1B2A6C74C0B18D18580503
-:10513000298E1B851A63FE7E886B8213891608BE32
-:10514000110ECE0202920B9E25B4991EDE259F20E1
-:105150000E88029822C0EF04D8110E88029824C04D
-:10516000E49E21C080D2A02B600C2864071CDE13B3
-:105170000CBE11A7EE2DE285ACBB28B4CF0D9D0B64
-:105180002DE685D10F0000006C1004C020D10F0067
-:105190006C10048633C071C030600001B13300313F
-:1051A0000400741A0462017460F1D10F6C100402DF
-:1051B0002A02033B025BFFF61DDDFB1BDE43C79F9C
-:1051C00088B009A903098A019AB02BD10279801B02
-:1051D0001CDDF3C0E00EE4310002002AC2821DDEB5
-:1051E0003B0DAA022AC6820BE431D10FC1F00FBFDA
-:1051F000020F0F4F2FD5020FE431D10F6C100412A4
-:10520000DDE91ADDE6C0C00CE43100020029A2820B
-:1052100018DE311BDE2F2621020B990108660129B9
-:10522000A68226250206E43114DE2C15DE27236A29
-:10523000902326128550242611252613222C40D196
-:105240000F0000006C100816DE252B0A642C1AB41F
-:1052500017DDD51DDDD118DE220F2E110D2A11B25A
-:10526000E90EEE11A8A80E9911ADAAA799A7EE9E76
-:10527000169911ACAA9A152C80FF2A80FE288D0160
-:1052800029800108AA112880000CAA0208881109A7
-:10529000880208AA1CB88828160058085D297116CB
-:1052A0009A122916038A158B122AA0800BAA288B22
-:1052B00010580857D4708C168B1315DE0A0BAB28C8
-:1052C000235C419B142BC6282C308072C9158C148A
-:1052D0002A50C02B3A200CAA2858084DC0D10ADD0C
-:1052E000372D4540B444B255B2337639DAB2778FB0
-:1052F000118E168815B4EEB18898159E167FE9A414
-:10530000D10F00006C1004C021D10F006C1006C03A
-:10531000701ADDA21EDDAD1DDDB31CDDB51BDD9EEB
-:1053200013DDE1220A0818DD9F14DDEF28802E240A
-:1053300040002816006D2A70A349289080C0F164AF
-:1053400080598510004104C06100661A06550105A8
-:10535000F5390C56110A66082F62966EF74D0B5FF1
-:105360000A2FF22468F00812DDD102420872F93BDC
-:105370002362950C4202CB349232C0F29D309F31B1
-:105380000E8F029F33236295AB522F0A002F948019
-:105390002F24A0233C1023669513DDC2B17712DDC4
-:1053A000D2B144040442242400D10F00D10FD10F04
-:1053B0006C10041ADD792AA00058021B5BFFD3028F
-:1053C0002A02033B025BFFCF1BDD77C0C429B10279
-:1053D000C8AC0C9C020C0C4F2CB5020CE431D10F64
-:1053E0001EDD6FC08008E4310002002DE2821FDD67
-:1053F000800FDD022DE68209E431D10F6C10041517
-:10540000DD6716DD68C02002E4310002002452820C
-:10541000226102734F0602E431C020D10F18DDB4BF
-:1054200019DDB308280109490129568228650208B7
-:10543000E43113DDA9226C7023661DD10F0000003A
-:105440006C1004292006289CF96480A02A9CFD6524
-:10545000A0968A288D262F0A087AD9042B221FC8E5
-:10546000BD2C206464C0812E22090EAE0C66E0784B
-:105470002B200C1EDD4A0CBC11AECC28C28619DDD7
-:105480004878F3026000A909B90A2992A368900834
-:105490002E220009EE0C65E09729C2851FDD5264BB
-:1054A000908E9F90C0E41FDD5F9E9128200AC0E08F
-:1054B0009E930F8802989288200F880298942F203C
-:1054C000079A979D962F950A2E24072820062920B3
-:1054D0006468832F28C28512DD39288C20A2B22E61
-:1054E00024CF28C685C020D10FC020D10F2A206A22
-:1054F0000A2A4165AF55DA20C0B05805D364AFE839
-:10550000C021D10F649FCC1FDD272D20168FF209FB
-:10551000DD0C00F10400DD1AADAD9D2928C2851215
-:10552000DD27288C20A2B22E24CF28C685C020D10A
-:105530000FC021D10F0000006C1004260A001BDDF3
-:105540006D15DD1828206517DD15288CFE64809404
-:105550000C4D11ADBD2CD2F52BD2F42A51027CB1E9
-:10556000422A5102B4BB2ED2F72BD6F47BE9052B8D
-:10557000D2F62BD6F47CB92B29D2F629D6F529D62A
-:10558000F406E4310002002F7282C79F004104C07C
-:105590008100881A09880308FF012F76820AE43106
-:1055A000600000002624652BD2F48E5A2CD2F5B070
-:1055B000EE9E5A7BCB1629D2F62FD2F70CB80C09E7
-:1055C000FF0C08FF0C0F2F14C8F960002F0BCD0C37
-:1055D0000D2D14CED6C0E20EAE020E0E4F2E550289
-:1055E0000EE431D10FDB30DA205BFF951BDD426426
-:1055F000AF5D2A51020C4D11ADBD63FFA906E43128
-:105600000002002E72821FDD000FEE022E76820A4B
-:10561000E431D10F6C100416DCE115DCE2C030037C
-:10562000E43100020024628274472118DD33875A76
-:10563000084801286682CD7319DD310C2A11AA9918
-:105640002292832992847291038220CC292B5102C9
-:105650000BE431C020D10F001FDD2A2E51020FEEC6
-:10566000012E55020EE431B02DB17C9C5A225C60B3
-:1056700008DD112D5619D10F6C100A1ADCC71DDC7C
-:10568000C923A00019DD206F33791CDD07C0281560
-:10569000DD1F1EDD1D2B1C10D4B083E0005086954D
-:1056A0001000408A94186D2A4F0F35110C340924CC
-:1056B00040800A560A2862940D55092F51400F4424
-:1056C000110B440A08970C0F77368F400F7736225C
-:1056D000514107FF0C9F40A8772F62952766940FD2
-:1056E000980C0288368741078836B13308770CAFAB
-:1056F0008F2F66959741030342B13808084298E01E
-:10570000D10F00001CDD0314DD03BFC52442B564C6
-:105710003055C091C0D016DD000488432BC000C0B6
-:10572000406D393E00410400971A7780162FA295EC
-:105730008E50AFEE2EED2006EE369E502DA69560D3
-:10574000001A000077B00983509D5023A695600091
-:105750000223A295223D2006223622A695B144B40A
-:1057600055B8AA28C400D10F04884328C400D10F1B
-:105770006C100415DCEA13DCEAC04004E4310002DA
-:10578000008850CB815BFFBC1CDCE70C2D11ADCC3D
-:105790002BC2822AC28394507BAB142EC28429C2AE
-:1057A000850ABD0C0E990C0D990C09291460000591
-:1057B0000BA90C092914993015DC7B2A51020AE443
-:1057C000312A2CFC5800492B32001EDC742BBCFF04
-:1057D0002B3600CCB5C8A3D2A0D10F00D2A004E4D0
-:1057E000310002002DE2822C51022FBAFF0FDD01A1
-:1057F0002DE6820CE431D10F6C1004D10F000000B3
-:105800006C1004C020D10F006C100413DCC7C0D191
-:1058100003230923318DC0A06F34026000891BDC93
-:1058200061C7CF18DCC00C2911A988268283258284
-:105830008219DC5A7651442752002E8285255C0459
-:1058400025868275E9052582842586827659542627
-:105850008284D5602686822686830AE4310002008F
-:105860002392822FB10200210400D41A0C440304B5
-:1058700033012396820FE43160000200D7A07659ED
-:10588000220AE4310002002E928200210428B10293
-:1058900000DF1A0CFF030FEE012E968208E431D2CE
-:1058A00070D10F00D270D10FC020D10F6C1004DB6B
-:1058B00030862015DC39280A00282502DA2028B095
-:1058C000002CB00705880A28824C2D0A010B8000A5
-:1058D000DBA065AFE61ADC320A4A0A29A2A3C7BFD9
-:1058E000769101D10F2BA6A3D10F00006C1004C03C
-:1058F000D1C7CF1BDC2CC0A018DC280C2911A9882B
-:105900008785858419DC2677517986508E87B45532
-:10591000958475E9038586958477596C8F869F8574
-:105920009F840AE431000200239282B42E00E10435
-:105930002FB10200D41A0C44030433012396820FC2
-:10594000E4310AE43100020023928200D41A0C44AC
-:10595000030433012396820FE431D260D10F00009B
-:105960000AE431000200239282B42800810422B1AB
-:105970000200D41A0C440304330123968202E4315A
-:10598000D2A0D10FD6A07751D6D260D10F0000009F
-:105990006C1004270A801CDC281DDC281ADC000C93
-:1059A0002911AA992A2CFC2B92850DAA029CB19A46
-:1059B000B0C05113DC2528928516DC2114DC22A608
-:1059C0002604240AB888289685234691A76625646C
-:1059D0009FD10F006C100419DC550C2A11A9A9895C
-:1059E00090C484798B761BDC45ABAC2AC2832CC275
-:1059F000847AC1688AA02BBC30D3A064A05E0B2B34
-:105A00000A2CB2A319DC0F68C0071DDC49D30F7D37
-:105A1000C94AA929299D0129901F68913270A603BE
-:105A2000D3A0CA9E689210C7AF2AB6A32A2CFC5BEB
-:105A3000FFAFD230D10F000013DBEF03A3018C3195
-:105A40001DDBE00C8C140DCC012CB6A363FFDC0035
-:105A5000C020D10FDA205BFFCEC020D10FC020D1F3
-:105A60000F0000006C1004DB30C0D019DBCBDA2053
-:105A700028300022300708481209880A28824CDCA6
-:105A8000200B80001BDBC60C4A11ABAA29A284099B
-:105A9000290B29A684D10F006C1004C04118DBBF6C
-:105AA00017DBC10C2611A727277030A86625628650
-:105AB000007104A35500441A75414822628415DB25
-:105AC000E202320BC922882117DBBE088414074486
-:105AD00001754905C834C020D10FD10F1DDC15C098
-:105AE000B28E201FDBAD0E0E43AFEC0FEE0A2BC4BF
-:105AF000A02DE624C0202A62840809470A990B29B0
-:105B00006684D10FC020D10F6C1004DB30C0D018D8
-:105B1000DBA2DA2025300022300708580A28824C00
-:105B2000DC200B80008931709E121BDB9C0C4A111B
-:105B3000ABAA29A28409290B29A684D10F09C9522D
-:105B400068532600910418DB97C0A12F811200AA88
-:105B50001A0AFF022F85121EDB910C4D11AEDD2CAF
-:105B6000D2840C2C0B2CD684D10FC0811FDB8EB8B5
-:105B70009A0A0A4700A1042EF11200881A08EE02C0
-:105B80002EF5121DDB860C4C11ADCC2BC2840B2BD9
-:105B90000B2BC684D10F00006C1004DB30C0D01971
-:105BA000DB7EDA2028300022300709880A28824C60
-:105BB000DC200B80001CDB790C4B11ACBB2AB284BF
-:105BC0000A2A0B2AB684D10F6C1004C04118DB736B
-:105BD00016DB750C2711A626266030A872252286B2
-:105BE000006104A35500441A754108222284023240
-:105BF0000BD10F00C020D10F6C100415DBCE024971
-:105C000014295611245212C0730208430F88110040
-:105C1000810400361AC78F00771A087703074401FA
-:105C2000064402245612D10F6C1006C0B06E230237
-:105C30006000A264209D851013DBAA16DBBEC04065
-:105C4000A6BA2BA2AE0B194164905E68915568927A
-:105C50004A6893372930FF2830FE2AA2AA08881103
-:105C60000A0A4D2AACF20988027589442B3D0129A4
-:105C7000B0002BB0010899110B99027A9932B83310
-:105C80002B2A00B1447249B7600048007FBF051558
-:105C9000DBAA63FFBE253AE863FFB800253AE86354
-:105CA000FFB10000250A6463FFA9C05A63FFA40086
-:105CB00000705F082534FF058C142C34FE70AF0B88
-:105CC0000A8D142E3D012AE4012DE400DA405BFD2B
-:105CD0005D63FFA9D10FD10F6C10041ADB3219DB01
-:105CE0002F1CDB961BDB97C080C07160000D00008D
-:105CF0000022A430B1AA299C107B915F269286795C
-:105D0000C215C0206E62E96D080AB12200210400AC
-:105D1000741A764BDB63FFEE2292850D6311032527
-:105D200014645FCFD650032D436DD9039820B4225D
-:105D30000644146D4922982098219822982398248B
-:105D400098259826982798289829982A982B982C4F
-:105D5000982D982E982F222C4063FF971EDB10273A
-:105D6000E68027E681D10F006C1004C062C04112AA
-:105D7000DB0D13DB7423322D1ADB0819DB6E2AA02E
-:105D8000002992AE6EA30260009128ACFE090D407E
-:105D90002C1AC2C2BD0DCB392B25166480895BFF3E
-:105DA000A215DB691ADB142B3AE80A3A0158059868
-:105DB0002B21160ABB28D3A02B56005805AF8B50B9
-:105DC0000ABB082A0A005805AE15DB602D21022CFB
-:105DD0003AE80C3C2804DD022D25029C505805A60B
-:105DE0008B50AABBC0A15805A61CDB592D21020C63
-:105DF0003C2806DD0213DB572D25029C3058059EFA
-:105E00008B30AABBC0A258059E2A2102C0B40BAA9F
-:105E1000020A0A4F2A25025805B2D10F242423C3AF
-:105E2000CC2C251663FF760018DB4F1CDB4B19DBEF
-:105E30004C1BDB4A17DB1F85202E0AFD1FDB4B2D79
-:105E4000202E24F47A24F47E24F4820EDD0124F43E
-:105E5000862E0AF707552806DD02C0750EDD0105FE
-:105E60000506AB5BA959C0E8AC5C24C4AB0EDD02EF
-:105E700027C4AC2E0ADFA85527B4EC0EDD0124B4EC
-:105E8000EBC2E027942C0EDD0224942B2E0A800D09
-:105E90000D4627546C24546B0EDD022D242E63FE18
-:105EA000FC0000006C1004C3A0C0B35BFF53C04AE9
-:105EB00012DB21C380282616242617C3A1C0B35B9A
-:105EC000FF4EC03CC3A12A261619DAB6299020231A
-:105ED000261764908F2A0A322B0A015BFF47C3A260
-:105EE0002B0A015BFF45C3B22B2616232617C2AF30
-:105EF000C0B15BFF41C2FF2F2616C0EE2E2617C28F
-:105F0000D22D2616C0C82C26172426112A2212C7E5
-:105F1000B30BAA01C0B40BAA022A2612290AA1298E
-:105F20002616C182282617C0B32B26112E22121F37
-:105F3000DACA0FEE022E2612C3D62D26162A0AA280
-:105F4000C1C32C26175BFF2C2C0AA22C2616C1B528
-:105F50002B2617C2AB2A2616C09729261718DB0353
-:105F6000282610D10FC3A2C0B35BFF2363FF6E00CE
-:105F70006C10041CDACE1BDABB18DAFD17DAFE1639
-:105F8000DAFE15DAFEC0E0C0D414DACA1FDA8622BF
-:105F90000A082FF2006D2A36DAC0D9C07C5B020FE6
-:105FA000C90C1CDAC30C9C28A8C3A6C22A36802AB6
-:105FB0002584A4C2A7CC2D248C2B248A2B24872EA5
-:105FC000248BB1BB2E369F2C369E2C369DB1AC1C3B
-:105FD000DAA51BDAEBC0286D2A33DAC0D9C07C5BA6
-:105FE000020FC90C1CDAB30C9C28A8C3A6C22A361F
-:105FF000802B2584A4C2B1BBA7CC2D248C2E248B4E
-:106000002A248A2E369F2C369E2C369DB1ACC07920
-:1060100019DAA31BDADE13DADB1ADADB18DADD149D
-:10602000DAA416DADC04F42812DADC04660C0405BF
-:1060300006A252A858AA5AA3539B3029A500278428
-:106040008AC091C0A52A848C29848B17DAD518DAE6
-:10605000D3A75726361D26361E2E361F16DAD21324
-:10606000DAD2A65504330C2826C82E75002D54AC60
-:106070002E54AB2E54AA2326E62326E52E26E7D15E
-:106080000F0000006C100613DAAF17DAAA24723D75
-:106090002232937F2F0B6D08052832937F8F026386
-:1060A000FFF3C0C4C0B01EDA3FC061D940096939EE
-:1060B00029E4206E4401D6B0C328DFB026E42206CE
-:1060C0002F392FE421C0501FDAB919DAAA16DAAB3A
-:1060D00018DA7994102A72458DE017DAA59D111D02
-:1060E000DAB46DA94BD450255C037A5B18DE507589
-:1060F0006B052E12010E5E0C12DA6E02E2280111FF
-:1061000002AF2222D681D54013DA6A746B052512BC
-:106110000105450C035328B145A83EA932A73322F7
-:10612000369D22369E2436802B369F2BE48B2CE422
-:106130008C14DA8424424DC030041414C84C6D0809
-:1061400006B133041414C84263FFF20016DA13C414
-:1061500040C1580031041ADA13C0B193A200BB1A2F
-:10616000B0BB9BA318DA7829824D23824E28825334
-:106170007A871C2C64008C106FC4481EDA0A043D18
-:106180000C2DE51C2FE11D2DE51A2FE51BD10F006D
-:10619000C07212DA6882207E27DB03034F27640077
-:1061A0008810C0616F8430C0A00319140A54391AD2
-:1061B000D9FD04990C29A51C29A51D29A51A29A5D5
-:1061C0001BD10F001CD9F8053B0C2BC51C2DC11D84
-:1061D0002BC51A2DC51BD10F065439031E1404EE0E
-:1061E0000C2EA51C2EA51D2EA51A2EA51BD10F0009
-:1061F0006C10081AD9EC14DA4F13DA52C72FC050BA
-:1062000016DA6C2566A82566A92566AA2566AB223E
-:1062100036292B424519DA13D8101CDA66C0D49DF2
-:10622000119C100080890B990C99A02816025BFF25
-:10623000952A32E31FD9DC0A5A149AF42932E4B1C0
-:10624000990959140A990C99F52832E508581498B7
-:10625000F62E32CD0E5E142EF6075BFF455BFF1166
-:1062600022463B1CD9D02AC102C1B00BAA021BDABC
-:106270002A0A0A4F2AC5022B463A5804995BFEBAED
-:106280005BFE95C0B0861317D9C525362DC74EC005
-:10629000309414C05014D9CA60004300007F9F0F8F
-:1062A000B155091914659FF4C0500AA9027FA7EFE0
-:1062B00018D9BADA5008580A28822C2B0A000B8009
-:1062C00000005104D2A0C091C7AF00991A0A9903E7
-:1062D000291604CE33642063D3202B2007D6508C9C
-:1062E000142A72827CA85C18D9AC08580A28822C1F
-:1062F000DA500B8000D2A0643FDA8A310A8A140493
-:10630000AA01C82A2B22010B8B1404BB017AB940C5
-:10631000DDA06EA1081DD9A32DD2000DAD0CDB3080
-:10632000DC601AD9E318D99C0ADA2808680A1DDA51
-:106330001F28823CADAA0B8000652F9BD320C0B0E4
-:1063400063FF9B00CA5CB1550050040A091963FF42
-:106350004BDCB06EB1091CD9938CC0D30F0CBC0CB4
-:106360001DD9D41EDA120DCD28AEDD1EDA112DE6B0
-:106370008163FF9B7FA7CE63FF6C00006C10041B42
-:10638000D98727221EC08008E4310002002AB28289
-:1063900019D985003104C06100661A2991020A6A80
-:1063A000022AB68209E43115D9DF0C3811A8532826
-:1063B00032822432842A8CFC7841102921022A3628
-:1063C0008297A0096902292502D10F002B21022CF6
-:1063D00032850B6B022CCCFC2C368297C02B25020D
-:1063E000D10F00006C1006C0C71BD9681AD96A0DFE
-:1063F0004E11D72088208522D98005450B02820CBA
-:106400009572222CF4C8346F2E026000AB1FD96045
-:10641000A9E2AF7D72D334C93DC0212F0A00092FF4
-:10642000380F0F42C9F92AB67E6D4A050030880040
-:10643000908C22720002E20872D1749270D280D1E4
-:106440000FC05003253875C0DF63FFD9097D0CAF3D
-:10645000DD0DEE0C64304ED2300D3F1296112F162A
-:10646000002FFC100F4F36260A01250A0009653857
-:106470000505426450712AB67E6DFA050020880039
-:10648000908CC050A3D28910237C0C09440C290A9B
-:106490000103953805054264505A2AB67E6D4A05B7
-:1064A00000208800308CD280A7EABCAA9A70D10F55
-:1064B000D280BC7B9B70D10F00023F14C1D0D23080
-:1064C0000FDD0C0D4D36298D08C0F1250A0009F5A8
-:1064D00038050542CA582AB67E6DDA0500208800C4
-:1064E000908C897063FF2500C061C05003653875CA
-:1064F000C08663FF80C0D0029D387DC09F63FF9936
-:10650000C05003F53875C0D063FFCA006C1004D6C4
-:106510002068520F695324DA20DB30DC405800F049
-:10652000D2A0D10FDA20DB30DC405800ED9A2424D1
-:10653000240EC02122640FC020D10F00B83BB04C04
-:106540002A2C7489242D200E2E200FA4DDB1EE2ECE
-:10655000240FB0DD2D240E2890072D9003A488B0C1
-:1065600088B1DD2D94032894075BFF9E69511DC0FF
-:10657000E082242A600F18D9902A240329600E8F04
-:106580002029240708FF029F209E64D10FC020D13C
-:106590000F0000006C1004942319D988C0B3083A86
-:1065A000110BAA02992019D8FD9A2128929D16D87C
-:1065B000FAC0502564A2288C1828969DD10F00009F
-:1065C0006C1004282066C038232406B78828246667
-:1065D000D10F00006C1006035A0C0D36110D5C1122
-:1065E000D8208B2282210CBB0C06550F9B820232D5
-:1065F0000B928113D8E7D920A38F6450531CD8E3A2
-:10660000C0D71BD8E4A256C0E1290A0004E9380922
-:10661000094276F34A044302C99E2BC67E6DAA0541
-:1066200000208800308C8981A95909FA0C64A0796E
-:1066300099818A82C8ADD290D10FC06002E63876C7
-:10664000D0DA63FFD4C020BC89998199809282D12D
-:106650000F7F2304292DF8998165BFD963FFE500D9
-:10666000028F0CA3FF0F3312931003AA0CD340CB5D
-:106670009E2BC67E86106D6A0500208800308CBC7B
-:1066800082290A0004F308240A010349380909424F
-:10669000CA982BC67E6DAA0500208800308C0F5941
-:1066A0000CA989BC99998163FF87BC89998163FF93
-:1066B00080C06002E63876D0BA63FFB4C07002478B
-:1066C0003877D0D063FFCA006C100414D8C0C15210
-:1066D000A424C93E28221D738119292102CD932AA1
-:1066E000300075A912DA20DB302C3007C0D25801F7
-:1066F000C4653FDFD10F00002B300703BB0BDAB0BE
-:1067000074B3022ABDF8D3A063FFC6006C1004293D
-:106710002006C0706E9741292102C08F2A2014C024
-:10672000B62B240606AA022A241479800227250201
-:106730002A221E2C221D7AC10EC8ABDA20DB302C97
-:106740000A00033D025BF8226450752D21020D0DF5
-:106750004CC9D3C020D10F00002E9CFB64E0822FD7
-:1067600021020F0F4C65F0911AD88D1CD88B29A2ED
-:106770009EC08A798B5D2BC22668B0048D207BD9A0
-:106780005229A29DC0F364904A97901DD89E2E2155
-:10679000049D9608EE110FEE029E979E9127C4A2CB
-:1067A00018D89A2F21022BA29DC0E52E24062BBCBF
-:1067B0003008FF022BA69D2F2502C020D10F00001C
-:1067C000002F300068F938DA20DB30DC4058004414
-:1067D00063FF7700022A022B0A065800D4220A001F
-:1067E000D10F655010283000688924022A02033B2B
-:1067F00002DC4058003BC020D10FD270D10F000006
-:106800002A2C74033B02044C025BFEF663FF3B0040
-:10681000DB30DC402A2C745BFEF3C020D10F00007B
-:106820006C1004C83F89268829A399992609880CE9
-:10683000080848282525CC52C020D10FDB402A2C3F
-:10684000745BF949D2A0D10F6C1004D820D73082E4
-:10685000220D451105220C928264207407420B130D
-:10686000D84CD420A383732302242DF8858074513F
-:106870004CBC82C0906D081600408800708C77393F
-:1068800003D720C0918680743901D42074610263DB
-:10689000FFE2CA98C097C0411BD8CAC0A00B8B0C9E
-:1068A0000B4A380A0A42C9AA1DD8391CD83A2CD634
-:1068B0007EC140D30F6D4A0500208800308C978040
-:1068C000D270D10FBC8FC0E00F4E387E90E263FFD4
-:1068D000D6BC8292819280C0209282D10F000000AB
-:1068E0006C1006C0D71CD8291BD82B0D4911D720F6
-:1068F0002A221F28221D0A4A0BD28007860C2A76DC
-:106900001F266C80C8346F6E026000D02F0A801A78
-:10691000D82FA29EAA7A7EA33FC93FC0E1C05002F1
-:10692000E538050542CA552BC67EDB20D30F6D4ADC
-:106930000500308800B08C2E721DAE9E0EA50C6432
-:10694000508AD2802E761DC091298403D10FC05069
-:1069500003E53875D0D363FFCD15D81C027E0CA596
-:10696000EE643055DA300E351296129511255C1012
-:10697000054536C0619510C0500265380505426472
-:1069800050892BC67E8510D30F6D5A0500A0880054
-:10699000208CC0A1A3E2C05023FA8003730C03A58E
-:1069A00038AF730505426450722BC67E85110545CC
-:1069B0000C6D5A0500208800308CD280C0A10E9B3F
-:1069C0000CAB7BAFBB2B761D2A8403D10FD280C0CA
-:1069D000C1AF7D2D761D2C8403D10F0000063F141E
-:1069E000C1E0D2300FEE0C0E4E362A8D08C0F125D4
-:1069F0000A000AF538050542CA5C2BC67E6DEA0519
-:106A000000208800A08C22721D63FEFFC061C05070
-:106A100003653875D80263FF6B63FF65C05002A53C
-:106A20003875D08763FF8100C06003F63876D0CC1C
-:106A300063FFC6006C10042A201529201614D7D92C
-:106A40000A990CCB9D2E200B04ED092BD11C09BCFF
-:106A500036ACAA0CBB0C2BD51C0A0A472A2415CB32
-:106A6000A18B438F288942B0A800910400881AA8FE
-:106A7000FF0FBB029B278F260FB80C783B1AC02054
-:106A8000D10F0000292102C0A20A9902292502C0C3
-:106A900021D10F008B2763FFDC2BD11C0CAA0C0A21
-:106AA0000A472A2415ACBB2BD51CC9AE8B438C28B6
-:106AB0008F42B0AD00F10400DD1AADCC0CBB029BDF
-:106AC00027DA20B7EB580019C021D10F9F2763FFA9
-:106AD000EF0000006C100428203C64304705306053
-:106AE00000073E01053EB156076539054928C77FB5
-:106AF000A933030641076603B166060641A6337E45
-:106B0000871E222125291AFC732B1502380C0981B6
-:106B10006000063E01023EB12406423903220AD13A
-:106B20000FD230D10FC05163FFC000006C10041DA4
-:106B3000D79B27221EC08008E4310002002CD2829D
-:106B40001BD799003104C06100661A2BB1020C6C8E
-:106B5000022CD6820BE43119D81B0C3A11AA9328C7
-:106B600032829780253282243284B455253682754C
-:106B7000410A292102096902292502D10F2A21028D
-:106B80002B32830A6A022B36822A2502D10F00009B
-:106B90006C10041DD78219D78C27221EC08009775C
-:106BA0000208E4310002002CD2821BD77E0031049F
-:106BB000C06100661A2BB1020C6C022CD6820BE469
-:106BC0003119D8000C3A11AA9328328297802532C5
-:106BD00082243284B45525368275410B2A21020A5B
-:106BE0006A022A2502D10F002B21022C32830B6B63
-:106BF000022C36822B2502D10F0000006C10041BE2
-:106C0000D7670C2A11ABAA29A286B438798B221B2C
-:106C1000D76419D78B0B2B0A2BB2A309290868B0AC
-:106C20000274B90D299D0129901F6E920822A28538
-:106C3000D10FC020D10FC892C020D10FDA205BEF56
-:106C40003DC020D10F0000006C100414D75428421E
-:106C50009E19D7516F88026000B929922668900763
-:106C60008A2009AA0C65A0AB2A429DC0DC64A0A3BF
-:106C70002B200C19D74B0CBC11A4CC2EC28609B901
-:106C80000A7ED3026000992992A36890078D20099B
-:106C9000DD0C65D08B25C2856450852D2104C03064
-:106CA0006ED80D2C2066B8CC0C0C472C246665C021
-:106CB0007A1AD7521CD75B1DD7481ED74FC084986D
-:106CC000519E5089209D569D54935793559C530A2D
-:106CD00099021CD7BD1AD76499528F26995A985990
-:106CE0009E58935E9C5D935C9A5B0F0D4805DD1189
-:106CF0009D5FC0D81ED7320CB911A499289285AED9
-:106D0000BE23E4CF288C402896859F292D2406C0D9
-:106D100020D10F00CA32DA20C0B65BFF84C72FD162
-:106D20000FC939DA205BFF81C72FD10FDBD05BFEA3
-:106D3000192324662B200C63FF76C72FD10FC72F92
-:106D4000D10F00006C1004C85B29200668941C68F1
-:106D50009607C020D10FC020D10FDA20DB30DC40F5
-:106D6000DD502E0A005BFE69D2A0D10F2E200C1838
-:106D7000D70B0CEF11A8FF29F286C088798B751A02
-:106D8000D7080AEA0A2AA2A368A0048B207AB96469
-:106D900023F28564305E1CD7122A0A802D206829D0
-:106DA00020672821040B991104881109880208DD45
-:106DB00002C094284A1008DD0218D70A9931983089
-:106DC0008B2B9A379D340CBB029B32C0C09C359CE8
-:106DD000362A2C74DB40C0D318D6F929F285A8EEE8
-:106DE000299C2029F6852CE4CF2D2406DD405BFD6F
-:106DF000F9D2A0D10FDA20DBE05BFF4CC020D10F2D
-:106E00006C100AD6302A2006941028ACF86583FF4F
-:106E10002B2122270A022A2124CC572AAC010A0A54
-:106E20004F2A25247ABB026003F72C21022A200C6A
-:106E30000C0C4C65C38E2E22158D32C0F10EDD0C6C
-:106E400065D40488381ED6D56483E18F37C0C8C0A6
-:106E5000960FC9399914B49B9B110D99119913C9B7
-:106E6000FB19D6D02990217F93138B148C205BFFC4
-:106E7000631ED6CADDA064A41C8F676000298C1134
-:106E80000CAD11AEDD28D2860AAB0278CB621AD6E1
-:106E9000C40ABA0A2AA2A368A0052C22007AC95003
-:106EA0002AD285DDA064A3D729212E09F9362A200C
-:106EB0003C09F80C6F8D3ED7F0CB7F28211F08705E
-:106EC00060010B3E00043EB1BC04CB39C74F0BBB85
-:106ED0000A07BB0A0B0C4104CC03B1CC0C0C41AC2F
-:106EE000BBD4B0C0C27CA04C2A21257BAB4660003D
-:106EF0002CC0A063FFACD79063FFBD00C092C74F0A
-:106F00002C7C140C0B4104BB03B1BB0B0B41AB74C9
-:106F1000244C1479A01E2A212574AB18ACBB241A6A
-:106F2000FC0ABC0C04C16000093E01043EB14809E2
-:106F300084390B440A8926882709880C74831DC06C
-:106F40008098D88C649CD98B668A659BDB9ADA978B
-:106F5000D57F730260013ACE5E600016009D15DA9F
-:106F600020DB405BFEB48D151ED68D65A2568F6763
-:106F700063FFCB9D15DA20DB308C105BFE598D153D
-:106F80001ED687C051D6A08FA7C0C08A6897DD9A49
-:106F9000DC8869896A98DE99DF8B6A8A69AB7B77BE
-:106FA000BB022AAC019B6A9A698860C0A0088B1456
-:106FB000778701C0A1C09028203C9417951893169C
-:106FC000C050C031C044048401043938089910C04D
-:106FD00042048401043538083840832B0BA4100781
-:106FE00055102A211F0955020544020B19400A2A8F
-:106FF000140799100585100433020A881114D6F37A
-:10700000095502292104043302089911098802C094
-:107010009209880229212593D00929140499110A7B
-:1070200099020955028A20891408AA110A99021A9C
-:10703000D66F14D6E70A990299D1832A95D698D7A4
-:10704000851804330293D4841783168A658D66AA43
-:10705000CAAD7D77DB01B1AA07FF0C9A659D6688F2
-:10706000268C29A48808CC0C98260C0C482C2525A5
-:107070009F672A200CC0C01BD6510CA911AE99AB3A
-:10708000AB2892852CB4CF8B13AB8828968563FDF3
-:10709000CD00C091C0F0C0B2C0C4886023203C982D
-:1070A000120C3C010B3A010888140B88010A9F3826
-:1070B00007FF10089839C0A00C9A3807881008AA52
-:1070C000100AFF02C0A80A33010393392A210429B8
-:1070D0002125053C1008CC020A331108AA11092900
-:1070E0001403AA020499110BAA022B211F83140B6B
-:1070F0002B140B99020C99028B201CD63C08BB1157
-:1071000003BB020CBB02832A8C2B647084886897B3
-:10711000DD98DC8769886A97DE98DF8812C070770F
-:107120008701C0719BD199D60B78109AD717D6A931
-:1071300008F80208C80207880217D6A598D00737B2
-:107140000297D428200C295CFE2B2124C0F01AD6EB
-:107150001B0C8D11AEDD2CD285AA882F84CF8F1306
-:10716000B0BBAFCC2CD6852A22152B2524B1AA2A58
-:1071700026156490DCC84F8C268B29A4CC9C260C49
-:10718000BB0C0B0B482B25256550E8C020D10F0008
-:107190000000C0709BD199D69AD7881293D4778774
-:1071A0000E18D600921A288022C021082738821A89
-:1071B00018D68A0B731003F30203C3020833029339
-:1071C000D063FF7E00CC57DA20DB608C105BFDC4FF
-:1071D000292102689806689403C020D10F2B221E33
-:1071E000C09028221D2925027B8901C0B064BFE818
-:1071F00013D5EA2CB00728B000DA2003880A2882C9
-:107200004CC0D10B8000DBA065AFE763FFCA000074
-:1072100068A775DA20DB30DC40DD505BFECAD2A007
-:10722000D10FC1FDC19D29252C600003002F252C05
-:107230002F2467272468DA20DB308C10DD502E0ADB
-:10724000805BFD32D2A0D10FC1F8C1A82A252C63E2
-:10725000FFDDC84F8C268B29A4CC9C260CBB0C0BC5
-:107260000B482B25252A2C74DB602C12005BFD7645
-:10727000D2A0D10F2A2C748B105BF6BBD2A0D10FF9
-:10728000DA205BFE2A63FF3C00DA20C0B15BFE6EB1
-:1072900065AF3163FB79DA202B200C5BFE3D63FF89
-:1072A0002300000012D64E8220028257C82163FFBD
-:1072B000FC12D64A03E83004EE3005B13093209436
-:1072C00021952263FFFC000010D6469100920193A5
-:1072D00002940311D61D821001EA30A21101F0318F
-:1072E000C04004E41600020011D63F8210234A0079
-:1072F000032202921011D609C021921004E43184B5
-:107300000383028201810000D230012300000000CB
-:1073100010D636910092019302940311D60C82107C
-:1073200001EA30A21101F131C04004E4160002006C
-:1073300011D62D821013D5B4032202921004E43129
-:10734000840383028201810000D3300133000000F6
-:1073500010D6279100810165104981026510448192
-:1073600003CF1F92019302940311D5FA821001EA10
-:1073700030A21101F231C04004E41600020011D61F
-:1073800019821013D59B032202921004E431840366
-:1073900083028201C010910391029101810000D407
-:1073A0003001430012D5CAC030283740283744285E
-:1073B000374828374C233D017233ED03020063FF49
-:1073C000FC00000010D60B9100920193029403116F
-:1073D000D6098210921011D5BC831003220292109C
-:1073E00011D60612D5CD9210C04004E4160002005A
-:1073F00011D5FD821013D5B5032202921004E43199
-:10740000840383028201810000D530015300000013
-:107410006C10026E322FD620056F04043F04745B9B
-:107420002A05440C00410400331A220A006D490D5C
-:1074300073630403660CB1220F22110313147363E8
-:1074400002222C01D10FC83BD10F000073630CC086
-:1074500021D10F000000000044495630C020D10F58
-:107460006C10020040046B4C07032318020219D170
-:107470000F020319C020D10F6C100202EA30D10FA5
-:107480006C1002CC2503F03160000F006F22050361
-:10749000F1316000056F230503F231000200D10FC6
-:1074A0006C1002CC2502F030D10F00006F220402D4
-:1074B000F130D10F6F230402F230D10FC020D10F71
-:1074C0006C1002220A20230A006D280E283740285B
-:1074D000374428374828374C233D01030200D10F99
-:1074E0006C100202E431D10F0A004368656C7369C5
-:1074F0006F2046572044454255473D30202842756D
-:10750000696C7420547565204175672031322030D4
-:10751000393A34333A303420504454203230303801
-:10752000206F6E2066656C69782E6173696364658F
-:107530007369676E6572732E636F6D3A2F686F6D36
-:10754000652F66656C69782F772F66775F362E30EA
-:10755000292C2056657273696F6E20543378782019
-:107560003030372E30302E3030202D203130303733
-:0C7570003030303010070000CC44A0D6B2
-:00000001FF
diff --git a/firmware/cxgb3/t3fw-7.1.0.bin.ihex b/firmware/cxgb3/t3fw-7.1.0.bin.ihex
new file mode 100644
index 0000000..1042f75
--- /dev/null
+++ b/firmware/cxgb3/t3fw-7.1.0.bin.ihex
@@ -0,0 +1,1885 @@
+:1000000060007400200380002003700000001000D6
+:1000100000002000E100028400070000E1000288E7
+:1000200000010000E0000000E00000A0010000006E
+:1000300044444440E3000183200200002001E0002A
+:100040002001FF101FFFD0001FFFC000E300043C91
+:1000500002000000200069881FFFC290200069D0C4
+:100060001FFFC29420006A101FFFC29820006A84FC
+:100070001FFFC29C200003C0C00000E43100EA3131
+:1000800000A13100A03103020002ED306E2A05000C
+:10009000ED3100020002160012FFDBC03014FFDA5F
+:1000A000D30FD30FD30F03431F244C107249F0D347
+:1000B0000FD30FD30F12FFD5230A00240A00D30F4A
+:1000C000D30FD30F03431F244C107249F0D30FD327
+:1000D0000FD30F14FFCE03421F14FFCB03421F1296
+:1000E000FFCCC0302D37302D37342D37382D373CED
+:1000F000233D017233ED00020012FFC4C0302F37E0
+:10010000002F37102F37202F3730233D017233ED6A
+:1001100000020012FFBEC0302737002737102737F4
+:1001200020273730233D017233ED03020012FFB95F
+:1001300013FFBA0C0200932012FFB913FFB90C028F
+:1001400000932012FFB8C0319320822012FFB71312
+:10015000FFB7932012FFB715FFB316FFB6C030D715
+:100160002005660160001B00000000000000000088
+:10017000043605000200D30FD30F05330C6E3B1479
+:100180000747140704437631E604360505330C6F40
+:100190003BED00020012FFA615FFA3230A00D720A3
+:1001A000070443043E0505330C0747146F3BF00377
+:1001B000020012FFA1C03014FFA1D30FD30FD30F41
+:1001C0009340B4447249F2D30FD30FD30F14FF9B63
+:1001D000834014FF9B834012FF9B230A0014FF9A65
+:1001E000D30FD30FD30F9340B4447249F2D30FD33C
+:1001F0000FD30F14FF95834012FF95C92F832084DE
+:10020000218522BC22743B0F8650B4559630B433FE
+:100210007433F463FFE60000653FE1655FDE12FFC3
+:100220007C230A0028374028374428374828374C91
+:10023000233D017233ED03020000020012FF7AC079
+:1002400032032E0503020012FF7813FF819320C0B2
+:1002500011014931004831010200C00014FF7E0441
+:10026000D23115FF7D945014FF7D04D33115FF7CEE
+:10027000945014FF7C04D43115FF7C24560014FFE5
+:100280007B04D53115FF7B24560010FF7A03000054
+:10029000000000000000000000000000000000005E
+:1002A000000000000000000000000000000000004E
+:1002B000000000000000000000000000000000003E
+:1002C000000000000000000000000000000000002E
+:1002D000000000000000000000000000000000001E
+:1002E000000000000000000000000000000000000E
+:1002F00000000000000000000000000000000000FE
+:1003000000000000000000000000000000000000ED
+:1003100000000000000000000000000000000000DD
+:1003200000000000000000000000000000000000CD
+:1003300000000000000000000000000000000000BD
+:1003400000000000000000000000000000000000AD
+:10035000000000000000000000000000000000009D
+:10036000000000000000000000000000000000008D
+:10037000000000000000000000000000000000007D
+:10038000000000000000000000000000000000006D
+:10039000000000000000000000000000000000005D
+:1003A000000000000000000000000000000000004D
+:1003B000000000000000000000000000000000003D
+:1003C000000000000000000000000000000000002D
+:1003D000000000000000000000000000000000001D
+:1003E000000000000000000000000000000000000D
+:1003F00000000000000000000000000000000000FD
+:1004000000000000000000000000000000000000EC
+:1004100000000000000000000000000000000000DC
+:1004200063FFFC000000000000000000000000006E
+:100430000000000000000000000000001FFC0000A1
+:100440001FFC0000E30005C81FFC00001FFC0000AB
+:10045000E30005C81FFC00001FFC0000E30005C806
+:100460001FFFC0001FFFC000E30005C81FFFC00042
+:100470001FFFC018E30005C81FFFC0181FFFC018EA
+:10048000E30005E01FFFC0181FFFC28CE30005E07A
+:100490001FFFC28C1FFFC28CE30008541FFFC290D5
+:1004A0001FFFC58CE3000854200000002000016AF3
+:1004B000E3000B502000018020000180E3000CBC11
+:1004C0002000020020000203E3000CBC2000021CFC
+:1004D00020000220E3000CC02000022020000226A1
+:1004E000E3000CC42000023C20000240E3000CCCDE
+:1004F0002000024020000249E3000CD02000024C02
+:1005000020000250E3000CDC2000025020000259C1
+:10051000E3000CE02000025C20000260E3000CEC31
+:100520002000026020000269E3000CF02000026C51
+:1005300020000270E3000CFC200002702000027911
+:10054000E3000D002000028C2000028CE3000D0C63
+:100550002000029020000293E3000D0C200002AC6A
+:10056000200002B0E3000D10200002D0200002F2B3
+:10057000E3000D14200003B0200003B0E3000D38A9
+:10058000200003B0200003B0E3000D38200003B0CA
+:10059000200003B0E3000D38200003B0200003B0BA
+:1005A000E3000D38200003B020006BA8E3000D38F5
+:1005B00020006BA820006BA8E3007530000000004D
+:1005C00000000000000000001FFC00001FFC0000F5
+:1005D0001FFFC5901FFFC67020006BA820006BA8EE
+:1005E000DEFFFE000000080CDEADBEEF1FFFC2A064
+:1005F0001FFCFE001FFFC0941FFFC5C0300000009D
+:10060000003FFFFF8040000010000000080FFFFFC8
+:100610001FFFC26D000FFFFF804FFFFF8000000033
+:1006200000000880B000000560500000600000007D
+:1006300040000011350000004100000010000001E2
+:1006400020000000000010007FFFFFFF40000000BE
+:1006500005000000800000190400000000000800F0
+:1006600010000005806000007000000020000009FC
+:10067000001FF8008000001EA0000000F80000002D
+:1006800007FFFFFF080000001800000001008001C4
+:10069000420000001FFFC21D1FFFC0DC00010080E0
+:1006A000604000001A0000000C0000000000300054
+:1006B000600008008000001C000100008000001A9B
+:1006C00080000018FC0000008000000100004000D5
+:1006D000030000008000040050000003FFFFBFFF84
+:1006E0001FFFC3D400000FFFFFFFF000000016D073
+:1006F0000000FFF7A50000001FFFC4B01FFFC4618A
+:100700000001000800000B20202FFF801FFFC455B0
+:1007100000002C00FFFEFFF800FFFFFF1FFFC57861
+:1007200000002000FFFFDFFF0000FFEF01001100CD
+:100730001FFFC3D21FFFC590FFFFEFFF0000FFFBAD
+:100740001FFFC6301FFFBEA0FFFFF7FF1FFFC064E3
+:100750000000FFFD1FFFC6200001FBD01FFFC5B03A
+:100760001FFFC6601FFFC591E0FFFE001FFFC5A071
+:10077000000080001FFFC53C1FFFC5B41FFFC068FD
+:100780001FFFC4D01FFCFFD800010081E10006005C
+:10079000000027101FFCFE301FFCFE70E10002006D
+:1007A0001FFFC5381FFFC5500003D0901FFFC56451
+:1007B0002B5063802B5079802B5090802B50A6803B
+:1007C0001FFFC4690100110F202FFE0020300080A0
+:1007D000202FFF000000FFFF0001FFF82B50B200A8
+:1007E0002B50B208000100102B50B1802B50B2806A
+:1007F0002B50BA00000100112B50BD282B50BC809B
+:100800002B50BDA020300000DFFFFE005000000292
+:1008100000C0000002000000FFFFF7F41FFFC06CE3
+:10082000000FF80004400000001000000C40000021
+:100830001C400000E00000A01FFFC5401FFD000895
+:100840001FFFC5541FFFC5681FFFC57CE100069050
+:10085000E10006EC000000000000000000000000C5
+:100860000000000001000000000000000000000087
+:100870000000000020100040201000402010004028
+:1008800020140080200C0000200C0000200C000030
+:1008900020100040201400802014008020140080CC
+:1008A000201800C0201C0100201C0100201C010099
+:1008B00020200140201800C0201800C0201800C0CF
+:1008C000201C0100201800C0201800C0201800C003
+:1008D000201C010020200140202001402020014058
+:1008E00020200940202009402020094020200940E4
+:1008F00020240980FFFFFFFFFFFFFFFFFFFFFFFF37
+:1009000000000000000000000000000000000000E7
+:1009100000000000200052FC200051CC200052FCBE
+:10092000200052FC200051082000510820005108EE
+:1009300020004F4820004F4820004F4020004EAC80
+:1009400020004D5420004B342000490800000000D6
+:1009500000000000200052CC200051982000523CA2
+:100960002000523C20004FF020004FF020004FF0BC
+:1009700020004FF020004FF020004F3820004FF0B3
+:1009800020004C7420004AE4200048B4000000001D
+:100990000000000020000BE0200038BC200004C054
+:1009A000200044A820000BD820003FB4200003F012
+:1009B000200044682000489020003CC420003BE018
+:1009C00020003838200036C42000343420002F9412
+:1009D00020003A3C20002BF4200028282000653419
+:1009E000200023B4200020942000204020001D2C53
+:1009F000200018402000157020000DEC20000C2471
+:100A00002000113420001320200041AC20003C784D
+:100A100020000BE8200004C00000000000000000DF
+:100A200000000000000000000000000000000000C6
+:100A300000000000000000000000000000000000B6
+:100A400000000000000000000000000000000000A6
+:100A50000000000000000000000000000000000096
+:100A60000000000000000000000000000000000086
+:100A70000000000000000000000000000000000076
+:100A80000000000000000000000000000000000066
+:100A900000000000000000003264000000000000C0
+:100AA0003264000064006400640064006400640058
+:100AB000640064000000000000000000000000006E
+:100AC0000000000000000000000000000000000026
+:100AD0000000000000000000000000000000000016
+:100AE0000000000000000000000000000000000006
+:100AF00000000000000000000000000000001000E6
+:100B000000000000000000000000000000000000E5
+:100B100000000000000010000000000000000000C5
+:100B200000000000000000000043238000000000DF
+:100B300000000000000000000000000000000000B5
+:100B400000000000000000000000000000000000A5
+:100B5000005C94015D94025E94035F940043000086
+:100B60000000000000000000000000000000000085
+:100B70000000000000000000000000000000000075
+:100B80000000000000000000000000000000000065
+:100B9000005C90015D90025E90035F900053000046
+:100BA0000000000000000000000000000000000045
+:100BB0000000000000000000000000000000000035
+:100BC0000000000000000000000000000000000025
+:100BD000009C94001D90019D94029E94039F940498
+:100BE0000894050994060A94070B9400430000003A
+:100BF00000000000000000000000000000000000F5
+:100C000000000000000000000000000000000000E4
+:100C1000009C90019D90029E90071D90039F900460
+:100C20007890057990067A90077B90005300000039
+:100C300000000000000000000000000000000000B4
+:100C400000000000000000000000000000000000A4
+:100C500000DC94001D9001DD9402DE9403DF940417
+:100C60000494050594060694070794080894090956
+:100C7000940A0A940B0B940043000000000000004B
+:100C80000000000000000000000000000000000064
+:100C900000DC9001DD9002DE900B1D9003DF9004DC
+:100CA000B49005B59006B69007B79008B89009B90A
+:100CB000900ABA900BBB90005300000063FFFC0049
+:100CC0002000696410FFFF0A00000000200069880E
+:100CD00000D23110FFFE0A0000000000200069D0A1
+:100CE00000D33110FFFE0A000000000020006A104F
+:100CF00000D43110FFFE0A000000000020006A84CA
+:100D000000D53110FFFE0A000000000063FFFC0068
+:100D1000E00000A012FFF78220028257C82163FF83
+:100D2000FC12FFF303E83004EE3005C0309320944A
+:100D300021952263FFFC00001FFFD000000400206B
+:100D40001FFFC5901FFFC670200A0011FFFB13FF95
+:100D5000FB03E63101020016FFFA17FFFAD30F7703
+:100D60006B069060B4667763F85415505419E60F1B
+:100D7000140063FFF90000006C1004C020D10F00C4
+:100D80006C1004C0C71AEF06D830BC2BD720857270
+:100D90000D4211837105450B957202330C237601C8
+:100DA0007B3B04233D089371A32D12EEFE19EEFE4A
+:100DB000A2767D632C2E0A00088202280A01038E87
+:100DC000380E0E42C8EE29A67E6D4A050020880026
+:100DD000308C8271D10FC0F0028F387FC0EA63FF80
+:100DE000E400C0F1C050037E0CA2EE0E3D1208825A
+:100DF0000203F538050542CB5729A67E2FDC100FDC
+:100E00004F366DFA0500208800308CBC75C0300864
+:100E1000E208280A01058338030342C93E29A67E59
+:100E20000D480CD30F6D8A0500208800B08C8271AC
+:100E3000D10FC05008F53875C0C163FFBBC0600258
+:100E4000863876C0DA63FFD46C101216EED8C1F87B
+:100E5000C1E72B221E2C221DC0D07BC12F292006CA
+:100E6000D7B0299CFACC57282070288CFF282470F2
+:100E700064915C2AB0000EA80C6481670FA90C6411
+:100E800092B3C1E97EA13969AC2F600036292006F2
+:100E9000D7D0299CFACC57282070288CFF282470A2
+:100EA0006491352AD0000EA80C6481640FA90C64EB
+:100EB000931BC1E97EA10968AC09C020D10F0000D5
+:100EC000002D25028A32C0900A6F5065F5AD2924A5
+:100ED000670908476585A92F200C18EEB50CFE118F
+:100EE000A8EE28E286B44978930260057A19EEB13B
+:100EF00009F90A2992A3689007882009880C65855A
+:100F00006627E28564756065558E7BC104D9B06043
+:100F10000001C0908B941CEEA80B88148CC40B0BA2
+:100F200047A8CC18EEA609BB1008CC029C7018EE9E
+:100F3000A41CEEA508A8010B88020C4C021BEEA114
+:100F40009C710B880298722C90232B902204C8105D
+:100F500006BB100C4C1208BB0228902107CC100CC9
+:100F600088100C88020B88021CEE998B330CBB0195
+:100F70008C340B880298739C999C748B958C399B4C
+:100F80007588968B38987688979C799B7898771C8B
+:100F9000EE9028E2850CFC082DC4CF08480B28E60B
+:100FA0008565550B2B221E2D221D7BD9022B0A0095
+:100FB00064BF062CB00728B000DA2006880A288211
+:100FC0004CC0D10B8000DBA065AFE763FEEB0000F7
+:100FD000292070659E9C6004E42A207065AEC36081
+:100FE00004DB00002EB0032C2067D4E065C1058A25
+:100FF000328C330AFF500C4554BC5564F4E619EEAC
+:1010000075882A09A90109880C64821BC0926000B6
+:10101000DD2ED0032A2067D4E065A0D88A328B3336
+:101020000AFC500B4554BC5564C4B919EE6A882AB1
+:1010300009A9017989D50BEA5064A4DD0CEE11C031
+:10104000F02F16132E16168AE78CE82A16128EE950
+:10105000DFC0AAEA7EAB01B1CF0BA85065834288FE
+:1010600037DBC0AE89991E789B022BCC012B161B57
+:1010700029120E2B0A0029161A7FC3077FC9027E88
+:10108000AB01C0B165B4988B352F0A002A0A007AEB
+:10109000C30564C3C72F0A0165F4842B12162B16EF
+:1010A00019005104C0C100CC1A2CCCFF2C16170C0F
+:1010B000FC132C16182B121A2A121BDC505818FA83
+:1010C000C0D0C0902E5CF42C12172812182F121BBF
+:1010D0002A121A08FF010CAA018834074C0AAB8BAC
+:1010E0002812192BC6162F86082A86092E74102955
+:1010F00024672E70038975B1EA2A7403B0990949EF
+:101100000C659DB52B20672D250265B3F42B221E9F
+:101110002C221D7BC901C0B064BD9E2CB00728B035
+:1011200000DA2006880A28824CC0D10B8000DBA0A0
+:1011300065AFE763FD8389BAB19965909788341CE0
+:10114000EE2698BA8F331EEE1F0F4F542FB42C8DFE
+:101150002A8A320EDD020CAC017DC9660A49516F44
+:1011600092608A3375A65B2CB0130AED510DCD0148
+:101170000D0D410C0C417DC9492EB012B0EE65E356
+:10118000C2C0D08E378CB88A368FB97CA3077AC993
+:10119000027EFB01C0D1CED988350AAD020E8E0881
+:1011A00078EB022DAC0189B7DAC0AF9B79BB01B1F6
+:1011B000CADCB0C0B07DA3077AD9027CEB01C0B114
+:1011C00064B15DC091292467C020D10F00008ADA84
+:1011D000B1AA64A0BC2E20672D250265E30B1FED8C
+:1011E000F98A3218EDFE0FAF0108FF0C65F2860A8E
+:1011F00048516F820260027DC090292467090A4726
+:1012000065A2F27BC901C0B064BCAE2CB00728B0A7
+:1012100000DA2006880A28824CC0D10B8000DBA0AF
+:1012200065AFE763FC9300000CE9506492EB0CEFB0
+:1012300011C080281611AFBF2F16198EF88BF7DA60
+:10124000E08FF92B1610ABFB7FBB01B1EA0CA85065
+:101250006580D68837DCE0AF89991C789B022CEC3E
+:10126000012C161B29120C2C0A0029161A7AE307E6
+:101270007AE9027FBB01C0C165C2A48B352C0A008C
+:101280002A0A007AE30564E1CA2C0A0164CE1160DF
+:10129000028D88341BEDD198DA8F331EEDCA0F4FC3
+:1012A000542FD42C8C2A8A320ECC020BAB010CBBEF
+:1012B0000C65BF0E0A49516E920263FF058A330A1C
+:1012C000AB5064BEFD2CD0130AEE510ECE010E0EB3
+:1012D000410C0C410ECC0C65CEE82FD012B0FF654E
+:1012E000F26EC0B08E378CD88A362FD2097CA30715
+:1012F0007AC9027EFB01C0B165BEC78835DBA0AEEE
+:101300008E78EB01B1AB89D7DAC0AF9D79DB01B143
+:10131000CAC0C07BA3077AB9027DEB01C0C165CE0C
+:10132000A1C090292467C020D10F88378C3698142B
+:101330000CE90C29161408F80C981D78FB072812E4
+:1013400014B088281614891D9F159B16C0F02B1207
+:101350001429161A2B161B8B147AE30B7AE90688CC
+:10136000158E1678EB01C0F165F1B929121A2F120A
+:10137000118A352E121B9A1AAFEE2F1210C0A0AF91
+:101380009F79FB01B1EE9F11881AC0F098107AE3A3
+:101390000A7EA9052A12017A8B01C0F164F08160EE
+:1013A000018289368B3799170BE80C981F09C90CF5
+:1013B00029161578EB07281215B088281615D9C0FC
+:1013C0009A199E188A1F2E12152A161A2E161BDA23
+:1013D000C0C0E08C177F930B7FA90688188F1978FF
+:1013E000FB01C0E165E13D29121A2F12138A352E47
+:1013F000121B9A1BAFEE2F1212C0A0AF9F79FB01F8
+:10140000B1EE9F13881BC0F098127AE30A7EA905FB
+:101410002A12037A8B01C0F165F1092E12162E16DD
+:10142000192A121B005104C0E100EE1AB0EE2E166C
+:10143000170EFF132F16180FCC01ACAA2F121A0E7D
+:10144000BC01ACFC7FCB01B1AA2A161B2C161A6377
+:10145000FC6200007FB30263FE3163FE2B7EB302A9
+:1014600063FC3463FC2E00006450C0DA20DBF058CB
+:1014700015DEC020D10FC09163FD7E00C09163FADC
+:101480004CDA20DB70C0D12E0A80C09A2924682C47
+:1014900070075814CED2A0D10F034C0B18ED51DBBE
+:1014A000C0A82878C3022BCDF8D9B063FA65000034
+:1014B0002A2C74DB40580E5063FAE80000002D25FA
+:1014C000027BC901C0B064B0172CB00728B000DAA5
+:1014D0002006880A28824CC0D10B8000DBA065AFB3
+:1014E000E7C020D10FC09163FC04022A02580250C9
+:1014F0000AA202060000022A0258024D0AA20206AF
+:101500000000DB70DA20C0D12E0A80C09E2924683A
+:101510002C70075814AEC020D10FC09463FBCF00CD
+:10152000C09663FBC9C09663FBC400002A2C74DB21
+:1015300030DC405BFE13DBA0C2A02AB4002F200CDD
+:1015400063FF27008D358CB77DCB0263FDD263FC32
+:10155000718F358ED77FEB0263FDC563FC6400009D
+:101560006C1004C020D10F006C1004C020D10F00FB
+:101570006C10042B221E28221DC0A0C09429240612
+:101580002A25027B8901DBA0C9B913ED08DA2028DE
+:10159000B0002CB00703880A28824CC0D10B800011
+:1015A000DBA065AFE7C020D10F0000006C1004295C
+:1015B00020062A2102689805289CF965811A0A0AE2
+:1015C0004C65A0F016ECFB2B629E1AECF86FB8028B
+:1015D0006000F12AA22668A0078B200ABB0C65B028
+:1015E000E32A629D64A0DD2B200C0CBC11A6CC2D3F
+:1015F000C2866FD9026000D71DECEF0DBD0A2DD257
+:10160000A368D0078E200DEE0C65E0C327C285C00D
+:10161000E06470BB1DECF468434D1CECF38A2B0CAA
+:10162000AA029A7089200899110D99029971882A45
+:1016300098748F329F75282104088811987718ECC8
+:10164000E40CBF11A6FF2DF285A8B82E84CF2DDCA7
+:10165000282DF685C85A2A2C74DB40580DE7D2A0F5
+:10166000D10FC020D10F00002C9CF964C08D2C201C
+:10167000668931B1CC0C0C472C24666FC669709E0C
+:101680006618ECDA89308F2B0989400B991009FF15
+:101690000208FF029F708C2008CC110DCC029C71B7
+:1016A0008A339A7389329972882A98748F349F7515
+:1016B00063FF820000CC57DA20DB30DC405814B8DE
+:1016C000C020D10F00DA20C0B658154763FFE500EF
+:1016D000DA2058154563FFDC00DA20DB30DC40DD22
+:1016E000505815C7D2A0D10F2B21045813DA1DEC86
+:1016F000BD2B200CC0E02E246663FF842F2123C065
+:10170000C87FC30263FF792C20662B2104B1CC0C67
+:101710000C472C24665813CF1DECB32B200CC0E0D3
+:101720002E246663FF5A00006C1004C0B7C0A116D7
+:10173000ECB015ECA2D720D840B822C04005350245
+:101740009671957002A438040442C94B1AEC95199D
+:10175000EC9629A67EC140D30F6D4A050080880013
+:10176000208C220A88A272D10FC05008A53875B00B
+:10177000E363FFD76C100893149412292006655276
+:1017800088C0716898052A9CF965A29816EC892989
+:1017900021028A1409094C6590C78AA00A6A512A55
+:1017A000ACFD65A0BCCC5FDB30DA208C1258147C19
+:1017B000C0519A14C7BF9BA98E142EE20968E0603D
+:1017C0002F629E1DEC7A6FF8026000812DD2266890
+:1017D000D0052F22007DF9752C629DC79064C06DE5
+:1017E0009C118A142B200C2AA0200CBD11A6DD0A06
+:1017F0004F14BFA809880129D286AF88288C09799F
+:101800008B551FEC6C0FBF0A2FF2A368F00528223E
+:10181000007F894329D285D49065907760003D0090
+:10182000002B200C1FEC640CBD11A6DD29D2860F05
+:10183000BF0A6E96102FF2A368F00488207F8905F6
+:1018400029D285659165DA205814E7600013DA2003
+:10185000C0B65814E5600009C09063FFB9DA20589B
+:1018600014E28914899109FE506551E48C128D149B
+:10187000DA20DBD08DD09E100D6D515813549A1480
+:1018800064A208C75F8FA195A9C0510F0F479F128F
+:1018900063FEFB00C091C0F12820062C2066288C36
+:1018A000F9A7CC0C0C472C24666FC6088D148DD17B
+:1018B00070DE01C090DD90648159C9D32A12012BDA
+:1018C00021045813648A14C0B02B24668EA92AA060
+:1018D000200E28141CEC438D1415EC37C1700A77C8
+:1018E0003685562DDC28AC2C9C13DED0A8557CD335
+:1018F000022EDDF8D3E0DA40055B02DC305BFF8AC4
+:10190000D4A028200CB455C0D02B0A882F0A800CF4
+:101910008C11A6CC29C285AF3FAB9929C6851CEC9A
+:101920002CDEF0AC882D84CF28120329120478F322
+:10193000022EFDF8289020D3E007880CC17008081B
+:1019400047289420087736657FAB891413EC2A89E1
+:1019500090C0F47797491BEC28C1CA28210485144C
+:10196000099E4006EE11875304881185520E8802A5
+:101970000C88029BA09FA18F2B9DA598A497A7954B
+:10198000A603FF029FA22C200C1EEC11AECE0CCCA5
+:101990001106CC082BC2852DE4CF2BBC202BC6858D
+:1019A0002A2C748B12580D14D2A0D10F28203DC0C0
+:1019B000E07C877F2E24670E0A4765A07B1AEC0F18
+:1019C00088201EEBFD8F148EE48FF40888110A889E
+:1019D000020F8F14AFEE1FEC0A98910FEE029E904B
+:1019E0001EEC09C0801AEBFA2CD285AABAB8CC2812
+:1019F000A4CF2CD6852C21022F20700ECC02B1FF53
+:101A00002F24702C2502C020D10F87148770070760
+:101A10004763FD6E282123C099798B0263FE9ADD0E
+:101A2000F063FE9500DA20DB308C12DD505814F4A0
+:101A3000D2A0D10FC0E163FF7A8B148C12DD50C0AD
+:101A4000AA2E0A802A2468DA20581360D2A0D10F67
+:101A5000007096552B629E6EB8531DEBD42DD22686
+:101A600068D0048E207DE9452A629DCBAF2B2104EE
+:101A70002C20665812F8C090292466821418EBE2D4
+:101A80008F2108FF019F21C020D10F008B10C9B802
+:101A90008CA00C6C51CCCC8E241FEBD08DE19E140D
+:101AA0000FDD029DE18810658FA9C020D10FDA20DB
+:101AB000C0B658144DC020D10F0000006C1006298C
+:101AC0002102C0D07597102A32047FA70A8B357F78
+:101AD000BF052D25020DD902090C4C65C18216EBFC
+:101AE000B41EEBB228629EC0FA78F3026001882926
+:101AF000E2266890078A2009AA0C65A17A2A629DCD
+:101B0000DFA064A1772B200C0CBC11A6CC29C286C7
+:101B1000C08C79830260015719EBA709B90A299291
+:101B2000A3689007882009880C65814327C2851C1B
+:101B3000EBA964713A8931098B140CBB016FB11D9B
+:101B40002C20669F10B1CC0C0C472C24666EC6026C
+:101B500060014009FF5065F13A8A102AAC188934B7
+:101B6000C0C47F973C18EBAA1BEBA98F359C719BD7
+:101B7000708B209D7408BB029B72C08298751BEB12
+:101B8000A50F08409B730F881198777FF70B2F21C3
+:101B900002284A0008FF022F2502C0B4600004009A
+:101BA0000000C0B07E97048F362F25227D970488D1
+:101BB000372825217C9736C0F1C0900AF9382F3C90
+:101BC0002009094264908619EB7618EB7728967EF7
+:101BD00000F08800A08C00F08800A08C00F0880045
+:101BE000A08C2A629D2DE4A22AAC182A669D893019
+:101BF0007797388F338A3218EB8007BE0B2C21047D
+:101C0000B4BB04CC1198E0C08498E1882B9DE59A80
+:101C1000E69FE71AEB78099F4006FF110FCC020AF6
+:101C2000880298E2C1FC0FCC022CE604C9B82C2033
+:101C30000C1EEB670CCA11AECC06AA0829A2852D92
+:101C4000C4CF09B90B29A685CF5CC020D10FC081B4
+:101C5000C0900F8938C08779880263FF7263FF667E
+:101C600000CC57DA20DB30DC4058134DC020D10FB8
+:101C7000DA205813DD63FFE8C0A063FE82DA20C0DB
+:101C8000B65813D963FFD900DB402A2C74580C5A7C
+:101C9000D2A0D10F8A102B210458126E1EEB44C023
+:101CA000D02D246663FEB1006C1006D62019EB3FE0
+:101CB0001EEB4128610217EB3E08084C65805F8AE5
+:101CC000300A6A5169A3572B729E6EB83F2A92263A
+:101CD00068A0048C607AC9342A729D2C4CFECAAB71
+:101CE0002B600CB64F0CBD11A7DD28D2860EBE0AA4
+:101CF00078FB269C112EE2A32C160068E0052F62CB
+:101D0000007EF91522D285CF2560000D00DA60C073
+:101D1000B65813B5C85A60010F00DA605813B2659F
+:101D20005106DC40DB308D30DA600D6D51581227E2
+:101D3000D3A064A0F384A1C05104044763FF6D00E5
+:101D4000C0B02C60668931B1CC0C0C472C64666F36
+:101D5000C60270960A2B610458123EC0B02B64660E
+:101D60006550B42A3C10C0E7DC20C0D1C0F002DFCF
+:101D7000380F0F4264F09019EB0A18EB0B28967E8F
+:101D80008D106DDA0500A08800C08CC0A089301DC0
+:101D9000EB1A77975388328C108F3302CE0BC02406
+:101DA00092E12261049DE00422118D6B9BE59FE787
+:101DB00098E61FEB100998400688110822020FDDF3
+:101DC00002C18D9DE208220292E4B4C22E600C1F73
+:101DD000EB000CE811A7882C8285AFEE0C220B2BB0
+:101DE000E4CF228685D2A0D10F28600CD2A08C111E
+:101DF00019EAF80C8D11A988A7DD2ED2852B84CF86
+:101E00000ECC0B2CD685D10FC0F00ADF387FE8024C
+:101E100063FF6C63FF6000002A6C74C0B2DC20DDDD
+:101E20004058121CC0B063FF63C020D10F000000F7
+:101E30006C10042C221D2A221EC049D320293006F2
+:101E4000243468C0407AC105DDA060000200C0D023
+:101E50006E9738C08F2E0A802B3014C09629340616
+:101E60000EBB022E31022B34147E8004243502DE98
+:101E7000407AC10EC8ABDBD0DA302C0A00580A76A3
+:101E80002E31020E0F4CC8FEC020D10F6895F828E5
+:101E9000310208084C658FEF1AEAC61CEAC42BA26F
+:101EA0009EC09A7B9B462BC22668B0048D307BD99E
+:101EB0003B29A29DC0E3CB9394901BEAD72D31041C
+:101EC0009B9608DD110EDD029D979D9112EAD4C00C
+:101ED000E524C4A22E34062F310228A29D02FF025F
+:101EE000288C3028A69D2F3502C020D10FDA30C0B3
+:101EF000B658133DC020D10F6C10062920066898F3
+:101F000005289CF965825D29210209094C6592101A
+:101F1000CD51DB30DA20044C025812A1C051D3A0BD
+:101F2000C7AF2A360AC0E019EAA31DEAA91FEAA230
+:101F30008A3A16EA9FB1AC64C13528629E6F880266
+:101F40006001F129DC332992266890078B2009BBB8
+:101F50000C65B1E027629DC08E6471D82B200C0CFB
+:101F6000BC11A6CC29C2867983026001D219EA91FC
+:101F700009B90A2992A397106890082822000988B5
+:101F80000C6581BB27C2856471B5292006299CF99F
+:101F90006491EC2C20668931B1CC0C0C472C246662
+:101FA0006EC6026001A109F85065819B883689F4EC
+:101FB000088C14AC991CEA810C99022C21049970AC
+:101FC00019EA980808479971892A0988100899021E
+:101FD00018EA95089902997228301329301204885A
+:101FE0001006991008990228302C9A740C88100851
+:101FF000C802098802987389379975883898768A53
+:1020000039C0819A771AEA888935987B9978098945
+:10201000140A9902997A8A30893277A73618EA76B3
+:102020008F33987CC084987D882B2E761129761268
+:102030002F761319EA700A9F4006FF1104CA11098E
+:1020400088020FAA02987EC1F90FAA022A7610C050
+:10205000AA600001C0A6ADBF0CBC11A6CC29C285E8
+:102060002EF4CF09A90B29C685655107C020D10FD1
+:102070002B200C0CBC1106CC0828C28609B90A6FAB
+:10208000890260012E2992A36890082A220009AAD9
+:102090000C65A11F2AC28564A11928203D0828408B
+:1020A00064808C843504841464408485F574537F83
+:1020B0008436048414644077745374293013C08CBC
+:1020C00079886CC0902924670908476580ED8820CD
+:1020D00089F484351FEA4B048414A4940F440294B9
+:1020E000A014EA4608881104880298A1843698A3AF
+:1020F000048414A4990F990299A219EA42ADB42854
+:10210000C2852E44CF288C1028C6852821022F2076
+:1021100070098802B2FF2F2470282502C020D10F39
+:1021200000CC57DA20DB30DC4058121DC020D10F24
+:10213000C09163FF8FDA20C0B65812AB63FFE10095
+:10214000DA205812A963FFD88A102B2104581141B4
+:102150001DEA201FEA192B200CC0E02E24668A3AC3
+:1021600063FE480000DA20DB30DC40DD50581324E9
+:10217000D2A0D10F2A2C74DB40580B1FD2A0D10F54
+:10218000292123C08879830263FE202A12002C2093
+:10219000662B21042CCC010C0C472C246658112DE5
+:1021A0001DEA0C1FEA052B200CC0E02E24668A3A9B
+:1021B00063FDF800DA2058128C63FF64DA205BFFBD
+:1021C0001CD2A0D10F0000006C10089515C061C191
+:1021D000B0D9402A203DC0400BAA010A64382A2009
+:1021E0000629160668A8052CACF965C33B1DE9F263
+:1021F0006440052F120564F29C2621021EE9EE06BA
+:10220000064C6562E315E9EA6440D98A3529300352
+:102210009A140A990C6490CC2C200C8B149C110CF1
+:10222000CC11A5CC9C122CC286B4BB7CB30260023C
+:10223000D38F110EFE0A2EE2A368E0098620D30F89
+:102240000E660C6562BE88122882856482B6891487
+:1022500064905EDA80D9308C201EE9E81FE9E91D20
+:10226000E9D68B148DD4D4B07FB718B88A293C1026
+:10227000853608C6110E66029681058514A5D50F10
+:10228000550295800418146D8927889608CB11088B
+:1022900088140EBB02A8D8299C200F88029BA19805
+:1022A000A088929BA3088814A8D80F880298A22A15
+:1022B000AC1019E9D4C0C08F141EE9C586128D1167
+:1022C000286285AEDD08FF0B2CD4CF2821022F66B3
+:1022D000858B352A2070098802ABAA2825022A247A
+:1022E00070C020D10F29529E18E9B16F9802600288
+:1022F0000828822668800829220008990C6591F92F
+:102300002A529DC1CA9A1364A1EF2B200C262006E5
+:102310000CB811A5882D82860EBE0A7DC30260020C
+:10232000022EE2A368E0082F22000EFF0C65F1F3F5
+:10233000288285DE806481FF9810266CF96461FF35
+:102340002C20668831B1CC0C0C472C24666EC6025A
+:102350006001BC08FD5065D1B617E9B419E9981AB7
+:10236000E99F2C21048B2D2830102F211D0C881063
+:102370000BFB090C88020A880209BB0264415289DE
+:1023800010C04D9B90979198928D35D9E064D06C98
+:10239000D730DBD0D8307FD713273C10BCE92632AA
+:1023A000168C3996E69CE78A37B4389AE80B1314F2
+:1023B0006430492A821686799A9696978C778A7D18
+:1023C0009C982B82172C7C209A9A2A9C189B998681
+:1023D0007BB03BB8896DB9218BC996A52692162A88
+:1023E000AC18B8999BA196A08BC786CD9BA22B92C7
+:1023F0001596A49BA386CB2CCC2026A605C0346BB7
+:10240000D4200D3B0C0DD8090E880A7FB705C0906B
+:102410009988BC88C0900B1A126DAA069988998B6E
+:10242000288C18C0D01BE9831CE98216E978B1FF1B
+:102430002A211C23E6130F0F4F26E6122F251D7F9E
+:10244000A906C0F0C08028251D05F6111AE9718F74
+:10245000202BE6152CE6162DE61726E6180AFA02BA
+:102460002AE614292006299CF96490FF29200C8D66
+:1024700015C0801AE9570C9C11AA99A5CCDA202B1B
+:10248000C2852894CF0B4B0B2BC685C0B08C165839
+:102490001114D2A0D10F8A356FA548D8308BD56DD5
+:1024A000A90C8A860A8A14CBA97AB337288C10C063
+:1024B00080282467080B4765B112DA20DB302C1224
+:1024C00006581137D3A0C0C1C0D02DA4039C1563FA
+:1024D000FD26863664610C8910C04D9B90979198BB
+:1024E0009263FEA4C08163FFC78A15CCA7DA20DB04
+:1024F000308C1658112BC020D10FDA20C0B65811DD
+:10250000BA63FFE400DA208B115811B763FFD900DA
+:102510009E178A132B210458104F8E17C0B02B24FE
+:102520006663FE34C08063FE09DA20DB308C16DD82
+:1025300050581233D2A0D10FDA205811AB63FFA844
+:102540002D2123C0C87DC30263FE0D8A132B2104F5
+:102550002C20669817B1CC0C0C472C246658103DE3
+:102560008E17C0D02D246663FDEE0000262123B017
+:102570006606064F262523656EF128206A7F8705AB
+:102580000829416490A5C0D01BE91C19E92B26201D
+:102590000723E61BB16609FA022BE61A28200A2D4A
+:1025A000E61D2AE61E09880228E61C88260606473C
+:1025B00028E6202B220826E53E2BE6212D24072C99
+:1025C00020062A206468C347B44463FE9EDB30DAE9
+:1025D000208D15C0CE2E0A802C24688C1658107BB6
+:1025E000D2A0D10F8E102A321616E8F30A2A1486CA
+:1025F000662BE61297E127E61328E614AA66096619
+:102600000296E02EEC4869ED50C14663FD7A000069
+:1026100064AFB419E8E928201689920A880C009161
+:102620000400881AA8B8982963FF9C002B21046E27
+:10263000B81E2C2066B8CC0C0C472C2466C9C09E52
+:10264000178A135810048E17C0348F20C0D02D2441
+:1026500066C06826240663FF2C008D35C08064D0D8
+:102660004AD9E0DC30DBE0DF301AE8F4B188B4FFAF
+:1026700017E8F486C9249DFF8DC82CCC102D463058
+:102680000767012D46320A66011DE8EE264631AD88
+:102690006D2D463326F21597B796B684C3BCBB940E
+:1026A000B58D35299C107D83C22F211DC14663FD48
+:1026B0004B0000006C1006292006289CF86582C398
+:1026C0002921022B200C09094C6590E116E8B90C70
+:1026D000BA11A6AA2DA2862C0A127DC3026002900E
+:1026E00019E8B509B90A2992A36890078C2009CC8A
+:1026F0000C65C27C29A2856492762D629E1AE8AB95
+:102700006FD8026002722AA22629160168A0082B3F
+:1027100022000ABB0C65B26029629DC18C6492588C
+:102720002A21200A806099102C203CC7EF000F3E20
+:10273000010B3EB1BD0FDB390BBB098F260DBD115F
+:102740002DDC1C0D0D410EDD038E27B1DD0D0D417D
+:102750000FEE0C0DBB0B2BBC1C0BB7027EC71C2C49
+:1027600021257BCB162D1AFC0CBA0C0DA16000099B
+:102770003E01073EB1780987390B770A77EB026093
+:10278000020A2C2123282121B1CC0C0C4F2C25230B
+:102790007C8B29B0CD2D2523C855DA20DB30580F8E
+:1027A000FA292102CC96C0E80E9E022E2502CC57B3
+:1027B000DA20DB30DC4058107AC020D10F2C2066A4
+:1027C0008931B1CC0C0C472C24666EC6026001D353
+:1027D00009FD5065D1CD2F0A012E30112922146434
+:1027E000E01128221B090C4400C10400FA1A0A88CF
+:1027F0000228261B2E3010C0A0C0B088301CE86E06
+:1028000094129513C04125203C2CC022088D1477CA
+:1028100087052F0A010CFA38C0F2C0840858010F4E
+:102820005F010F4B3805354007BB10C0F0084F382B
+:1028300008FF100FBB0228ECFEC0F0084F38842BB5
+:102840000BA8100AFF102A21200F88020B8802080B
+:10285000440218E87D8F110844022821250A2A1411
+:102860000828140488110A88022A210494F08B2075
+:1028700004E41008BB1104BB02C04A04BB029BF174
+:10288000842A08AB110BEB0294F40A54110B440296
+:102890000555100D1B4094F707BB100B550208554A
+:1028A00002C08195F68433C05094F3B1948B329575
+:1028B000F898F99BF2C080C1BC24261498FB9BF5C4
+:1028C00099FA853895FC843A94FD8B3B9BFE8839B8
+:1028D00098FF853525F6108436851324F6118B373D
+:1028E00084122BF612C0B064C08189307797468D70
+:1028F0003288332E30108F111CE840099940069918
+:10290000112CF614C0C42CF6158C2B2DF61A28F6B3
+:102910001B2BF61904A81109880208EE0219E835E4
+:10292000C18008EE0209C90229F6162EF618C09ECB
+:10293000600004000000C09A2F200C18E8250CFE4F
+:1029400011A8FFA6EE2DE2852BF4CF0D9D0B2DE6F1
+:1029500085C87F8A268929A7AA9A260A990C090977
+:102960004829252565504CC020D10F00C09A63FF2F
+:10297000C6DA2058109D63FE34DA20C0B658109A8B
+:1029800063FE2A00689738C020D10F0000DA20DBF0
+:1029900070581057C0B0C0C10ACA390ACB3865BDDB
+:1029A000E063FE098A102B2104580F2AC0B02B24A3
+:1029B0006663FE21DB402A2C7458090FD2A0D10F88
+:1029C000DA20580F2F63FCF76C1004C020D10F00E1
+:1029D0006C1004290A801EE81D1FE81D1CE7F50C79
+:1029E0002B11ACBB2C2CFC2DB2850FCC029ED19CA4
+:1029F000D0C051C07013E81914E81818E8162AB2AC
+:102A000085A82804240A234691A986B8AA2AB6854F
+:102A1000A98827849F25649FD10F00006C100AD6D7
+:102A200030283010292006288CF964829B68980B86
+:102A30002A9CF965A1B2022A02580F1189371BE7B7
+:102A4000DEC89164520E2A21020A0C4C65C2588DD0
+:102A50003019E7D774D7052E212365E29E2F929E69
+:102A60001AE7D36FF8026002532AA22668A0082C46
+:102A700022000ACC0C65C2442A929D64A23E9A159B
+:102A80001FE7CD8D67C1E664D00E2B620618E7CA3A
+:102A900064B0052880217B8B422B200C18E7C50CE5
+:102AA000BC11A8CC29C28679EB450FBE0A2EE2A341
+:102AB00068E0048F207EF9372CC2859C1864C233ED
+:102AC0002B212F87660B7B360B790C6F9D266ED2E0
+:102AD000462C203D7BC740CE5560001E2A200CC1ED
+:102AE000B28C205810759A1864A2458D6763FFCF89
+:102AF000C0C063FFC5D7B063FFD300C0E060000271
+:102B00002E60030EDB0C6EB20EDC700CEA11AA6AAA
+:102B10002AAC20580199D7A0DA20DB70C1C82D213A
+:102B20002058101B8C268B279A160CBB0C7AB334BA
+:102B30008F18896399F3886298F28E659EF82D60EC
+:102B4000108A189D1768D729C0D09DA92C22182B50
+:102B500022139CAB9BAA97A58E667E73026000979A
+:102B6000CF5860001FDA208B16580FE165A138633B
+:102B7000FFBDC081C0908F18C0A29AF999FB98FA46
+:102B800097F563FFD2DB30DA20DC40580F85C05167
+:102B9000D6A0C0C02BA0102CA4039B172C12080297
+:102BA0002A02066B02DF702D60038E179D149E10A3
+:102BB0000CDD11C0E0AD6D2DDC205801188C148B9C
+:102BC00016ACAC2C64038A268929ABAA0A990C9A04
+:102BD00026886609094829252507880C98662F222A
+:102BE00018A7FF2F261863FE96DA20DB30DC40DDC5
+:102BF00050581083D2A0D10FC0302C20668961B10B
+:102C0000CC0C0C472C24666EC6026000D2C0300982
+:102C1000FD5065D0CA8E6764E069647066DB608CC5
+:102C200018DF70DA202D60038E170CDD119E10ADB9
+:102C30006D2DDC201EE7845800F9232618DA208B3E
+:102C400016DC402F2213DD50B1FF2F2613580F241E
+:102C5000D2A0D10F0028203D084840658DE76F9530
+:102C60003EDA308DB56D990C8CA80C8C14CACF7CD3
+:102C7000D32D2AAC10C090292467090D4764DDC507
+:102C8000600092002C1208066B022D6C20077F0258
+:102C90008E17DA209E101EE76B58007D63FF9A00A6
+:102CA000C09163FFD1000000655081DA20DB60DC59
+:102CB00040580F3BC020C0F02FA403D10FDA20C032
+:102CC000B6580FC963FFE000006F950263FD6CDA30
+:102CD00020DB30DC40DD50C4E0580EBCD2A0D10F68
+:102CE0008A152B2104580E5B232466286010981740
+:102CF00063FF2100DA20580FBC63FFABC858DB30FC
+:102D0000DA20580EA12A210265AF9CC09409A902BD
+:102D100029250263FF91DB30DC40DD50C0A32E0A81
+:102D2000802A2468DA20580EA9D2A0D10FC020D161
+:102D30000FDA202B200C580FC563FF6B6C10042892
+:102D40002006C062288CF8658125C050C7DF2B2281
+:102D50001BC0E12A206B29212300A104B099292559
+:102D600023B1AA00EC1A0BC4010A0A442A246B04FA
+:102D7000E4390DCC030CBB012B261B6440692920D0
+:102D80000C1BE70B0C9A110BAA082FA2861BE70954
+:102D90006FF9026000B60B9B0A2BB2A368B0082C37
+:102DA00022000BCC0C65C0A42BA2851DE72D64B0BE
+:102DB0009B8C2B2421040DCC029CB08820C0C5081C
+:102DC00088110C880298B1882A08441198B48F346D
+:102DD00094B79FB5C0401EE6FE2DA2850E9E082525
+:102DE000E4CF2DDC282DA68529210209094C689401
+:102DF0001A689820C9402A210265A00B2A221E2B9E
+:102E0000221D7AB10265A079C020D10F2C21236543
+:102E1000CFDE6000082E21212D21237EDBD52B2241
+:102E20001E2F221D2525027BF901C0B064BFC413EB
+:102E3000E6DF2CB00728B000DA2003880A28824C8D
+:102E4000C0D10B8000DBA065AFE763FFA62A2C741E
+:102E5000C0B02C0A02580D951CE7039CA08B2008DB
+:102E6000BB1106BB029BA1893499A263FF790000C4
+:102E7000262468DA20DB30DC40DD50580FE1D2A098
+:102E8000D10FDA202B200C580F58C020D10F000092
+:102E90006C1006073D14C080DC30DB40DA20C047F0
+:102EA000C02123BC30032838080842774001B1DD37
+:102EB00064815A1EE6BB19E6BC29E67ED30F6DDAA3
+:102EC0000500508800308CC0E0C02025A03C14E6EE
+:102ED000BAB6D38FC0C0D00F87142440220F8940C8
+:102EE000941077F704C081048238C0F10B2810C019
+:102EF00044C02204540104FD3802520102FE380885
+:102F0000DD10821C07EE100E6E020EDD02242CFE78
+:102F1000C0E004FE380AEE100E88020D88028DAB68
+:102F20001EE6AA08D8020E880298B0C0E80428104D
+:102F30000E5E0184A025A125084411084402052540
+:102F400014045511043402C0810E8E3994B18FAA35
+:102F500084109FB475660C26A11FC0F2062614606B
+:102F60000009000026A120C0F20626140565020F04
+:102F7000770107873905E6100778100866020655BD
+:102F80000295B625A1040AE611085811082802087E
+:102F9000660296B7C060644056649053067E11C0C6
+:102FA000F489C288C30B340B96459847994618E6B6
+:102FB000919F410459110E99021FE68F020E470896
+:102FC000D80298420E99029F40C1E00E990299449E
+:102FD0002FA00CB4380CF91114E67E1EE675A4FF80
+:102FE000AE992E928526F4CF0E880B289685D10FA8
+:102FF0002BA00C1FE66F1CE6760CBE11ACBBAFEE2F
+:103000002DE28526B4CF0D3D0B2DE685D10FC08076
+:1030100005283878480263FEA263FE966C1006C04D
+:10302000C06570F18830C030088714778712C0B04F
+:10303000C0A619E661299022C030CC97C03160004B
+:1030400003C0B0C0A6C0E0C091C0D4C08225203C5F
+:103050000B3F109712831CC0700858010D5D0108CA
+:103060009738C0800B9838077710048810086802DA
+:10307000087702C0800D98382D3CFE0888100D9E00
+:10308000388D2B0AEE1008EE0207EE020CB8100F76
+:10309000DD02053B400EDD029D408920043D100805
+:1030A00099110D99022D210409A90208DD119941F8
+:1030B000872A05B9100D3D020ABB110DBB02087726
+:1030C0000297442821258712082814048811071E16
+:1030D0004007EE100E990275660926211F06261478
+:1030E000600006002621200626140868029B470976
+:1030F0008802984629200CD2C0C0800C9E111BE685
+:10310000341FE62BAB99AFEE2DE2852894CF0DADA1
+:103110000B2DE685D10FDD40C0A6C0B08E51CAE0B0
+:10312000B2AAB1BB2DDC108F500E783698100877FC
+:103130000C9FD898D989538F52991199DB9FDA7EC9
+:103140008309B1CC255C10C97763FFCF88108D113E
+:1031500008E70C9751AD8DD7F078DB01B1F79D539F
+:1031600097528830C030088714088840648ED5652F
+:10317000BEC963FEBC0000006C1004D720B03A88C2
+:1031800020C0308221CAA0742B1E2972046D080F42
+:10319000C980C9918575B133A2527A3B0B742B0853
+:1031A00063FFE900649FECD10FD240D10F00000013
+:1031B0006C1008D630C0709515DA408E3914E5FED3
+:1031C0009A1464E0026451FC2920062A9CF865A246
+:1031D0005F2A21020A0B4C65B21F2C320015E5F460
+:1031E00074C7052D212365D3242E529E1AE5F06F56
+:1031F000E80260021B2AA22668A0082B22000ABB54
+:103200000C65B20C2E529D1DE5EB64E2038B386415
+:10321000B22D9E16C8BC8D691EE5E864D0052EE06F
+:10322000217BEB492E200C18E5E20CEF11A8FF29B9
+:10323000F286C186798B4A17E5DF07E70A2772A372
+:10324000687004882077893925F2856452A2272185
+:103250002E07B73607B90C6F9D01D7B089696E92FA
+:103260004228203D7B873C8A15CDAF600018C1B253
+:103270008C202A200C580E90D5A064A2AC8B6863D9
+:10328000FFCBC05063FFC3C0E06000022E60030E9E
+:103290009B0C6EB20EDC700CEA11AA6A2AAC285B99
+:1032A000FFB6D7A0DA20DB70C1C42D211F580E381D
+:1032B0008C268B27D4A00CBB0C7AB3258A63C090D4
+:1032C0009A538862995898528F659F598E679E5B72
+:1032D0008D6697559D5A8B687B7B748B15CEB3603A
+:1032E000000DDA20DB40580E0265A10D63FFCC0013
+:1032F000DA20DB308C14580DAAD6A0C0C0C0D19DF6
+:10330000152CA403DA20DB60DF70DC50C0E0256000
+:10331000039E101EE5C10C5D11AD6D2DDC285BFF19
+:103320003F8E66A5A88F67286403AF7F77FB01B146
+:10333000EE9E669F678D268C29A4DD0DCC0C9D2604
+:103340008B680C0C482C252507BB0C9B6863FEC3BF
+:103350002C20668961B1CC0C0C472C24666EC60209
+:103360006000B809FD5065D0B2CBBF8E69CBEBDBF6
+:1033700060DC50DF70DA201EE5BC2D6003C0809851
+:10338000100CDD11AD6D2DDC285BFF248B15C942BF
+:103390008A2629220904AA082A26060A990C09095C
+:1033A0004829252565B13CC020D10F00DB602D6C7C
+:1033B00028DF70DA20C0C01EE5AC9C10DC505BFE3C
+:1033C000B463FFC7002D203D0D4D4065DDF96FE56D
+:1033D00022DA308F456DE90C8EAA0E8E14C9E37E79
+:1033E000F3112AAC10C090292467090F4764FDD758
+:1033F00060014100C09163FFED00881565814CDAE2
+:1034000020DB608C14580D66C020C09029A403D125
+:103410000FDA20C0B6580DF463FFDE008A162B21A8
+:1034200004580C8CC0A02A24668B6863FF3A000005
+:10343000002B9CF965B0C5DA20580C9163FD910012
+:103440002B200C0CBA11A5AA2FA286C1C27FC302E1
+:103450006000FC0DB90A2992A36890078C2009CC62
+:103460000C65C0EB26A2856460E52C20668931B12D
+:10347000CC0C0C472C24666FC60270960ADAE02B3F
+:103480002104580C74272466893077974B18E55926
+:103490001DE55A8A328B33C0F42C2104099E400664
+:1034A000EE1104CC110ECC029F61C1E00ECC029D46
+:1034B000608F2B9A669B679C64976508FF029F62EA
+:1034C0002F200C18E5430CFE11A5EE2DE285A8FF78
+:1034D00027F4CF2DDC202DE6858F1565F091C020D7
+:1034E000D10F00002A2C748B14580643D2A0D10FA0
+:1034F00000DA20DBE0580DBC63FEFE0000DA20DBC2
+:10350000308C148D15580E3ED2A0D10F00008815B6
+:10351000C888DA20DB30580C9C2A210265AEDAC05C
+:103520009409A90229250263FECFDA202B200C582A
+:103530000DC763FEC4272468DA20DB302C12042D6B
+:1035400012052E0A80580CA163FC7C00C020D10F0C
+:10355000DA20580DA58A15CDA1DA20033B022C12E2
+:1035600004580D0F27A403C020D10F00C020D10F95
+:103570002A2C748B14580620D2A0D10F6C100C2862
+:103580002102941008084C6583621FE50929F29E08
+:103590006F98026003661DE50529D2266890082A07
+:1035A000220009AA0C65A3542CF29D64C34E2B2063
+:1035B0000C0CB611AF66286286C1EC78E30260039A
+:1035C0004619E4FC09B90A2992A36890078A2009E0
+:1035D000AA0C65A33224628564432CC0E12A310918
+:1035E000C07027246689359A11992A8836991298CD
+:1035F0002B89379813992C883899140858149815E2
+:10360000982D89392A25042E251D29251C28302886
+:10361000C09228243C2A30290808479816098901B5
+:103620002A243D2A311599170A094109A90C299C18
+:10363000EC29251F7E87192D2A000DA06000083E69
+:10364000010A3EB1AD08DA390EAA110A990C2925F2
+:103650001F2A211F18E5060A8160C1D0941A951B04
+:1036600001083E00053EB184054839843C259CFC98
+:103670000D883629201408AA1C8D3D2726182E26D1
+:10368000132E26142E261527261B2E246B2724677F
+:1036900027246808581C0909432924142932112AAF
+:1036A000252E28252F27252427252527252C2725A6
+:1036B000232525202425212D2522841A2D211C8512
+:1036C0001B6FD202600209C0A099186D080AB1AA46
+:1036D00000A10400E91A7D9B0263FFEE8918C080F7
+:1036E000C0E1C070C0D29B1D951B961C9C1E16E4A9
+:1036F000D12C203D15E4E00C0B400DCC010BE7383C
+:103700001DE4C30A77100CE8380B8810C0C49C4134
+:103710000877029D40B0A80988118B209C499D48DC
+:10372000954B9643087702861418E4D115E4B9083E
+:10373000770205BB029B4A9B429746881287110875
+:10374000DA149A4E0D88100D77110877021AE4AC3E
+:1037500006D8140D6610087702974FC78F984D98BA
+:103760004C9845871598440715140D55110A5502B4
+:10377000954715E4C18A262D46102D46182D462062
+:103780002C46112C46192C46212B46122B461A2862
+:1037900046142846152B46228816254624254626FB
+:1037A0008B170A0C48090D4885130EDD1105CC1145
+:1037B0000839400BEB390299101EE4B00DCC020D14
+:1037C0005511082D400655022E461316E47B0FDDD9
+:1037D00011254616080840851B0188100DBB02867E
+:1037E000671DE4A70988020CBB0219E4771CE4A555
+:1037F0002B46172D461BA7661BE4A4C0702C461C45
+:103800000988028C1E28461E2B4623C0908B1D293A
+:10381000461D29461F18E49D29462728462529319B
+:10382000162E200629246A243117962D2425238656
+:103830001CCCE1272407C0D7090E4064E0829A29F6
+:1038400009284164809164409B2D2406C098094951
+:1038500036280AA024628501C404A844282104242F
+:1038600066850888118E3F8A3E2D32100EA41800FE
+:10387000C4040EAE1800EE110ACA530EDD02C0E3F6
+:103880000E880298C11EE48209084E9EC08E2094C4
+:10389000C398C59DC418E44E1DE47F05EE110EAA21
+:1038A000020DAA02A8B82784CF9AC21EE44024F2CF
+:1038B0009D27E4A2244C1824F69D655052C020D1C7
+:1038C0000F2D2406C0A0C09809493604A93863FF0B
+:1038D0007FC0A063FE070000654F6DC098C0A82A96
+:1038E000240663FF6B2D2406C09063FF63CC57DA78
+:1038F00020DB308C10580C2AC020D10F00DA20C0F9
+:10390000B6580CB963FFE500DA20580CB763FFDC4A
+:103910002A2C748B10580538D2A0D10F6C100628B1
+:1039200020068A336F8202600161C05013E42029AF
+:10393000210216E41F699204252502D9502C201576
+:103940009A2814E41D8F2627200B0AFE0C04770901
+:103950002B711C64E1398E428D436FBC0260016F94
+:1039600000E104B0C800881A08A80808D8029827FF
+:103970002B200668B32ECE972B221E2C221D011160
+:10398000027BC901C0B064B0172CB00728B000DAC0
+:103990002003880A28824CC0D10B8000DBA065AFD1
+:1039A000E7C020D10F2D206464DFCA8B29C0F10B42
+:1039B000AB0C66BFC02B200C0CBC11A6CC28C28659
+:1039C0002E0A0878EB611EE3FB0EBE0A2EE2A36806
+:1039D000E0052822007E894F29C2851EE4076490F5
+:1039E000461FE4159E90C084989128200A95930F55
+:1039F000880298928E200FEE029E942F2007882630
+:103A00002F950A98969A972E200625240768E34357
+:103A10002921022AC2851DE3EE2AAC20ADBD25D4A2
+:103A2000CF2AC68563FF4E002E2065CBEDC08228CD
+:103A30002465C9F605E4310002002A62821BE3F71F
+:103A40002941020BAA022A668209E4312921026374
+:103A5000FF23000064DFB88F422E201600F1040D12
+:103A6000EE0C00EE1AAEAE9E2963FFA38A202B3225
+:103A700021B1AA9AB0293221283223B499293621BA
+:103A80007989A92B32222B362163FFA0C020D10FC8
+:103A90009F27252415ACB828751C2B2006C0C12EE5
+:103AA000BCFE64E0AB68B7772DBCFD65DEC72D209A
+:103AB00064C0F064D0868E290EAE0C66E089C0F139
+:103AC00028205A288CFE08CF3865FEE863FF58008E
+:103AD00000E0049310C0810AF30C038339C78F08F8
+:103AE000D80308A80108F80C080819A83303C80C63
+:103AF000A8B828751C030B472B24158310CBB700DF
+:103B0000E104B0BC00CC1AACAC0CDC029C27659E76
+:103B10005EC0B20B990209094F29250263FE5000CD
+:103B20002D206A0D2D4165DF7EDA20C0B0580C755E
+:103B300064AF18C0F163FEEF9F2763FFD02E221FF2
+:103B400065EE3263FF79000028221F658E2763FF30
+:103B50006E252406252502C09063FE196C100665AB
+:103B600071332B4C18C0C7293C18C0A1C08009A8CC
+:103B7000380808426481101CE38A1AE38B2AC67E47
+:103B80002A5CFDD30F6DAA0500B08800908C894097
+:103B9000C0A00988471FE3B4080B47094C50090D22
+:103BA0005304DD10B4CC04CC100D5D029D310CBB70
+:103BB000029B3088438E2098350FEE029E328D2670
+:103BC000D850A6DD9D268E40C0900E5E5064E097D2
+:103BD0001CE39A1EE389038B0BC0F49FB19EB02DAA
+:103BE000200A99B30CDD029DB28F200CFF029FB416
+:103BF0008E262D20079EB68C282DB50A9CB7292429
+:103C0000072F20062B206469F339CBB61DE36B2305
+:103C100020168DD20B330C00D10400331AB48DA3BF
+:103C2000C3932922200C13E36A1FE3610C2E11AF0A
+:103C3000EEA3222924CF2FE285D2A00FDD0B2DE6A3
+:103C400085D10F002E200CB48C0CEB111FE3611DED
+:103C5000E358AFEEADBB22B28529E4CF02C20B22FE
+:103C6000B685D2A0D10F00002E200C1CE3511FE31B
+:103C7000580CEB11AFEEACBB22B28529E4CF028227
+:103C80000B22B685D2A0D10FC0D00BAD387DC802B3
+:103C900063FEEC63FEE08E40272C747BEE12DA703C
+:103CA000C0B32C3C18DD50580A7B8940C08063FEAD
+:103CB000E3DE60DA20DB30DC40DD505800059A108E
+:103CC000DB50077A0258044C881063FEF8000000AD
+:103CD0006C100692121EE3428C40AE2D0C8C472EC7
+:103CE0003C1804CA0BD9A07DA30229ADF875C30204
+:103CF000600084C0B0C023C0A09D106D0844B89F70
+:103D00000EB80A8D900EB70BB8770D6D36ADAA9D23
+:103D1000800D660CD8F000808800708C879068B1A8
+:103D200024B22277D3278891C0D0CB879890279C44
+:103D30001000708800F08C9D91CB6FC08108BB0390
+:103D400075CB3663FFB4B1222EEC1863FFD4859295
+:103D50000D770C86939790A6D67D6B01B1559693FF
+:103D60009592600016B3CC2D9C188810D9D078D3CA
+:103D7000C729DDF863FFC100C0238A421BE3470067
+:103D8000CD322D44029B3092318942854379A10581
+:103D90001EE3430E550187121BE334897095350BE2
+:103DA0009902993288420A880C98428676A6A6968D
+:103DB000768F44AFAF9F44D10F0000006C10089382
+:103DC00011D6308830C091086351080847059838EB
+:103DD0009812282102293CFD08084C6581656591EF
+:103DE000628A630A2B5065B18B0A6F142E0AFF7C1E
+:103DF000A60A2C205ACCC42D0A022D245A7FE00298
+:103E0000600215892888261FE32609880C65820F21
+:103E10002E200B0FEE0B2DE0FE2EE0FF08DD110E25
+:103E2000DD021EE320AEDD1EE3201CE3200EDD01DB
+:103E30000DCC37C180084837B88DB4889810896098
+:103E40001AE2DE7B96218B622AA0219C147BA317A9
+:103E50009D132A200C8B108C20580B978C148D13DB
+:103E6000DBA0CEAC6001C4002E200C1BE2D10CEA1A
+:103E7000110BAA082BA2861FE2CF7BDB3B0FEF0AB8
+:103E80002FF2A368F0052822007F892C2BA28564DD
+:103E9000B0AA87628826DE700C7936097A0C6FAD7D
+:103EA0001C8F279B1508FF0C77F3197E7B729D13DF
+:103EB0009C149B15CF56600025C0B063FFD0D790EF
+:103EC00063FFDD00009D139C14DA20DB70580B08A3
+:103ED0008B158C148D1365A06A8E6263FFCC00DA9B
+:103EE000208B11DC40580AAED6A08B15C051DE7075
+:103EF000DA20DC60DD405BFF768D138C14D9A02EB8
+:103F0000200C1BE2AB1FE2B20CEA11AFEFC0E0AB3A
+:103F1000AA2BA2852EF4CF0B990B29A68563FF1D32
+:103F200000DA20DC60DD40DE708912282007DF50D7
+:103F3000A9882824075BFF09D2A0D10F00DBE0DAB3
+:103F400020580B296550EF2A20140A3A4065A0EB4F
+:103F5000DB60DC40DD30022A0258099CD6A064A058
+:103F6000D584A183A0040447030547951203635138
+:103F7000C05163FE5C2C2006D30F28CCFD6480A5C5
+:103F800068C704C0932924062C2006C0B18D641F85
+:103F9000E28A9D279D289D298FF29D2600F104002D
+:103FA000BB1A00F004B0BE0EDD01C0F0ADBB8D65E4
+:103FB0002F24070D0E5E01EE11AEBB2E0AFEB0BB24
+:103FC0000B0B190EBB36C0E20B0B470EBB372B2475
+:103FD0001618E2820A09450D0B422B240B29240AEC
+:103FE000B4BE2E240C7D88572920162FCCFDB09D01
+:103FF0000A5C520DCC362C246465FDEC0C0C476435
+:10400000CDE618E26D8E2888820C9F0C008104009A
+:10401000FF1AAFEE9E2963FDCF1CE29C63FE1300E6
+:104020001CE29363FE0C8D6563FFA500DA202B2054
+:104030000C580B06645F0FC020D10F00C020D10FB9
+:10404000C093292416C09363FFA000006C1004C025
+:104050006017E2561DE259C3812931012A3008292F
+:10406000240A78A108C3B27BA172D260D10FC0C16B
+:104070006550512625022AD0202F200B290AFB2B20
+:1040800020142E201526241509BB010DFF0928F147
+:104090001C2B2414A8EE2EF51C64A0B52B221E2880
+:1040A000221D0111027B8901DB6064B0172CB0076F
+:1040B00028B000DA2007880A28824CC0D10B800083
+:1040C000DBA065AFE7DB30DC40DD50DA205800D8FC
+:1040D000292102090B4CCAB2D2A0D10F00CC5A2C14
+:1040E00030087BC1372ED02064E02D022A02033B2A
+:1040F00002DC40DD505800CED2A0D10F2B2014B0EE
+:10410000BB2B24140B0F4164F0827CB7CAC0C10CD6
+:104110009C022C2502D2A0D10FC020D10F2E200648
+:1041200069E2C12F21020F0F4C69F1B8262406263F
+:1041300025022B221E28221D2A200B2920150DAA1C
+:10414000092CA11C262415AC9929A51C7B814A6049
+:104150000049B0BB2B24140B0D41CBD67CB7022CED
+:1041600025022B221E2E221D7BE9022B0A0064B0A1
+:10417000172CB00728B000DA2007880A28824CC024
+:10418000D10B8000DBA065AFE7C020D10F2624064D
+:10419000D2A0D10F26240663FFC7DB601DE20764AF
+:1041A000BF422CB00728B000DA2007880A28824CCA
+:1041B000C0D10B8000DBA065AFE71DE1FF63FF24EA
+:1041C0006C1004282006C0646F8564CA5B29201423
+:1041D0007D9726DA20DB30DC40055D025800192986
+:1041E0002102090A4CC8A3C020D10F00C0B10B9B0B
+:1041F000022B2502C020D10F0000022A02033B023D
+:104200002C0A015800CAC9AADA20DB30DC40580960
+:10421000E429A011D3A07E97082C0AFD0C9C012C48
+:10422000A411C0512D201406DD022D241463FFA219
+:10423000DA20DB30DC40DD50C0E0580964D2A0D188
+:104240000F0000006C100616E1DA1CE1DA65513B44
+:10425000C0E117E1D62821028B2008084C65807B3D
+:104260002932000969516993722A629E6EA8482A10
+:10427000722668A0027AB93F2A629DB44FCBA72B61
+:10428000200C0CBD1106DD0828D28678FB150CBF6A
+:104290000A2FF2A368F00488207F89072DD285D3E6
+:1042A0000F65D0602A210419E202D30F7A9B1DDA30
+:1042B00020580864600024002C21041BE1FD7CBB15
+:1042C00013DA20C0B658085FC9536000EFDA2058EF
+:1042D0000A46600006DA20C0B6580A436550DDDCA5
+:1042E00040DB308D30DA200D6D515808B8D3A06412
+:1042F000A0CA1CE1B0C05184A18EA00404470E0ED8
+:104300004763FF50002B2104C08C8931C070DF70DF
+:1043100009F950098F386EB8172C2066AECC0C0CFA
+:10432000472C24667CFB099D105808CA8D10272451
+:104330006694D11EE1B6B8DC9ED0655056C0D7B8A1
+:104340003AC0B1C0F00CBF380F0F42CBF119E19465
+:1043500018E19628967EB04BD30F6DBA0500A08861
+:1043600000C08C2C200CC0201DE19A0CCF11A6FFA0
+:104370002EF285ADCC27C4CF0E4E0B2EF685D10F75
+:10438000C0800AB83878D0CD63FFC1008E300E0EE1
+:104390004763FEBD2A2C742B0A01044D025808BD48
+:1043A0002F200C12E18B0CF911A699A2FF27F4CF54
+:1043B000289285D2A008480B289685D10FC020D11D
+:1043C0000F0000006C1004C060CB55DB30DC4005F2
+:1043D0005D02022A025BFF9B29210209084CC88268
+:1043E000D2A0D10F2B2014B0BB2B24140B0C41CB2B
+:1043F000C57DB7EBC0C10C9C022C2502D2A0D10F09
+:104400000000022A02033B02066C02C0D0C7F72E4E
+:10441000201428310126250228240A0FEE012E241B
+:104420001458010D63FFA300262406D2A0D10F006B
+:104430006C1006282102D62008084C65809D2B2090
+:104440000C12E15B0CB811A2882A8286B5497A93D6
+:104450000260009719E15809B90A2992A3689008E7
+:104460002A620009AA0C65A0822882851CE1636487
+:1044700080799C80B887B14B9B819B10655074C03C
+:10448000A7D970280A01C0D0078D380D0D42CBDEA8
+:104490001FE1441EE1452EF67ED830D30F6D4A054C
+:1044A00000808800908C2E3008C0A000EE322E7460
+:1044B0000028600C19E1470C8D11A2DDA988C020ED
+:1044C0002CD2852284CFD2A00CBC0B2CD685D10F48
+:1044D000C0F0038F387FA0C063FFB400CC582A6CB3
+:1044E00074DB30DC405807F1C020D10FDA60580986
+:1044F000BE63FFE7DD402A6C74C0B0DC705808650D
+:104500002E30088B1000EE322E740028600C19E15A
+:10451000300C8D11A2DDA988C0202CD2852284CF39
+:10452000D2A00CBC0B2CD685D10F00006C10042936
+:104530002014282006B199292414688124C0AF2CA6
+:104540000A012B21022C24067BA004C0D02D2502B9
+:10455000022A02033B02044C02C0D05800BFD2A082
+:10456000D10FC020D10F00006C1004293101C2B45A
+:1045700029240A2A3011C28378A16C7BA169645076
+:10458000472C2006C0686FC562CA572D20147CD7FF
+:1045900022DA20DB30DC40DD505BFFA52921020957
+:1045A0000E4CC8E2C020D10FC0F10F9F022F250290
+:1045B000C020D10FDA20DB30C0C05BFFDC28201424
+:1045C00006880228241463FFC72920151BE0FB2A54
+:1045D000200BC0C09C240BAA092BA11C2C2415ABBA
+:1045E0009929A51C63FF9900C020D10FDA20DB3088
+:1045F000DC40DD50C0E0580875D2A0D10F000000AB
+:104600006C1004CB5513E0F625221F0D46110655FC
+:104610000CA32326221E25261F06440B24261E73C8
+:104620004B1DC852D240D10F280A80C04024261FFB
+:10463000A82828261E28261DD240D10FC020D10F21
+:10464000244DF824261E63FFD80000006C100428B7
+:104650002006D6206E85026000DE17E0D51DE0DC66
+:1046600019E0D5C0C1C0202A8CFC64A1322B6102A4
+:10467000B44E0B0B4C65B0A82B600C2A62000CB832
+:10468000110788082F828609B90A7FE30260009F1C
+:104690002992A368900509AA0C65A09328828564D5
+:1046A000808DB8891BE0DA94819B8065514DC0B73D
+:1046B000B838C0A1C0E009AE380E0E4264E0481A16
+:1046C000E0B81FE0B92FA67EB04A6DAA0500808829
+:1046D00000908CC0A02E600C0CE811A7882F82855A
+:1046E000ADEE0F4F0B2F86852B600622E4CF68B10D
+:1046F0002A296015C0B2C99AD2A02D61022B640686
+:104700000CDD022D6502D10FC0E008AE387EB0B7D7
+:1047100063FFAB00226406D2A0D10F00D2A0D10F5C
+:1047200000CC57DA60DB30DC4058089DC020D10F48
+:10473000DA6058092D63FFE80028221E29221D781F
+:104740009902280A00C176C1C1C1D21BE0A5C124CB
+:10475000AB6B6480437891402A80000CAF0C64F00E
+:10476000AE0DAE0C64E0A802AF0C64F0A207AE0C74
+:1047700064E09C2FACE864F0962EACE764E0902FE8
+:10478000ACE664F08A2A800708A80B088A027B83BB
+:10479000022A8DF8D8A065AFBBC0906000730000FE
+:1047A0002B600C0CB811A7882E82866EE87909BAA6
+:1047B0000A2AA2A368A0048E607AE96B2A82856423
+:1047C000A0651FE08DC0E32E64069EA19FA01FE0A0
+:1047D000B92E600A92A30FEE029EA28E600FEE0227
+:1047E0009EA42F60147AFF4722A417ADBE2F8285A6
+:1047F00022E4CF2FFC182F868563FE702A6C74C0CC
+:10480000B1DC90DD405807A31DE072C0C163FEC457
+:10481000D9A0DA60DB30DC40DD50C2F0C1E009FE37
+:10482000395807EAD2A0D10FDA605808EF63FEF0DA
+:104830002CA4170DBE0829828522E4CF299C1829B3
+:10484000868564500C2A6C74044B0258016BD2A00C
+:10485000D10FC020D10F00006C10062B221E282281
+:104860001D93107B8901C0B0C0C9C03BC1F20406D2
+:10487000401DE05BC0E2C0740747010E4E01AD2D44
+:104880009E11C0402E0A1464B06E6D084428221D8B
+:104890007B81652AB0007EA13B7FA1477B51207CB4
+:1048A000A14968A91768AA1473A111C09F79A10C26
+:1048B000C18B78A107C1AE290A1E29B4007CA12BA7
+:1048C0002AB0070BAB0BDAB07DB3022ABDF8DBA030
+:1048D000CAA563FFB428B01089116987BB649FB86B
+:1048E00063FFDC00647FB463FFD50000646FD0C059
+:1048F00041C1AE2AB40063FFC62B2102CEBE2A22DC
+:104900001D2B221E7AB12A8C107CB1217AB901C0EC
+:10491000B0C9B913E026DA2028B0002CB00703880C
+:104920000A28824CC0D10B8000DBA065AFE7D240E3
+:10493000D10F8910659FD463FFF300006C1008C08D
+:10494000D0C8598C302921020C0C4760000C8E30E5
+:104950000E1E5065E19E292102C0C116E015090B0B
+:104960004C65B0908A300A6E5168E3026000852F72
+:10497000629E1BE00E6EF8532BB22668B0052E2205
+:10498000007BE94727629DB748CB7F97102B200C0F
+:10499000B04E0CBF11A6FF29F2869E12798B4117EB
+:1049A000E00507B70A2772A368700488207789306A
+:1049B00029F285DF90D7906590652A210419E03CA3
+:1049C0007A9B22DA2058069F600029002C21041BC4
+:1049D000E0387CBB18DA20C0B658069AC958600186
+:1049E0004CC09063FFCCDA2058087F600006DA20C4
+:1049F000C0B658087D655135DC40DB308D30DA209B
+:104A00000D6D515806F2C0D0D3A064A12029210217
+:104A1000C05184A18CA00404470C0C4763FF3E00E6
+:104A2000C09C8831DBD008F850089B3828210498B6
+:104A3000116E8823282066AC8C0C0C472C24667CD5
+:104A4000BB159F139E148A108B115807028E148F6A
+:104A500013C0D02D24668A30C092C1C81BDFEC7F02
+:104A6000A6099BF099F12CF40827FC106550A4B816
+:104A70003ADF70C051C08007583808084264806728
+:104A800018DFC819DFC929867E6A420AD30F6DE98B
+:104A90000500A08800F08CC0A08930B4E37F962880
+:104AA000C0F207E90B2C94089B909F912F200C12C9
+:104AB000DFC80CF811A688298285A2FF2DF4CFD279
+:104AC000A009330B238685D10F22200C891218DF11
+:104AD000C00C2B11A6BBA8222D24CF2CB285D2A0AE
+:104AE0000C990B29B685D10FC087C0900A59387927
+:104AF000809663FF8ADB30DA20C0C1C0D05BFF56EE
+:104B0000292102C0D02A9CFE65AE4D2D2502C09001
+:104B100063FE45009E142A2C74C0B1DC70DD405841
+:104B200006DD8E14C0D01BDFB9C1C863FF6AC02088
+:104B3000D10F00006C100628210216DF9D08084CDA
+:104B400065821929629E6F980260022019DF9829F8
+:104B500092266890078A2009AA0C65A20F27629DF9
+:104B6000C0CC6472072B21048E31C0A0DDA00EFEE4
+:104B7000500ECD386EB8102C2066B1CC0C0C472CE2
+:104B800024667CDB026001EFC0C12930081BDF8A8C
+:104B900064909C2F0AFFC0D3B09E64E10268921318
+:104BA0006450882A2C74044B025800930AA202060F
+:104BB000000000002B200C2721040CBC11A6CC29DE
+:104BC000C286280A087983026001B919DF7A09B917
+:104BD0000A2992A36890082E220009EE0C65E1A430
+:104BE0002EC28564E19E26200713DF836E7B026060
+:104BF000019A17DF7A1FDF8319DFB0C0D228200A9D
+:104C000093E09DE1A9690F880298E22F90802A9491
+:104C100080B1FF07FF029FE32EC2851FDF6D0EDE0E
+:104C20000BAFBF2AF4CF2EC685655F76C020D10FAB
+:104C30002830102930112E301300993200ED3264E3
+:104C400080EE2A30141FDF9D00AA3278EF050F9EF8
+:104C5000092DE47F1EDF9B66A0050F98092A84803A
+:104C6000B4A718DF98C76F009104AE9EDDE000AFD7
+:104C70001A00C31A6EE1052DB2000DED0C1EDF9275
+:104C800008D81C063303AE882A848B2EB02E2784C6
+:104C90008C03EE010FEE022EB42E58018F63FEFF3F
+:104CA0002931082925042830142E3109B088648060
+:104CB000A32E240AC0812E30162CB4232E240BB42C
+:104CC000EF2F240C8C378B36292504DEB0DFC00C87
+:104CD0008F390B8E390FEE0264EEC4089F1101C4A8
+:104CE000048D380CB81800C4040CBE1800EE110E68
+:104CF000DD02C0E30EFF021EDF669F719E701EDFA5
+:104D0000658F2098739D7405FF110BCD53C180985A
+:104D1000750FDD020EDD029D721EDF242A24662F30
+:104D2000629D2AE4A22FFC182F669D63FE7100008D
+:104D3000002F30121BDF6600FA3278FF050B980B4C
+:104D40002A847F66D0050B9A0B2DA4802A3011008F
+:104D5000AA3263FF442F240A9E2B63FF56CC57DAF6
+:104D600020DB30DC4058070EC020D10F00DA20C015
+:104D7000B658079D63FFE500DA70580636C0A02AD2
+:104D8000246663FE02DA2058079863FFCFB16928D2
+:104D9000200A8620090947991129240798107F8144
+:104DA0002693E027E50A9AE388109DE119DF428DFA
+:104DB00011096F029FE42DE416098802C0D398E21E
+:104DC0002A240763FE5100001DDF0B0868118F11B4
+:104DD000892B93E008FF02C08F9FE50D990299E2AD
+:104DE000047F11C0D49DE108FF029FE463FFD0005F
+:104DF0006C1004C020D10F006C100485210D3811F7
+:104E000014DEE98622A42408660C962205330B934F
+:104E100021743B13C862D230D10FC030BC29992114
+:104E200099209322D230D10F233DF8932163FFE3E1
+:104E30006C100AD620941817DEDED930B8389819CD
+:104E40009914655252C0E1D2E02E61021DDEDB0EE4
+:104E50000E4C65E1628F308E190F6F512FFCFD658E
+:104E6000F1558EE129D0230E8F5077E66B8F181EF7
+:104E7000DF18B0FF0FF4110F1F146590CE18DF1567
+:104E80008C60A8CCC0B119DEC928600B09CC0B0D11
+:104E9000880929811C28811A2A0A0009880C08BA65
+:104EA000381BDF0B0CA90A2992947B9B0260008CB3
+:104EB0002B600C94160CBD11A7DD29D286B8487959
+:104EC00083026000D219DEBB09B80A2882A39817B2
+:104ED0006880026000A36000A51ADEFF84180AEE55
+:104EE00001CA981BDEB28C192BB0008CC06EB313B4
+:104EF0001DDEAF0C1C520DCC0B2DC295C0A17EDB6C
+:104F0000AE6000380C0C5360000900000018DEF1A0
+:104F10008C60A8CCC0B119DEA528600B09CC0B0DA4
+:104F2000880929811C28811A2A0A0009880C08BAD4
+:104F3000380CA90A2992947E930263FF72DA60C04A
+:104F4000BA58072964507360026600001ADE988C14
+:104F5000192AA0008CC06EA31A18DE940C1C5208EB
+:104F6000CC0B18DEDB2BC295C0A178B30263FF3FE8
+:104F700063FFC9000C0C5363FF09896078991829F5
+:104F8000D285C9922B729E1DDE896EB8232DD22642
+:104F9000991369D00B60000DDA6058071360001791
+:104FA0000088607D890A9A1A29729D9C129915CFF2
+:104FB00095DA60C0B658070C6551F58D148C18DB76
+:104FC000D08DD0066A020D6D51580580D3A09A1479
+:104FD00064A1DD82A085A1B8AF9F19050547020233
+:104FE000479518C05163FE602B6104C08C8931C0A5
+:104FF000A009F950098A386EB81F2C6066A2CC0C43
+:105000000C472C64667CAB119F119E1B8A155805BA
+:10501000918E1B8F11C0A02A64669F1164F0E12954
+:1050200012032812096DF9172F810300908DAEFE2F
+:105030000080889F9200908C008088B89900908CA6
+:1050400065514E8A10851A8B301FDE6B88122960DD
+:105050000708580A2C82942D61040ECC0C2C8694DF
+:105060006FDB3C1CDE95AC9C29C0800B5D50A29987
+:1050700009094729C48065D0DA2E600CC0D01FDE34
+:10508000540CE811AFEEA7882282852DE4CF0242AE
+:105090000B228685D2A0D10F8E300E0E4763FDA65F
+:1050A000A29C0C0C472C64077AB6CD8B602E600A4C
+:1050B000280AFF08E80C64810E18DE7E831682132E
+:1050C000B33902330B2C34162D350AC02392319F8D
+:1050D00030C020923308B20208E80292349832C0FD
+:1050E000802864072B600CD2A01CDE390CBE11A7EF
+:1050F000EE2DE285ACBB28B4CF0D9D0B2DE685D1FE
+:105100000F8B1888138D30B88C0D8F470D4950B414
+:10511000990499100D0D5F04DD1009FF029F800DA9
+:10512000BB029B8165508D851AB83AC0F1C0800CD6
+:10513000F83808084264806B1BDE1A19DE1B29B69A
+:105140007E8D18B0DD6DDA0500A08800C08CC0A08F
+:1051500063FEF30082138B161DDE2B28600AC0E06D
+:105160002EC4800D880202B20B99239F20C0D298D2
+:10517000229D2122600CB2BB0C2D11A7DD28D28507
+:1051800008BB0B18DE132BD685A8222E24CFD2A065
+:10519000D10F9E1B851A2A6C748B185BFF178E1B10
+:1051A00063FEA300C087C0900AF93879809263FF3C
+:1051B00086C020D10F9E1B2A6C74C0B18D18580573
+:1051C000358E1B851A63FE7E886B8213891608BE96
+:1051D000110ECE0202920B9E25B4991EDE069F2070
+:1051E0000E88029822C0EF04D8110E88029824C0BD
+:1051F000E49E21C080D2A02B600C2864071CDDF443
+:105200000CBE11A7EE2DE285ACBB28B4CF0D9D0BD3
+:105210002DE685D10F0000006C1004C020D10F00D6
+:105220006C10048633C071C030600001B1330031AE
+:105230000400741A0462017460F1D10F6C1004024E
+:105240002A02033B025BFFF61CDDDC1BDE24C79F4A
+:1052500088B009A903098A019AB079801EC0F00FAD
+:10526000E4311DDDD30002002BD2821EDE1D2AC1D7
+:10527000020EBB022BD6820AE431D10F28C102C133
+:105280009009880208084F28C50208E431D10F00B0
+:105290006C1004C0C00CE43112DDC81ADDC5000278
+:1052A0000029A28218DE111BDE0F2621020B9901B4
+:1052B00008660129A68226250206E43114DE0C15B3
+:1052C000DE07236A902326128550242611252613F3
+:1052D000222C40D10F0000006C1008D6102B0A645D
+:1052E000291AB41ADDB20D23111CDDB30F2511B834
+:1052F0001898130E551118DDFEAC55A838AA332C9A
+:1053000080FF2A80FEA933288D0129800108AA1177
+:105310002880000CAA0208881109880208AA1C2803
+:105320008C0828160458084C14DDA40AA70224414E
+:10533000162A30802B120407AA28580847B1338B4D
+:1053400013B4559A6004AC28B4662C56277B69E0E8
+:1053500016DDDB9412C050C0D017DD979D15D370B9
+:10536000D4102F60802E60829F169E178816728937
+:105370001A8D128C402A607F0DCC282B3A200CAA63
+:1053800028580835C0B10ABE372E35408F1772F93C
+:105390001A8D128C402A60810DCC282B3A200CAA41
+:1053A0002858082DC0B10ABE372E3542B233B44456
+:1053B000B1556952B6B466C0508F15B877D370B284
+:1053C000FF9F156EF899D10F6C1004C021D10F000A
+:1053D0006C1004270A001CDD761FDD871EDD8A1D88
+:1053E000DD731ADDB51BDDC3C02824B0006D2A753E
+:1053F000AA48288080C09164806100410415DD6E58
+:10540000C03125502E00361A0655010595390C5627
+:10541000110C66082962966E974D0D590A2992243F
+:1054200068900812DDA702420872993B2362951228
+:10543000DD6BCB349F300282020E4402C092993160
+:1054400094329233AD52246295C090244C1024665D
+:105450009524B0002924A0AA42292480B177B14420
+:1054600004044224B400D10FD10FD10F6C10041AE0
+:10547000DD4F2AA00058021C5BFFD5022A02033B25
+:10548000025BFFD11BDD4DC9A12CB102C0D40DCCF4
+:10549000020C0C4F2CB5020CE431D10FC0A00AE471
+:1054A0003118DD430002002F828219DD562EB10231
+:1054B00009FF022F86820EE431D10F006C1004C068
+:1054C0002002E43114DD3D16DD3A00020022628242
+:1054D000234102732F0603E431C020D10F19DD8769
+:1054E0001ADD862841020A2A010988012A668228D3
+:1054F000450208E43115DD7D12DD8225461DD10F00
+:105500006C1004292006289CF96480A02A9CFD6563
+:10551000A0968A288D262F0A087AD9042B221FC824
+:10552000BD2C206464C0812E22090EAE0C66E0788A
+:105530002B200C1EDD1F0CBC11AECC28C28619DD41
+:105540001D78F3026000AD09B90A2992A36890089A
+:105550002E220009EE0C65E09B29C2851FDD276421
+:1055600090929F90C0E41FDD349E9128200AC0E0F5
+:105570009E930F8802989288200F880298942F207B
+:10558000079A979D962F950A2E24072820062920F2
+:105590006468833328C28512DD0E288C20A2B22EC7
+:1055A00024CF28C685C020D10FC020D10F2A206A61
+:1055B0000111020A2A4165AF52DA20C0B05805D164
+:1055C00064AFE5C021D10F00649FC81FDCFB2D2014
+:1055D000168FF209DD0C00F10400DD1AADAD9D2936
+:1055E00012DCFC28C285A2B22E24CF288C2028C62B
+:1055F00085C020D10FC021D10F0000006C100426FF
+:105600000A001BDD4015DCEC28206517DCE9288C3E
+:10561000FE6480940C4D110DBD082CD2F52BD2F4F4
+:105620002ED2F77CB13DB4BB2BD6F47BE9052BD24F
+:10563000F62BD6F47CB92C2AD2F62AD6F52AD6F443
+:1056400006E4310002002872822AFAFF0041042990
+:105650000A012F510200991A0A9903098801287634
+:10566000820FE4312624652BD2F48E5A2CD2F5B069
+:10567000EE9E5A7BCB1629D2F62FD2F70CB80C0926
+:10568000FF0C08FF0C0F2F14C8F96000320BCA0C76
+:105690000A2A14CEA92B5102C0C20CBB020B0B4F1D
+:1056A0002B55020BE431D10F00DB30DA205BFF9485
+:1056B0001BDD1564AF5D0C4D11ADBD63FFA800008F
+:1056C00006E4310002002F728218DCD42E51020849
+:1056D000FF022F76820EE431D10F00006C1004C05F
+:1056E0003003E43116DCB315DCB40002002462821E
+:1056F00074472118DD05875A084801286682CD7352
+:1057000019DD030C2A11AA9922928329928472919D
+:10571000038220CC292B51020BE431C020D10F0091
+:105720001FDCFC2E51020FEE012E55020EE431B0AB
+:105730002DB17C9C5A12DCF708DD112D5619D10FC2
+:105740006C10061BDC9A1EDC9C22B0001ADCF36F86
+:1057500023721DDCDAC04818DCF21FDCF0DC10D547
+:10576000C083F000808600508A6D4A4F0F35110DBE
+:1057700034092440800B560A296294B1330E55092E
+:105780002251400F44110C440A874009A80C02889A
+:105790003622514107883608770CA89929669497D4
+:1057A00040296295874109A80C0288360788360887
+:1057B000770CA8992966959741030342B1380808E8
+:1057C0004298F0D10F1CDCD713DCD827B00023326D
+:1057D000B5647057C091C0D016DCD615DCD4C0407B
+:1057E0002AC00003884328C4006D793C004104B1FD
+:1057F0004400971A7780148E502FB2952DB695AF2E
+:10580000EE2EED2006EE369E5060001877A009833C
+:10581000509D5023B69560000223B295223D20068C
+:10582000223622B695B455B8BBD10F000388432861
+:10583000C400D10F6C1004C04004E43115DCBE007C
+:105840000200885013DCBDCB815BFFBD1CDCBC0CAF
+:105850002D11ADCC2BC2822AC28394507BAB142E67
+:10586000C28429C2850ABD0C0E990C0D990C092918
+:10587000146000050BA90C092914993015DC4F2A76
+:1058800051020AE4312A2CFC58004B2B32000AA2A8
+:10589000022BBCFF9B30CCB6C8A4D2A0D10F000015
+:1058A00004E4311EDC430002002DE2822FBAFF2CFB
+:1058B00051020FDD012DE6820CE431D10F00000012
+:1058C0006C1004D10F0000006C1004C020D10F0038
+:1058D0006C100413DC9BC0D103230923318DC0A0BD
+:1058E0006F340260008D19DC321BDC3317DC940C42
+:1058F0002811A8772672832572822CFAFF765147E9
+:1059000088502E7285255C0425768275E9052572FE
+:10591000842576827659292E72842E76822E76837D
+:105920000AE4310002002392820021042FB1020018
+:10593000D61A0C66030633012396820FE4312672D1
+:105940008325728260000200D8A07659220AE431D1
+:1059500000020023928200210400D21A2FB1020C0F
+:1059600022030232012296820FE431D280D10F004D
+:10597000D280D10FC020D10F6C1004DB30862015EF
+:10598000DC0B280A00282502DA2028B0002CB007FA
+:1059900005880A28824C2D0A010B8000DBA065AF28
+:1059A000E61ADC040A4A0A29A2A3C7BF769101D1EC
+:1059B0000F2BA6A3D10F00006C1004C0D1C7CF1BC2
+:1059C000DBFE19DBFB17DBF90C2811A87786758540
+:1059D00074C0A076516288508E77B455957475E97D
+:1059E00003857695747659278F769F759F740AE4A0
+:1059F00031000200239282B42E2FB10200E1040094
+:105A0000D61A0C66030633012396820FE43186759D
+:105A100083747639280AE4310002002E9282B4227F
+:105A200000210424B10200DF1A0CFF030FEE012E47
+:105A3000968204E431D280D10FD8A07651D6D2809C
+:105A4000D10F00006C1004290A801EDC001FDC004E
+:105A50001CDBD80C2B11ACBB2C2CFC2DB2850FCC35
+:105A6000029ED19CD0C051C07013DBFC14DBFB182C
+:105A7000DBF92AB285A82804240A234691A986B80E
+:105A8000AA2AB685A98827849F25649FD10F000084
+:105A90006C100419DC2C0C2A11A9A98990C48479F2
+:105AA0008B761BDC1AABAC2AC2832CC2847AC16809
+:105AB0008AA02BBC30D3A064A05E0B2B0A2CB2A30F
+:105AC00019DBE568C0071DDC20D30F7DC94AA92971
+:105AD000299D0129901F68913270A603D3A0CA9E08
+:105AE000689210C7AF2AB6A32A2CFC5BFFB3D23052
+:105AF000D10F000013DBC503A3018C311DDBB60CF5
+:105B00008C140DCC012CB6A363FFDC00C020D10F98
+:105B1000DA205BFFCCC020D10FC020D10F000000E5
+:105B20006C1004DB30C0D019DBA1DA202830002251
+:105B3000300708481209880A28824CDC200B8000B4
+:105B40001BDB9C0C4A11ABAA29A28409290B29A6AC
+:105B500084D10F006C1004C04118DB9517DB970C43
+:105B60002611A727277030A866256286007104A336
+:105B70005500441A75414822628415DBB802320B85
+:105B8000C922882117DB940884140744017549054C
+:105B9000C834C020D10FD10F0809471DDBEBC0B2BC
+:105BA0008E201FDB820E0E43AFEC2BC4A00FEE0A3B
+:105BB0002DE6242A6284C0200A990B296684D10F1D
+:105BC000C020D10F6C1004DB30C0D018DB78DA2095
+:105BD00025300022300708580A28824CDC200B8030
+:105BE000008931709E121BDB720C4A11ABAA29A2EC
+:105BF0008409290B29A684D10F09C95268532600AC
+:105C0000910418DB6DC0A12F811200AA1A0AFF02AD
+:105C10002F85121EDB670C4D11AEDD2CD2840C2CAF
+:105C20000B2CD684D10FC0811FDB64B89A0A0A47B7
+:105C30002EF11200A10400881A08EE022EF5121DA2
+:105C4000DB5C0C4C11ADCC2BC2840B2B0B2BC68414
+:105C5000D10F00006C1004DB30C0D019DB54DA2007
+:105C600028300022300709880A28824CDC200B806B
+:105C7000001CDB4F0C4B11ACBB2AB2840A2A0B2A46
+:105C8000B684D10F6C1004C04118DB4916DB4B0CF5
+:105C90002711A626266030A872252286006104A35B
+:105CA0005500441A75410822228402320BD10F009C
+:105CB000C020D10F6C100415DBA502491429561120
+:105CC0002452120208430F8811C073008104003669
+:105CD0001A008104C78F00771A087703074401066A
+:105CE0004402245612D10F006C10066E230260008D
+:105CF000AC6420A7C0A0851013DB7E16DB94C040E7
+:105D0000A6AA2BA2AE0B194164906668915D6892B9
+:105D10005268933C2AA2AA283C7F288C7F0A0A4D0D
+:105D20002980012880002AACF208881109880275B0
+:105D300089462B3D0129B0002BB0010899110B9920
+:105D4000027A9934B8332A2A00B1447249B160000A
+:105D50004A7FBF0715DB7F63FFB90000253AE86380
+:105D6000FFB10000253AE863FFA90000250A64633B
+:105D7000FFA1C05A63FF9C0000705F082534FF0537
+:105D80008C142C34FE70AF0B0A8D142E3D012AE4C6
+:105D9000012DE400DA405BFD5063FFA7D10FD10F66
+:105DA0006C10041ADB0519DB021CDB6A1BDB6BC001
+:105DB00080C07160000D00000022A430B1AA299CAF
+:105DC000107B915F26928679C2156E6262C0206D4B
+:105DD000080AB12200210400741A764BDB63FFEE3F
+:105DE0002292850D6311032514645FCFD650032DD5
+:105DF000436DD9039820B4220644146D492298209B
+:105E000098219822982398249825982698279828AE
+:105E10009829982A982B982C982D982E982F222CD8
+:105E20004063FF971EDAE327E68027E681D10F0063
+:105E3000C02063FF830000006C1004C062C04112E8
+:105E4000DADE1ADADA13DB452AA00023322D19DB59
+:105E50003F2BACFE2992AE6EA30260008E090E406D
+:105E60002D1AC2C2CD0EDC392C251664B0895BFF19
+:105E70009E15DB3B1ADAE52B3AE80A3A015805761B
+:105E80002B21160ABB28D3A02B560058058D8B500A
+:105E90000ABB082A0A0058058C15DB322D21022C7A
+:105EA0003AE80C3C2804DD022D25029C505805845C
+:105EB0008B50AABBC0A15805841CDB2B2D21020CE2
+:105EC0003C2806DD0213DB292D25029C3058057C79
+:105ED0008B30AABBC0A258057C2A2102C0B40BAAF1
+:105EE000020A0A4F2A2502580590D10F242423C301
+:105EF000CC2C251663FF760018DB211CDB1D19DB7B
+:105F00001E1BDB1C17DAF085202E0AFD1FDB1D2D62
+:105F1000202E24F47A24F47E24F4820EDD0124F46D
+:105F2000862E0AF707552806DD02C0750EDD01052D
+:105F30000506AB5BA959C0E8AC5C24C4AB0EDD021E
+:105F400027C4AC2E0ADFA85527B4EC0EDD0124B41B
+:105F5000EBC2E027942C0EDD0224942B2E0A800D38
+:105F60000D4627546C24546B0EDD022D242E63FE47
+:105F7000FC0000006C10042A0A302B0A035BFF4D62
+:105F800012DAF3C390292616C3A1C0B3C08A28260B
+:105F9000175BFF48C03CC3B12B26161ADA872AA02C
+:105FA0002023261764A079C3A2C0B15BFF42C3A21D
+:105FB000C0B15BFF40C3C22C2616C2AFC0B12326BE
+:105FC000175BFF3CC28F282616C0FE2F2617C2E2A1
+:105FD0002E26162A0AA1C0B1C0D82D26175BFF3580
+:105FE0002A0AA12A2616C3A6C0B3C1922926175B86
+:105FF000FF31C3C62C2616C1B32A0AA22B2617C00E
+:10600000B35BFF2C290AA2292616C185282617C2B0
+:10601000FB2F2616C0E72E26171DDADA2D2610D103
+:106020000FC3A2C0B35BFF2363FF82006C10041C8C
+:10603000DAA41BDA9118DAD417DAD516DAD515DA1C
+:10604000D5C0E0C0D414DAA01FDA5CC0288FF06D90
+:106050002A36DAC0D9C07C5B020FC90C1CDA9A0C54
+:106060009C28A8C3A6C22A36802A2584A4C2A7CC0D
+:106070002D248C2B248A2B24872E248BB1BB2E36E7
+:106080009F2C369E2C369DB1AC1CDA7B1BDAC3C02C
+:10609000286D2A33DAC0D9C07C5B020FC90C1CDA28
+:1060A000890C9C28A8C3A6C22A36802B2584A4C2AA
+:1060B000B1BBA7CC2D248C2E248B2A248A2E369F6C
+:1060C0002C369E2C369DB1ACC07919DA791BDAB525
+:1060D00013DAB31ADAB318DAB414DA7A16DAB404C3
+:1060E000F42812DAB304660C040506A252A858AAD2
+:1060F0005AA3539B3029A50027848AC091C0A52AA2
+:10610000848C29848B17DAAC18DAABA75726361D96
+:1061100026361E2E361F16DAA913DAA9A655043321
+:106120000C2826C82E75002D54AC2E54AB2E54AA24
+:106130002326E62326E52E26E7D10F006C10061352
+:10614000DA8717DA8224723D2232937F2F0B6D0893
+:10615000052832937F8F0263FFF3C0C4C0B01ADA00
+:1061600016C051D94004593929A4206E44020BB5F8
+:1061700002C3281EDA11DDB025E422052D392DE4F5
+:1061800021C0501EDA9019DA8018DA8016DA821DE2
+:10619000DA8E94102A724517DA4C6DA94BD450B39D
+:1061A000557A5B17DF50756B071FDA038FF00F5FAF
+:1061B0000C12DA4402F228AE2222D681D54013DA3C
+:1061C00041746B0715D9FD855005450C035328B163
+:1061D00045A73FA832A93322369D22369E24368019
+:1061E0002B369F2BF48B2CF48C14DA5C24424DC09C
+:1061F00030041414C84C6D0806B133041414C8429A
+:1062000063FFF20015D9EAC4400031041AD9EBC08B
+:10621000D193A200DD1AC138B0DD9DA318DA502B4E
+:10622000824D29824E29A51C2882537A871E2C5420
+:10623000008E106FE45D12D9E02F211D23211C2F49
+:10624000251B04330C23251C23251AD10FC06218EB
+:10625000DA3F88807E87D989102654006F94191BF5
+:10626000D9D62AB11C0A1A1404AA0C2AB51C2AB5BC
+:106270001D2AB51A2AB51BD10F1BD9CF2AB11C0A6A
+:106280001A1403AA0C2AB51C2AB51D2AB51A2AB558
+:106290001BD10F001CD9C92BC11D2DC11C2BC51B27
+:1062A00003DD0C2DC51C2DC51AD10F006C1006196D
+:1062B000D9C214DA2612DA2915DA44C73FC0E02E13
+:1062C00056A82E56A92E56AA2E56AB23262918D9E3
+:1062D000EADB101CDA3EC0D42A42452D16019C1080
+:1062E00000B0890A880C2896005BFF942B22E318E3
+:1062F000D9B20B5B149B842A22E48B84B1AA0A5A7C
+:10630000140BAA0C9A852922E509591499862F2283
+:10631000CD0F5F149F875BFF455BFF1623463BC194
+:10632000B01DD9A51CDA032AD1022C463A0BAA02C9
+:106330000A0A4F2AD50258047C5BFEBF5BFE98C058
+:1063400050C0B016D99B14D9A317DA12C0C0C73EEB
+:1063500093122C262DC0306000430000007F9F0F59
+:10636000B155091914659FF4C0500AA9027FA7EF1F
+:1063700018D98FDA5008580A28822C2B0A000B8073
+:1063800000005104D2A0C091C7AF00991A0A990326
+:106390009912CE33642067D3202B200795138C12DB
+:1063A0002A62827CA85F18D98108580A28822CDAD0
+:1063B000500B8000D2A0643FDA8A310A8A1404AA02
+:1063C00001C8298B210B8B1404BB017BA945DDA0DF
+:1063D0007A7B081DD9792DD2000DAD0CDB3019D98F
+:1063E000731AD9B82812030ADA28088C021DD9F5C5
+:1063F00009880A28823C0DAA080B8000652F97D3D4
+:1064000020C0B063FF97CB53B1550050040A09195F
+:1064100063FF4900DAB07B7B071AD9678AA00ABA02
+:106420000C1BD9A88C310BAB280C8A141CD9E6ACF8
+:10643000BB1CD9E504AA012BC68163FF907FA7C7C7
+:1064400063FF62006C100427221EC08008E4311B29
+:10645000D9580002002AB28219D958003104C0610B
+:1064600000661A2991020A6A022AB68209E43115E5
+:10647000D9B30C3811A8532832822432842A8CFCD8
+:106480007841102921022A368297A009690229251C
+:1064900002D10F002B21022C32850B6B022CCCFC7D
+:1064A0002C368297C02B2502D10F00006C1004C03F
+:1064B000E71DD93B1CD93D0D4911D7208B228A20DD
+:1064C0000B4B0BD2A007A80C9B72288CF4C8346F1E
+:1064D0008E026000A21FD933A298AF7B78B334C973
+:1064E0003DC081C0F0028F380F0F42C9FA2CD67E12
+:1064F000D5206D4A0500308800508C8870089808B7
+:1065000078B16CD2A09870D10FC0F0038F387FE0C3
+:10651000DE63FFD8027B0CAFBB0B990C643046D80E
+:1065200030C0F1C05002F5380505426450792CD6D0
+:106530007E0B36122F6C100F4F366DFA05008088D7
+:1065400000208C06440CC081250A0003B208237C7D
+:106550000C0385380505426450592CD67E6D4A05DA
+:1065600000208800308CD2A0A798BC889870D10FEA
+:10657000D2A0BC799970D10FD2302BAD08C0F1C038
+:10658000500BF538050542CB552CD67E083F14C17B
+:10659000600F660C064636D30F6D6A050020880032
+:1065A000B08C827063FF2D00C05003F53875E08019
+:1065B00063FF7A00C06002863876E0A063FF9A002D
+:1065C000C05003F53875E0C363FFBD006C1004D6FE
+:1065D0002068520F695324DA20DB30DC405800F089
+:1065E000D2A0D10FDA20DB30DC405800ED9A242411
+:1065F000240EC02122640FC020D10F00B83BB04C44
+:106600002A2C7489242D200E2E200FA4DDB1EE2E0D
+:10661000240FB0DD2D240E2890072D9003A488B000
+:1066200088B1DD2D94032894075BFFA069511DC03C
+:10663000E082242A600F18D9662A240329600E8F6D
+:106640002029240708FF029F209E64D10FC020D17B
+:106650000F0000006C1004942319D95EC0B3083AEF
+:10666000110BAA02992019D8D29A2116D8D0C0505D
+:1066700028929D2564A2288C1828969DD10F000091
+:106680006C1004282066C038232406B788282466A6
+:10669000D10F00006C1006035A0C0D36110D5C1161
+:1066A000D8208B2282210CBB0C06550F9B82023214
+:1066B0000B928113D8BCD920A38F6450531CD8B837
+:1066C000C0D71BD8B9A256C0E1290A0004E938098D
+:1066D000094276F34A044302C99E2BC67E6DAA0581
+:1066E00000208800308C8981A95909FA0C64A079AE
+:1066F00099818A82C8ADD290D10FC06002E6387607
+:10670000D0DA63FFD4C020BC89998199809282D16C
+:106710000F7F2304292DF8998165BFD963FFE50018
+:10672000028F0CA3FF0F3312931003AA0CD340CB9C
+:106730009E2BC67E86106D6A0500208800308CBCBA
+:1067400082290A0004F308240A010349380909428E
+:10675000CA982BC67E6DAA0500208800308C0F5980
+:106760000CA989BC99998163FF87BC89998163FFD2
+:1067700080C06002E63876D0BA63FFB4C0700247CA
+:106780003877D0D063FFCA006C100414D895C1527A
+:10679000A424CA3028221D73811B292102CD952AE9
+:1067A000300075A912DA20033B022C30072D0A02B3
+:1067B0005801C2653FDDD10F2B300703BB0BDAB0A8
+:1067C00074B3022ABDF8D3A063FFC6006C1004297D
+:1067D0002006C0706E9741292102C08F2A2014C064
+:1067E000B62B240606AA022A241479800227250241
+:1067F0002A221E2C221D7AC10EC8ABDA20DB302CD7
+:106800000A00033D025BF8146450752D21020D0D42
+:106810004CC9D3C020D10F00002E9CFB64E0822F16
+:1068200021020F0F4C65F0911AD8621CD86029A282
+:106830009EC08A798B5D2BC22668B0048D207BD9DF
+:106840005229A29DC0F364904A97901DD8732E21BF
+:10685000049D9608EE110FEE029E979E9118D86F38
+:10686000C0E527C4A22E24062BA29D2F21022BBCFB
+:106870003008FF022F25022BA69DC020D10F00005B
+:10688000002F300068F938DA20DB30DC4058004453
+:1068900063FF7700022A022B0A065800D3220A005F
+:1068A000D10F655010283000688924022A02033B6A
+:1068B00002DC4058003BC020D10FD270D10F000045
+:1068C0002A2C74033B02044C025BFEF863FF3B007E
+:1068D000DB30DC402A2C745BFEF5C020D10F0000B9
+:1068E0006C1004C83F89268829A399992609880C29
+:1068F000080848282525CC52C020D10FDB402A2C7F
+:10690000745BF93DD2A0D10F6C1004D820D730822F
+:10691000220D451105220C928264207407420B134C
+:10692000D821D420A383732302242DF885807451A9
+:106930004CBC82C0906D081600408800708C77397E
+:1069400003D720C0918680743901D420746102631A
+:10695000FFE2CA98C097C0411BD8A0C0A00B8B0C07
+:106960000B4A380A0A42C9AA1DD80E1CD80F2CD6C9
+:106970007EC140D30F6D4A0500208800308C97807F
+:10698000D270D10FBC8FC0E00F4E387E90E263FF13
+:10699000D6BC8292819280C0209282D10F000000EA
+:1069A0006C1006C0D71CD7FE1BD8000D4911D7208C
+:1069B0002E221F28221D0E4E0BD280078A0C2E7607
+:1069C0001F2AAC80C8346FAE026000CB2F0A801A39
+:1069D000D804A29EAA7A7EA33FC93FC0E1C050025C
+:1069E000E538050542CA552BC67EDB20D30F6D4A1C
+:1069F0000500308800B08C2E721DAE9E0EA50C6472
+:106A00005086D2802E761DC091298403D10FC050AC
+:106A100003E53875D0D363FFCD15D7F1027E0CA501
+:106A2000EE643051C0A1250A0002A538033A0205E0
+:106A300005426450922BC67E0E35129510255C10CF
+:106A4000054536D30F6D5A0500A08800208CC0A1E3
+:106A5000A3E2C05023FA8003730C03A538AF73057B
+:106A600005426450722BC67E851005450C6D5A0593
+:106A700000208800308CD280C0A10E9B0CAB7BAF75
+:106A8000BB2B761D2A8403D10FD280C0C1AF7D2DD0
+:106A9000761D2C8403D10F00D2302E8D08C0F1C09A
+:106AA000500EF538050542CB592BC67E0A3F14C15E
+:106AB000600F660C064636D30F6D6A05002088000D
+:106AC000E08C22721D63FF03C061C05003653875FE
+:106AD000D80263FF6263FF5CC05002A53875D0879F
+:106AE00063FF8100C06003F63876D0BF63FFB90052
+:106AF0006C10042A201529201614D7AF0A990CCB44
+:106B00009D2E200B04ED092BD11C8F2809BC36AC1F
+:106B1000AA0CBB0C2BD51C0A0A472A2415CAAF8B1A
+:106B2000438942B0A800910400881AA8FF0FBB0255
+:106B30009B278F260FB80C783B1AC020D10F00007E
+:106B4000292102C0A20A9902292502C021D10F00E1
+:106B50008B2763FFDC2BD11C0CAA0C0A0A472A24C2
+:106B600015ACBB2BD51CC9AE8B438C288F42B0AD66
+:106B700000F10400DD1AADCC0CBB029B27DA20B774
+:106B8000EB580019C021D10F9F2763FFEF000000D1
+:106B90006C100428203C64304705306000073E013B
+:106BA000053EB156076539054928C77FA933030655
+:106BB00041076603B166060641A6337E871E222181
+:106BC00025291AFC732B1502380C09816000063E3A
+:106BD00001023EB12406423903220AD10FD230D13C
+:106BE0000FC05163FFC000006C100427221EC0803C
+:106BF00008E4311DD76F0002002CD2821BD76F0032
+:106C00003104C06100661A2BB1020C6C022CD682D2
+:106C10000BE43119D7F20C3A11AA932832829780EB
+:106C2000253282243284B45525368275410A2921C1
+:106C300002096902292502D10F2A21022B32830A77
+:106C40006A022B36822A2502D10F00006C1004192B
+:106C5000D76327221EC08009770208E4311DD7546C
+:106C60000002002CD2821BD754003104C0610066A0
+:106C70001A2BB1020C6C022CD6820BE43119D7D737
+:106C80000C3A11AA932832829780253282243284CA
+:106C9000B45525368275410B2A21020A6A022A253B
+:106CA00002D10F002B21022C32830B6B022C368277
+:106CB0002B2502D10F0000006C10041BD73D0C2ABD
+:106CC00011ABAA29A286B438798B221BD73A19D7DF
+:106CD000610B2B0A2BB2A309290868B00274B90D05
+:106CE000299D0129901F6E920822A285D10FC020F4
+:106CF000D10FC892C020D10FDA205BEF35C020D170
+:106D00000F0000006C100414D72A28429E19D727C0
+:106D10006F88026000BA2992266890078A2009AA23
+:106D20000C65A0AC2A429DC0DC64A0A42B200C19E9
+:106D3000D7210CBC11A4CC2EC28609B90A7ED3027D
+:106D400060009A2992A36890078D2009DD0C65D018
+:106D50008C25C2856450862D2104C0306ED80D2C40
+:106D60002066B8CC0C0C472C246665C07B1CD79CD5
+:106D700018D7281AD71E19D72F1DD724C0E49E5123
+:106D80009D508F209357935599539A569A5408FFC4
+:106D9000021AD73A9F5288269F5A9E599D58935E51
+:106DA0009C5D935C9A5B080848058811985FC0D881
+:106DB0001FD7080CB911A499289285AFBF23F4CF2F
+:106DC000288C402896858E262D24069E29C020D109
+:106DD0000FCA33DA20C0B65BFF84C72FD10FC93A80
+:106DE000DA205BFF81C72FD10FDBD05BFE1A232493
+:106DF000662B200C63FF7500C72FD10FC72FD10F53
+:106E00006C1004C85B29200668941C689607C02093
+:106E1000D10FC020D10FDA20DB30DC40DD502E0A4C
+:106E2000005BFE6AD2A0D10F2E200C18D6E10CEF29
+:106E300011A8FF29F286C088798B751AD6DE0AEA76
+:106E40000A2AA2A368A0048B207AB96423F285647D
+:106E5000305E1CD6E82A0A802D2068292067282168
+:106E6000040B991104881109880208DD02C09428D6
+:106E70004A1008DD0218D6E0993198308B2B9A37EA
+:106E80009D340CBB029B32C0C09C359C362A2C74AE
+:106E9000DB40C0D318D6CF29F285A8EE299C202C40
+:106EA000E4CF29F6852D2406DD405BFDFAD2A0D182
+:106EB0000FDA20DBE05BFF4CC020D10F6C100AD64C
+:106EC000302A2006941128ACF86583872B2122C034
+:106ED000F22A21246550082AAC010A0A4F2A2524E7
+:106EE0007ABB0260037F2C21020C0C4C65C3192E67
+:106EF00022158D32C0910EDD0C65D39088381ED6D8
+:106F0000AC64836B8C37C0B8C0960CB9399914B493
+:106F10009A9A120D991199138F6718D6A7C9FB2851
+:106F200080217F83168B142C22002A200C5BFF62A9
+:106F3000D4A064A3A88F6760002800002B200C89D0
+:106F4000120CBA11AEAA2CA2861DD69A7C9B3E0DBD
+:106F5000BD0A2DD2A368D00488207D893024A28563
+:106F600064436427212E07F73607F90C6F9D01D77C
+:106F7000F0DA20DB70C1C42D211F5BFF0589268854
+:106F800027DDA009880C7A8B179A10600006C04094
+:106F900063FFCC0000DA208B105BFED58D1065A25C
+:106FA00067C0E09E488C649C498B658A669B4A9AC0
+:106FB0004B97458F677F7302600120CD529D10DA99
+:106FC00020DB302C12015BFE768D10C051D6A08FD5
+:106FD000A7C0C08A68974D9A4C8869896A984E996B
+:106FE0004F8E6A8A69AE7E77EB01B1AA9E6A9A6972
+:106FF0008B60C0A00B8E1477B701C0A1C091C08474
+:1070000093159D179516C0D025203CC03008580117
+:10701000089338C082083310085B010535400B9D8A
+:107020003807DD100BAB100E19402A211F079910ED
+:1070300003DD020DBB020553100933020A55112965
+:1070400021250A2A140929140499110A99020933DD
+:10705000028A2B2921040BAA021BD6E208991109E6
+:1070600055020855020BAA029A40892088140899F3
+:107070001109880219D6631DD6DC09880298418B54
+:107080002A9346954783150DBB0285168D179B44A1
+:107090008A658966AACAA97C77CB01B1AA07FB0CCD
+:1070A0009C669A6588268E29AD87972607EE0C0E7A
+:1070B0000E482E25259B672B200C87131ED63D0CD2
+:1070C000B911AE99289285A78828968517D641C010
+:1070D00090A7BB29B4CF871863FE3C008C60C0E04A
+:1070E000C091C0F0C034C0B82A210428203C08AAAE
+:1070F000110B8B01038301039F380B9B39C03208AE
+:10710000FF10038801089E380C881407EE100FEE5C
+:107110000203880108983905BF1029211F0ABB11F5
+:1071200007881008FF020BAA0218D6350929140394
+:10713000AA022B212583200B2B1404BB1108331129
+:107140000FBB020B99028B148F2A0B3302083302F8
+:107150008B2B6470868868974D984C8769886A93F2
+:10716000419946974E984FC07077C701C0719A47B2
+:1071700018D69E0B7C100CEC0208F802984418D626
+:107180009B0CBC0208CC029C402A200C295CFEC04F
+:10719000801FD6071CD60F0CAE112B2124ACAAAF32
+:1071A000EEB0BB8F132CE28528A4CFAFCC2CE685A4
+:1071B0002A22152B2524B1AA2A26156490DBC9D2D0
+:1071C0008F262E22090DFF082F26060FEE0C0E0E1D
+:1071D000482E25256550E4C020D10F00C070934192
+:1071E0009F4499469A4777C70A1CD5F32CC022C002
+:1071F000810C87381CD67F0B781008E80208B8028B
+:107200000C8802984063FF8000CC57DA20DB608C4A
+:10721000115BFDE3292102689806689403C020D120
+:107220000F2B221EC0A029221D2A25027B9901C0F6
+:10723000B064BFE813D5DE2CB00728B000DA200315
+:10724000880A28824CC0D10B8000DBA065AFE763C1
+:10725000FFCA000068A779DA20DB30DC40DD505B34
+:10726000FEE8D2A0D10FC16DC19D29252C6000047C
+:1072700029252CD6902624672F2468DA20DB308C31
+:1072800011DD502E0A805BFD51D2A0D10FC168C123
+:10729000A82A252C63FFDD000000C8DF8C268B297F
+:1072A000ADCC9C260CBB0C0B0B482B25252A2C7433
+:1072B000DB602C12015BFD94D2A0D10F2A2C748BC1
+:1072C000115BF6CDD2A0D10FDA205BFE4763FF3809
+:1072D00000DA20C0B15BFE8B65AF2D63FBEDDA20D9
+:1072E0002B200C5BFE5A63FF1F00000012D6428267
+:1072F00020028257C82163FFFC12D63E03E8300407
+:10730000EE3005B13093209421952263FFFC0000FC
+:1073100010D63A910092019302940311D611821073
+:1073200001EA30A21101F031C04004E4160002006D
+:1073300011D6338210234A00032202921011D5FD88
+:10734000C021921004E43184038302820181000091
+:10735000D23001230000000010D62A910092019340
+:1073600002940311D600821001EA30A21101F1311A
+:10737000C04004E41600020011D621821013D5A7E4
+:10738000032202921004E43184038302820181000B
+:1073900000D330013300000010D61B91008101653D
+:1073A000104981026510448103CF1F92019302941A
+:1073B0000311D5EE821001EA30A21101F231C04072
+:1073C00004E41600020011D60D821013D58E03229C
+:1073D00002921004E431840383028201C0109103FD
+:1073E00091029101810000D43001430012D5BDC04B
+:1073F0003028374028374428374828374C233D0168
+:107400007233ED03020063FFFC00000010D5FF9112
+:107410000092019302940311D5FD8210921011D5B0
+:10742000AF8310032202921011D5FA12D5C1921027
+:10743000C04004E41600020011D5F1821013D5A853
+:10744000032202921004E43184038302820181004A
+:1074500000D53001530000006C10026E322FD62090
+:10746000056F04043F04745B2A05440C00410400CA
+:10747000331A220A006D490D73630403660CB122AE
+:107480000F2211031314736302222C01D10FC83B86
+:10749000D10F000073630CC021D10F000000000069
+:1074A00044495630C020D10F6C10020040046B4C90
+:1074B00007032318020219D10F020319C020D10FAC
+:1074C0006C100202EA30D10F6C1002CC2503F031AF
+:1074D00060000F006F220503F1316000056F230586
+:1074E00003F231000200D10F6C1002CC2502F03003
+:1074F000D10F00006F220402F130D10F6F2304027C
+:10750000F230D10FC020D10F6C1002220A20230AC2
+:10751000006D280E28374028374428374828374C34
+:10752000233D01030200D10F6C100202E431D10FA0
+:107530000A0000004368656C73696F204657204459
+:10754000454255473D3020284275696C7420576587
+:1075500064204F63742020382031353A35303A3575
+:1075600030205044542032303038206F6E20636C0D
+:10757000656F70617472613A2F686F6D652F666513
+:107580006C69782F772F66775F372E30292C20563D
+:10759000657273696F6E2054337878203030372EDF
+:1075A00030312E3030202D203130303730313030F6
+:0875B000100701006F4EF8BB4B
+:00000001FF
diff --git a/firmware/myricom/lanai.bin.ihex b/firmware/myricom/lanai.bin.ihex
new file mode 100644
index 0000000..bf47f4c
--- /dev/null
+++ b/firmware/myricom/lanai.bin.ihex
@@ -0,0 +1,4771 @@
+:100000004FF8F20EFE00C290000007880008E001E1
+:10001000014C9793FFFCE000001400000001000079
+:1000200000009293FFFC02900008F7062A6C9713D9
+:10003000FFFCF7062C109713FFFC07880008E00070
+:1000400015489793FFFCF702053C9713FFFCF70256
+:1000500000039713FFFCF70629E09713FFFC0788BE
+:100060000008E000161C9793FFFCF7062B849713FB
+:10007000FFFCF7062C1C9713FFFC07880008E00024
+:1000800015489793FFFCF7020ABC9713FFFCF70291
+:1000900000029713FFFCF7062AF89713FFFC078866
+:1000A0000008E000161C9793FFFC8796FFFC8296E1
+:1000B000FFF802140000013C0000000000019293D0
+:1000C000FFFC02900008F7044A9C85160000203AC5
+:1000D0000001EE00010100000001F70475EC0000D2
+:1000E0000001203A0000E600010000000001F704D2
+:1000F00075F000000001203A0000E600012D00002C
+:100100000001F7042D38F6862C2806380001F60584
+:100110002D3877390002F482001220320044E600C4
+:1001200001E0B4BA6802E00001E0F0052D38F70400
+:100130003B64F5844F54F7057A10202E0000E6004A
+:100140000199972A002095AA001CF6064A9826AC29
+:10015000000177350001C738680077390002073899
+:10016000000CA4BA60020000000194AA0010C73875
+:100170006000873A000400000001972A0014F70489
+:100180004A9C0000000127380001C02E7200D700F1
+:100190000A01E00001D0F7057A1895AA001CF606BE
+:1001A0004A9806AC000177350001C73868007739F6
+:1001B00000020738000CA4BA60020000000194AAF3
+:1001C0000010C7386000873A0004F0057A18972AB3
+:1001D0000014F50579D807880008E00001F497932A
+:1001E000FFFC8796FFFC8296FFF802140004013C96
+:1001F0000000000000019293FFFC02900008221012
+:100200000038F7047A10F6843B6400000001C03A1D
+:100210006A00470C0001D7000A70203A0000E6008F
+:10022000024C00000001F70475EC00000001203AC8
+:100230000000E600024C00000001F70475F0000029
+:100240000001203A0000E6000285F4820000F70475
+:100250002D38F6862C2806380001F6052D3877391A
+:100260000002F302001220320044E6000274B33AA6
+:100270006802F0052D38F3062A6CF3052C10E00017
+:100280000528F0057A18F38479D8F6844AA0231457
+:1002900000209316FFC4841E00109696FFD4F70426
+:1002A0004A9C9416FFE0851E0014C0367200EC00D4
+:1002B000036C9516FFE477350001C738680077397D
+:1002C0000002F3064A98C6B8300006B4000CC58494
+:1002D00000008736000000000001C03A4200E6003E
+:1002E00002FCC62400008736000400000001C03A6A
+:1002F0005200E600030020320000F6020001203226
+:100300000000E600030D00000001F58200008636C3
+:1003100000008716FFE000000001C0327200E2001A
+:100320000348F5020000C0327200E6000350202AA4
+:10033000000086B600048716FFE400000001C03606
+:100340007200E2000351202A0000F5020001202A79
+:100350000000E6000361202E0000F5820001202E3F
+:100360000000E600037020260000F4820001202631
+:100370000000E60003A5F60200018716FFD4F3068D
+:100380004A9876B90001C6B4700076B50002C6B4CA
+:10039000300006B4001486B600009716FFD8E000BF
+:1003A00004189696FFDC2714002C9713FFFC831685
+:1003B000FFC4000000019313FFFCF3064A98931357
+:1003C000FFFC9396FFCC07880008E001256897930F
+:1003D000FFFC8396FFCC20220000E6000415F60205
+:1003E00000018716FFD4F3064A9876B90001C6B417
+:1003F000700076B50002C6B4300006B4001486B6AC
+:1004000000009716FFD89696FFDCF7054AA0E0009B
+:10041000041C20320000F602000020320000E6003A
+:10042000042CF4820001E0000484F482000086962B
+:10043000FFD80000000177350002C738680077391F
+:100440000002F68642C8A63A6802C73868007539C5
+:10045000001E7528FFE505B8000286AE00000738CB
+:1004600000049716FFECC63057C07630FFF09616A2
+:10047000FFF475AD001E75ACFFE5C6B45FC076B481
+:10048000FFF09696FFF020260000E6000525F30613
+:1004900029E08696FFF0F5820000C7346800C49C0E
+:1004A0007200C02E6A00EC0004F0C5240000C62CC7
+:1004B00000008716FFEC00000001A6B2700205AC38
+:1004C0000001C73070007739001E7738FFE5C6B4E9
+:1004D00077C076B4FFF0F6AB280005280002871637
+:1004E000FFF000000001C02E7200EC0004B10630E5
+:1004F0000002F3020003F30576F48716FFF0869EF0
+:100500000004C7387000C7384800C6B470008716AA
+:10051000FFF406B400209702FF6C9482FF5096828D
+:10052000FF58F30629E0F3052C108796FFFC82960E
+:10053000FFF802140000013C00000000000192934B
+:10054000FFFC02900008F7047A1800000001203A2E
+:100550000000E60005CD00000001F7047A10F684E3
+:100560003B6400000001C03A6A00470C0001203AD9
+:100570000000E60005CDF5864A98F60479D8F684A1
+:100580004F540000000196B2001C06B400017735FC
+:100590000001C7386800773900020738000CA53A17
+:1005A00058020000000195320010C7385800873A01
+:1005B0000004F0057A189732001407880008E0005C
+:1005C00001F49793FFFCE00005FC00000001F70434
+:1005D0002D38F6862C2806380001F6052D38773997
+:1005E0000002F502001220320044E60005F4B53A9C
+:1005F0006802F0052D38F5062A6CF5052C10879653
+:10060000FFFC8296FFF802140000013C000000008D
+:1006100000019293FFFC0290000885960000F70409
+:1006200075EC852E0020203A0000E60006CCF5058A
+:100630007A08F70475F000000001203A0000E60097
+:1006400006CC00000001F7047A08F6843B64000041
+:100650000001C03A6A00470C0001D7000A70203A36
+:100660000000E60006CC00000001872E001CF68486
+:100670004F54F7057A00C7347200203A0000EE00AC
+:10068000068DF5020001E0000690F50579F8F08589
+:1006900079F8F6847A00C7387000C6B47000F704A1
+:1006A00079F8F68579E8C7387000C6347000F70429
+:1006B0004A9C00000001C0367200EC0006CCF60532
+:1006C00079F020360000EC0006F800000001F70485
+:1006D0002D38F6862C2806380001F6052D38773996
+:1006E0000002F502001320320044E6000738B53A54
+:1006F0006802E0000738F0052D38F7044A9C000036
+:100700000001C0327200EE00071900000001F7047A
+:100710004A9CE0000728F70579F020320000EC0041
+:10072000072800000001F08579F0F58579E0078859
+:100730000008E000074C9793FFFC8796FFFC829629
+:10074000FFF802140004013C000000000001929335
+:10075000FFFC0290000822100038F70475EC00003E
+:100760000001203A0000E60007A400000001F704A1
+:1007700075F000000001203A0000E60007A4000028
+:100780000001F7047A08F6843B6400000001C03AD7
+:100790006A00470C0001D7000A70203A0000E6000A
+:1007A00007D5F4020000F7042D38F6862C28063809
+:1007B0000001F6052D3877390002F30200132032CC
+:1007C0000044E60007CCB33A6802F0052D38E0009B
+:1007D0000AA4F3062B84F68479E8F6064A9877355E
+:1007E0000001C738680077390002F68479E00738DD
+:1007F000000CA33A6002C3B4000093360010C7385F
+:100800006000873A0004231400209316FFC4973633
+:100810000014849E0010F6844AA09496FFE09696F9
+:10082000FFD4851E0014F7044A9C00000001C03666
+:100830007200EC0008EC9516FFE477350001C7382C
+:10084000680077390002C6B8600006B4000CC584A1
+:1008500000008736000000000001C03A4A00E600B0
+:10086000087CC62000008736000400000001C03A62
+:100870005200E600088020320000F602000120321B
+:100880000000E600088D00000001F58200008636B9
+:1008900000008716FFE000000001C0327200E20095
+:1008A00008C8F5020000C0327200E60008D0202A15
+:1008B000000086B600048716FFE400000001C03681
+:1008C0007200E20008D1202A0000F5020001202A6F
+:1008D0000000E60008E1202E0000F5820001202E35
+:1008E0000000E60008F020220000F40200012022AF
+:1008F0000000E6000925F60200018716FFD4F30682
+:100900004A9876B90001C6B4700076B50002C6B444
+:10091000300006B4001486B600009716FFD8E00039
+:1009200009989696FFDC2714002C9713FFFC83167A
+:10093000FFC4000000019313FFFCF3064A989313D1
+:10094000FFFC9396FFCC07880008E0012568979389
+:10095000FFFC8396FFCC20220000E6000995F602FA
+:1009600000018716FFD4F3064A9876B90001C6B491
+:10097000700076B50002C6B4300006B4001486B626
+:1009800000009716FFD89696FFDCF7054AA0E00016
+:10099000099C20320000F602000020320000E60030
+:1009A00009ACF4820001E0000A04F482000086969B
+:1009B000FFD80000000177350002C738680077399A
+:1009C0000002F68642C8A63A6802C7386800753940
+:1009D000001E7528FFE505B8000286AE0000073846
+:1009E00000049716FFECC63057C07630FFF096161D
+:1009F000FFF475AD001E75ACFFE5C6B45FC076B4FC
+:100A0000FFF09696FFF020260000E6000AA5F30608
+:100A10002AF88696FFF0F5820000C7346800C49C6F
+:100A20007200C02E6A00EC000A70C5240000C62CBB
+:100A300000008716FFEC00000001A6B2700205ACB2
+:100A40000001C73070007739001E7738FFE5C6B463
+:100A500077C076B4FFF0F6AB2800052800028716B1
+:100A6000FFF000000001C02E7200EC000A310630D9
+:100A70000002F3020002F30576F48716FFF0869E6B
+:100A80000004C7387000C7384800C6B47000871625
+:100A9000FFF406B400209702FF6C9482FF50968208
+:100AA000FF58F3062AF8F3052C1C8796FFFC829664
+:100AB000FFF802140000013C0000000000019293C6
+:100AC000FFFC02900008F68479E8F70479F800004A
+:100AD0000001C6B47000F7047A20F68579E807387B
+:100AE0000001F7057A20F70479F0F6047A20C03681
+:100AF0007200E6000B2CF6862C28F7042D38000037
+:100B0000000106380001F6052D3877390002F5821C
+:100B1000001320320044E6000B20B5BA6802F0054D
+:100B20002D38F5862B84E0000B38F5852C1C0788C2
+:100B30000008E000074C9793FFFC8796FFFC829625
+:100B4000FFF802140000013C000000000001929335
+:100B5000FFFC02900008F7062C109713FFFCF70625
+:100B600029E09713FFFC07880008E00014F497932E
+:100B7000FFFCF7062C109713FFFCF7062A6C97135F
+:100B8000FFFC07880008E00014F49793FFFCF706C9
+:100B90002C1C9713FFFCF7062AF89713FFFC078815
+:100BA0000008E00014F49793FFFCF7062C1C971341
+:100BB000FFFCF7062B849713FFFC07880008E00072
+:100BC00014F49793FFFC8796FFFC8296FFF80214BB
+:100BD0000000013C0000000000019293FFFC029025
+:100BE0000008F0052D38F0052D3C8796FFFC829615
+:100BF000FFF802140000013C000000000001929385
+:100C0000FFFC0290000822100018FF852EDCF7067A
+:100C10000C3EC77C7400203A0000E60014299716A9
+:100C2000FFF44738FFFBF6846F50CFB8000083967F
+:100C3000FFF4F702003FC39C6D80C71C7400203A8C
+:100C4000003FE20012609396FFF477390002F682CB
+:100C50000C5CA6B6700200000001C1340000000068
+:100C600012600000126000000D6800000D680000B6
+:100C70000D5C00000D5C00000D6800000D680000B8
+:100C80001250000012500000123C0000123C000004
+:100C90000DE000000DE00000123C0000123C0000DE
+:100CA0000DE800000DF400000E0000000E20000012
+:100CB0000E4000000E6000000E8000000EA000003C
+:100CC0000EC000000EC800000ED000001228000068
+:100CD0000ED800000EF400000F10000012280000D3
+:100CE0000F1800000F1800000F2400000F24000050
+:100CF0000F4400000F4400000F6400000F64000068
+:100D00000F8400000F8400000F8C00000F8C000087
+:100D10000F9400000F9400000FB000000FB000000F
+:100D20000FB800000FD800000FF80000102C0000D2
+:100D3000106000001094000010C8000010FC0000BB
+:100D400011300000114C0000116800001214000066
+:100D50001184000011B4000011E400001214F382A9
+:100D60000006E00012549393FFFCF60200052032C7
+:100D70000014E6000DB527000010203A0001E20043
+:100D80000DB5F7062DCCF6842ECC0000000175B50C
+:100D90000002B62E700206B40001F6852ECC860243
+:100DA000FF34F7062E4C2036001FE2000DB5B62E9C
+:100DB0007002F0052ECCF7042D5800000001873A90
+:100DC000000000000001873A0018000000010788B9
+:100DD0000008C13800009793FFFCE000126000009B
+:100DE0000001E0001240F3820006F382000BE000F5
+:100DF00012549393FFFCF3820007E0001254939384
+:100E0000FFFCF382000B9393FFFC07880008E000CF
+:100E100015849793FFFCF3820005E000125493932E
+:100E2000FFFCF38200079393FFFC07880008E000B3
+:100E300015849793FFFCF3820005E000125493930E
+:100E4000FFFCF382000B9393FFFC07880008E0008F
+:100E500015849793FFFCF3820006E00012549393ED
+:100E6000FFFCF38200079393FFFC07880008E00073
+:100E700015849793FFFCF3820006E00012549393CD
+:100E8000FFFCF382000B9393FFFC07880008E0004F
+:100E900015849793FFFCF3820005E00012549393AE
+:100EA000FFFCF38200079393FFFC07880008E00033
+:100EB00015849793FFFCF3820005E000125493938E
+:100EC000FFFCE0001240F382000BE0001240F382CE
+:100ED0000007E000122CF382000BF382000B9393C7
+:100EE000FFFC07880008E00015849793FFFCE000F2
+:100EF0001240F3820006F38200079393FFFC0788F9
+:100F00000008E00015849793FFFCE0001240F38294
+:100F10000006E000122CF382000BF3820014E000C4
+:100F200012549393FFFCF38200149393FFFC078801
+:100F30000008E00015849793FFFCF3820005E000B1
+:100F400012549393FFFCF38200149393FFFC0788E1
+:100F50000008E00015849793FFFCF3820006E00090
+:100F600012549393FFFCF38200149393FFFC0788C1
+:100F70000008E00015849793FFFCF3820005E00071
+:100F800012549393FFFCE0001240F3820014E0003F
+:100F9000122CF3820014F38200149393FFFC078851
+:100FA0000008E00015849793FFFCE0001240F382F4
+:100FB0000006E000122CF3820014F38200149393D5
+:100FC000FFFC07880008E00015849793FFFCF3827C
+:100FD000000BE00012549393FFFCF38200149393F0
+:100FE000FFFC07880008E00015849793FFFCF3825C
+:100FF0000007E00012549393FFFCF38200149393D4
+:10100000FFFC07880008E00015849793FFFCF3823B
+:10101000000B9393FFFC07880008E000158497936A
+:10102000FFFCF3820005E00012549393FFFCF3826F
+:1010300000149393FFFC07880008E0001584979341
+:10104000FFFCF38200079393FFFC07880008E00091
+:1010500015849793FFFCF3820005E00012549393EC
+:10106000FFFCF38200149393FFFC07880008E00064
+:1010700015849793FFFCF382000B9393FFFC078882
+:101080000008E00015849793FFFCF3820006E0005F
+:1010900012549393FFFCF38200149393FFFC078890
+:1010A0000008E00015849793FFFCF38200079393F8
+:1010B000FFFC07880008E00015849793FFFCF3828B
+:1010C0000006E00012549393FFFCF3820014939304
+:1010D000FFFC07880008E00015849793FFFCF3826B
+:1010E000000B9393FFFC07880008E000158497939A
+:1010F000FFFCF3820005E00012549393FFFCF3829F
+:1011000000149393FFFC07880008E0001584979370
+:10111000FFFCF38200079393FFFC07880008E000C0
+:1011200015849793FFFCF3820005E000125493931B
+:10113000FFFCF38200149393FFFC07880008E00093
+:1011400015849793FFFCE0001240F382000BF382BA
+:1011500000149393FFFC07880008E0001584979320
+:10116000FFFCE0001240F3820007F3820014939327
+:10117000FFFC07880008E00015849793FFFCE0005F
+:10118000122CF382000BF38200149393FFFC078868
+:101190000008E00015849793FFFCF382000B939303
+:1011A000FFFC07880008E00015849793FFFCE0002F
+:1011B0001240F3820006F38200149393FFFC078829
+:1011C0000008E00015849793FFFCF38200079393D7
+:1011D000FFFC07880008E00015849793FFFCE000FF
+:1011E0001240F3820006F38200149393FFFC0788F9
+:1011F0000008E00015849793FFFCE000122CF382B6
+:10120000000BF7043528F682000107380008E000DB
+:1012100013CCF7053544F38200149393FFFC078841
+:101220000008E00015849793FFFCF3820007939376
+:10123000FFFC07880008E00015849793FFFCF38209
+:1012400000059393FFFC07880008E000158497933E
+:10125000FFFC9013FFFC07880008E00015849793BB
+:10126000FFFC8396FFF400000001779C0014703EA1
+:10127000FFE1E600129DF7060400F7046F5C000032
+:10128000000107380001F7056F5CF7046F5CE000B0
+:101290000000000000018396FFF4F7060400C01E62
+:1012A0007400E600142900000001F7042ED0F68433
+:1012B00035240738000120360000E6001405F70544
+:1012C0002ED0F704E01400000001203A0000E600F0
+:1012D0001405F6820000F685E014F7042ED8C53414
+:1012E000000007380001F7052ED8202A0002EE0082
+:1012F00013CCF6820000F684352800000001873602
+:10130000000000000001203A0002E60013A005B42E
+:1013100000089593FFFC9516FFE89596FFE49696D6
+:10132000FFE007880008E00016649793FFFC85162D
+:10133000FFE88596FFE48696FFE020220000E600A5
+:101340001390F70200008636000C000000012032E6
+:10135000000FE20013750000000187360014000042
+:101360000001073800019736001487360014E000AA
+:101370001390F702000076B10002C6B46000773522
+:101380000005C7386A00C738600007380010C72C4E
+:101390007000203A0000E6001200F705352CF684B4
+:1013A0003528F7046F4C00000001C0367200E600DB
+:1013B00013C007341494F3846F44E00013C4F3851E
+:1013C0003528F7053528E00012E805280001203609
+:1013D0000000E6001429F6862C28F7042D38F005C5
+:1013E000352406380001F6052D3877390002F382DE
+:1013F000000D20320044E6001428B3BA6802E00071
+:101400001428F0052D38F704E01000000001203A00
+:101410000000E6001429F7020000F705E010078835
+:101420000008E00102989793FFFCF4842D38F7043C
+:101430002D3C00000001C03A4A00E6000C09F68687
+:101440002C2877390002A53A680200000001202A02
+:101450000014E600149127280015203A0001E2004C
+:101460001491F7062DCCF6842ECC8602FF3475B588
+:101470000002B52E700206B40001F6852ECCF706E8
+:101480002E4C2036001FE2001491B62E7002F0059B
+:101490002ECCF7062D4476A90002A7367002000074
+:1014A0000001873A000000000001C6B470008736D2
+:1014B00000049496FFEC07880008C1380000979359
+:1014C000FFFCF7042D3C8496FFEC07380001203A1E
+:1014D0000044E600142CF7052D3CE000142CF00528
+:1014E0002D3C8796FFFC8296FFF802140000013C19
+:1014F0000000000000019293FFFC02900008841697
+:101500000000F702000085960004203A0021EE005A
+:10151000153495A20000F606233807200084C6A0E3
+:101520000000963A000427380004C03A6A00EC0034
+:101530001520000000018796FFFC8296FFF8021438
+:101540000008013C0000000000019293FFFC0290A3
+:1015500000088696000087160004F6042D40973692
+:1015600000009736000407300001F7052D4096363D
+:1015700000088796FFFC8296FFF802140008013CE1
+:101580000000000000019293FFFC02900008851605
+:10159000000000000001202A0014E60015D92728C9
+:1015A0000015203A0001E20015D9F7062DCCF6848B
+:1015B0002ECC8602FF3475B50002B52E700206B43B
+:1015C0000001F6852ECCF7062E4C2036001FE200D7
+:1015D00015D9B62E7002F0052ECCF6862D4477294B
+:1015E0000002A6BA68020000000186B600000000F2
+:1015F0000001C7386800873A00040000000107882E
+:101600000008C13800009793FFFC8796FFFC829684
+:10161000FFF802140004013C000000000001929356
+:10162000FFFC029000088716000086960004F6066C
+:101630002D4476B5000285BA000000000001B5B661
+:101640006002C6B47000859600080000000195B6DF
+:1016500000048796FFFC8296FFF80214000C013C00
+:101660000000000000019293FFFC02900008861623
+:101670000000000000018732000400000001203A51
+:10168000000F86B20000C5380000EE0016B4C5B4E5
+:1016900000002036000FEE0016B400000001203AD2
+:1016A0000000EC0016B50000000120360000EC0040
+:1016B00016D0000000018732000C0000000107383E
+:1016C00000019732000C8732000CE00016D8F402BB
+:1016D0000000C02A5A00440C00018796FFFC829645
+:1016E000FFF802140004013C0000000000010000AB
+:1016F00000009293FFFC02900008F7062EE097137B
+:10170000FFFCF70632D49713FFFC07880008E000BF
+:1017100015489793FFFCF702182C9713FFFCF782EC
+:1017200000099793FFFCF7062EE09713FFFC07884C
+:101730000008E000161C9793FFFCF702345897133B
+:10174000FFFCF702000C9713FFFCF7062F6C9713B2
+:10175000FFFC07880008E000161C9793FFFCF702C7
+:101760003F949713FFFCF782000B9793FFFCF7065B
+:101770002FF89713FFFC07880008E000161C9793CA
+:10178000FFFCF7023B849713FFFCF782000B979353
+:10179000FFFCF70632289713FFFC07880008E000DB
+:1017A000161C9793FFFCF70226E49713FFFCF70241
+:1017B00000139713FFFCF70630849713FFFC07888C
+:1017C0000008E000161C9793FFFCF70226A0971371
+:1017D000FFFCF70200119713FFFCF7063110971377
+:1017E000FFFC07880008E000161C9793FFFCF70237
+:1017F000182C9713FFFCF78200099793FFFCF7065C
+:10180000319C9713FFFC07880008E000161C979393
+:10181000FFFCF0057A78F00532E88796FFFC8296A7
+:10182000FFF802140000013C000000000001929348
+:10183000FFFC0290000822100050F70471C800005D
+:101840000001203A0000E6001855F68671C4E00059
+:10185000186CF6020000F70471D40000000177391B
+:101860000002C7386800863A001800000001F6053B
+:1018700032C486B2000807018000C5B47400F58543
+:1018800032D087320018F6866F4477390002A73AC3
+:101890006802202E0000F70532C0073809D886B24A
+:1018A0000004F70532CCE6001941F68532C8F7048A
+:1018B0007198F6847A782738000120360000E60017
+:1018C0001910F7057198F70476FC00000001203A22
+:1018D0000000E60018E8F3020011F30632D4F30525
+:1018E00076FCE00018F8F7020001F30576F8F3063D
+:1018F00032D4F3057700F7020000203A0000E6003A
+:101900001914F3020001F3063110E000268CF305F0
+:1019100032D4F3020001F3057A78F3063084F3053C
+:1019200032D4F30432C4000000019313FFFC078893
+:101930000008E00006109793FFFCE000268C0000F2
+:101940000001F3020000202E0000E6001CB99316EF
+:10195000FFE4873200088696FFE4C3040000C03A23
+:101960003200E6001984203600008732000C0000A7
+:101970000001C03A3200E600198420360000F682E9
+:10198000000120360000E6001CB8F3020000F70456
+:1019900032C09316FFACF58432C4863A142803B8DB
+:1019A0001420042C000886BA142400000001C03260
+:1019B0006A00EC001A709616FFEC77310001C73808
+:1019C000600077390002C63838000630000C86B255
+:1019D0000000872E00088516FFACC0367200E600B6
+:1019E0001A00C484000086B20004872E000C000098
+:1019F0000001C0367200E6001A04202A0000F50239
+:101A00000001202A0000E6001A1100000001F48203
+:101A1000000086B200008722000000000001C036EE
+:101A20007200E2001A4CF5820000C0367200E60037
+:101A30001A54202E000086B2000487220004000001
+:101A40000001C0367200E2001A55202E0000F58217
+:101A50000001202E0000E6001A6520260000F48216
+:101A6000000120260000E6001A70F3020001931620
+:101A7000FFAC8316FFAC00000001201A0000E60056
+:101A80001AB1F60200018716FFEC0000000176B9DA
+:101A90000001C6B4700076B50002C6B4380006B4C2
+:101AA000001486B600009716FFF0E0001B1896960B
+:101AB000FFF4271400149713FFFC9413FFFC939377
+:101AC000FFFC9396FFBC07880008E0012568979308
+:101AD000FFFC8396FFBC20220000E6001B15F602E7
+:101AE00000018716FFEC0000000176B90001C6B4C2
+:101AF000700076B50002C6B4380006B4001486B68D
+:101B000000009716FFF09696FFF4971E0008E0007D
+:101B10001B1C20320000F602000020320000E6000C
+:101B20001CB8F3020000F60432C09316FFAC86B274
+:101B3000142803B014200430148C873214240000BD
+:101B40000001C0367200EC001C049696FFEC77355D
+:101B50000001C738680077390002C5B8380005AC05
+:101B6000000C86AE00008732148C8516FFACC036A0
+:101B70007200E6001B94C484000086AE0004873225
+:101B8000149000000001C0367200E6001B98202A65
+:101B90000000F5020001202A0000E6001BA500005D
+:101BA0000001F482000086AE0000872200000000E1
+:101BB0000001C0367200E2001BE0F6020000C036F1
+:101BC0007200E6001BE82032000086AE0004872287
+:101BD000000400000001C0367200E2001BE9203260
+:101BE0000000F602000120320000E6001BF920266A
+:101BF0000000F482000120260000E6001C04F3022D
+:101C000000019316FFAC8316FFAC00000001201A00
+:101C10000000E6001C45F60200018716FFEC0000FC
+:101C2000000176B90001C6B4700076B50002C6B4F2
+:101C3000380006B4001486B600009716FFF0E000E6
+:101C40001CAC9696FFF4271400149713FFFC941312
+:101C5000FFFC9393FFFC9396FFBC07880008E0010C
+:101C600025689793FFFC8396FFBC20220000E600C6
+:101C70001CA9F60200018716FFEC0000000176B9EE
+:101C80000001C6B4700076B50002C6B4380006B4D0
+:101C9000001486B600009716FFF09696FFF4971E84
+:101CA0000008E0001CB020320000F60200002032E4
+:101CB0000000E6001E15F3020001F68432C00000A9
+:101CC000000185B60EF486360EF8202E0010E200D4
+:101CD0001CDC20320010E2001CF9000000018736F5
+:101CE0000F00000000010738000197360F0087360B
+:101CF0000F00E0001D24F702000007300001C03A89
+:101D00005A00E6001D1DF682000020320010E60099
+:101D10001D20202E0000E6001D24C7340000F6829E
+:101D20000001C7340000203A0000E6001E14F30250
+:101D30000001F30432CC000000019316FFDC931382
+:101D4000FFFC07880008E00043689793FFFC20220F
+:101D50000000E6001DFCF30200008316FFDC00001B
+:101D60000001861A00000000000120320010E2008D
+:101D70001D9176B10002871A000C0000000107389F
+:101D80000001971A000C871A000CE0001DFCF302FA
+:101D90000000F302004C9313FFFCC6B460007735DB
+:101DA0000004C7386A008316FFDCC7386000C738F4
+:101DB0003000073800109713FFFCF3067A289313BE
+:101DC000FFFC9616FFB407880008E00126F89793F9
+:101DD000FFFC8616FFB4000000010630000120322F
+:101DE0000011E6001DEC00000001F6020000831661
+:101DF000FFDC00000001961A0000F30200019316B8
+:101E0000FFD48316FFD400000001201A0000E60072
+:101E10001E18F30200019316FFE48316FFE400008E
+:101E20000001201A0000E6001F35F6820CABF70413
+:101E300032B48316FFD407380001F70532B4F70433
+:101E400032B4201A0000E6001E7000000001F70402
+:101E500032C0F306E030C03A3200E6001E700000E7
+:101E60000001F70432E80000000107380001F7051F
+:101E700032E8F70471C400000001203A0000E600D7
+:101E80001EADF6862C28F7042D3800000001063818
+:101E90000001F6052D3877390002F302000A2032DE
+:101EA0000044E6001EACB33A6802F0052D38F70492
+:101EB00071D4F68471CC07380001C03A6A00E6009C
+:101EC0001EC8F70571D4F00571D4F68471D4F704F7
+:101ED00071D0F00571C4C0367200470C0001F68461
+:101EE00032D00000000120360000E60025D9F705B9
+:101EF00071C8F704719800000001203A0000E60064
+:101F0000257900000001F70475EC00000001203A7B
+:101F10000000E600257800000001F70475F00000DD
+:101F20000001203A0000E600257800000001E000F2
+:101F300025DCF306319CF00532E8F70432C0F604E4
+:101F40006F5496BA000420320000E6001F60F302CE
+:101F5000000CF3020001F3056F54E0001F68F70264
+:101F60000001F3056F58F7020000203A0000E60078
+:101F70001F7CF3062F6CE000268CF30532D4F58429
+:101F80007A7024940010202E0001E6002284F5854A
+:101F90007AA0F7020001F60432C8F7057A70F70458
+:101FA00032C4F68432C0F6057A2C9002FF8090028B
+:101FB000FF38F5847A28073800249582FF3C970281
+:101FC000FF409602FF448736141000000001C73816
+:101FD0006000973614108736141800000001073887
+:101FE00000019736141887361418F0056F50F7045F
+:101FF00032B89596FFECC7386000F70532B8F704A1
+:1020000032BCF3062FF807380001F70532BCF7049D
+:1020100032BCF30532D4F7060C3EC07E7400E600F5
+:10202000203400000001C07E7400E600202500007E
+:102030000001F7060C3EC77C7400203A0010E60051
+:10204000268C00000001F70432E4FF820010F584C2
+:102050006F5807380001202E0021E2002090F7057C
+:1020600032E4F7042D38F6862C2806380001F605F0
+:102070002D387739000220320044E6002084B5BABA
+:102080006802F0052D38F3020022E0002094F305E9
+:102090006F58F0056F54F58432C000000001902E97
+:1020A0000004872E000000000001203A0002E60034
+:1020B00021C0000000018702FF38032C0EF49316A4
+:1020C000FFCCF7057A689313FFFC9596FFB8078855
+:1020D0000008E00043A09793FFFC8596FFB82022FC
+:1020E0000000E600217C00000001862E0EF80000B2
+:1020F000000120320010E2002119F302004C872E6B
+:102100000F000000000107380001972E0F00872EF6
+:102110000F00E000217C000000019313FFFCF30698
+:102120007A289313FFFC76B10002C6B460007735BD
+:102130000004C7386A008316FFCCC7386000C73870
+:102140003000073800109713FFFC9596FFB89616DD
+:10215000FFB407880008E00126F89793FFFC861675
+:10216000FFB48596FFB80630000120320011E6006A
+:10217000217800000001F6020000962E0EF8F70408
+:1021800032C0F306E030C03A3200E60021C0000061
+:102190000001F704E0180000000177B8001E703E4F
+:1021A000FFE1E60021C1000000010F814000F704BB
+:1021B00079C80000000107380001F70579C8F70465
+:1021C00079C8F70471C400000001203A0000E6005D
+:1021D00021FDF6862C28F7042D3800000001063872
+:1021E0000001F6052D3877390002F302000A20328B
+:1021F0000044E60021FCB33A6802F0052D38F704EC
+:1022000071D4F68471CC07380001C03A6A00E60048
+:102210002218F70571D4F00571D4F68471D4F7044F
+:1022200071D0F00571C4C0367200470C0001F6840D
+:1022300032D00000000120360000E60025D9F70565
+:1022400071C8F704719800000001203A0000E60010
+:10225000257900000001F70475EC00000001203A28
+:102260000000E600257800000001F70475F000008A
+:102270000001203A0000E600257800000001E0009F
+:1022800025DCF306319CF0057A889002FF38F005D2
+:102290006F509002FF80F70432C4F3063228F30532
+:1022A00032D4F60432C8F6847A2CF50200000738DE
+:1022B0000024F7057A9820320000E60022D5F605C2
+:1022C0007A90C02A5A00E6002620C0326A00EE004A
+:1022D000262100000001F68432C00000000187368C
+:1022E000141000000001C7386000973614108736BC
+:1022F00014180000000107380001973614188736BB
+:102300001418F70432B800000001C7386000F70560
+:1023100032B8F70432BC0000000107380001F705AD
+:1023200032BCF70432BC20320000E6002345000036
+:102330000001F70432E0F5057A7007380001E0008B
+:102340002348F70532E0F5057A70F5846F580000F0
+:102350000001202E0021E200238CF6862C28F704B1
+:102360002D380000000106380001F6052D387739B8
+:10237000000220320044E6002380B5BA6802F0056E
+:102380002D38F3020022E0002390F3056F58F0058A
+:102390006F54F58432C000000001902E0004872E97
+:1023A000000000000001203A0002E60024BC00000A
+:1023B00000018702FF38032C0EF49316FFC4F705C3
+:1023C0007A689313FFFC9596FFB807880008E00031
+:1023D00043A09793FFFC8596FFB820220000E600FB
+:1023E000247800000001862E0EF800000001203243
+:1023F0000010E2002415F302004C872E0F000000AD
+:10240000000107380001972E0F00872E0F00E00013
+:102410002478000000019313FFFCF3067A2893133D
+:10242000FFFC76B10002C6B4600077350004C738FF
+:102430006A008316FFC4C7386000C7383000073809
+:1024400000109713FFFC9596FFB89616FFB4078807
+:102450000008E00126F89793FFFC8616FFB48596E6
+:10246000FFB80630000120320011E600247400009D
+:102470000001F6020000962E0EF8F70432C0F306B3
+:10248000E030C03A3200E60024BC00000001F7044E
+:10249000E0180000000177B8001E703EFFE1E60082
+:1024A00024BD000000010F814000F70479C800003E
+:1024B000000107380001F70579C8F70479C8F70467
+:1024C00071C400000001203A0000E60024F9F686FD
+:1024D0002C28F7042D380000000106380001F6050D
+:1024E0002D3877390002F302000A20320044E6005A
+:1024F00024F8B33A6802F0052D38F70471D4F68455
+:1025000071CC07380001C03A6A00E6002514F705CF
+:1025100071D4F00571D4F68471D4F70471D0F0054C
+:1025200071C4C0367200470C0001F68432D000003E
+:10253000000120360000E60025D9F70571C8F70430
+:10254000719800000001203A0000E60025790000A3
+:102550000001F70475EC00000001203A0000E600DD
+:10256000257800000001F70475F000000001203A12
+:102570000000E60025D100000001F58476F8000097
+:102580000001202E0021E20025C4F6862C28F70445
+:102590002D380000000106380001F6052D38773986
+:1025A000000220320044E60025B0B5BA6802F0050A
+:1025B0002D38F3020022F30576F8F3047700E000EB
+:1025C00025C8F30576FCF00576FCE00025D8F0057B
+:1025D0007A78E00025DCF306319CF3062EE0F30563
+:1025E00032D4F70471C800000001203A0000E60070
+:1025F000268CF6862C28F7042D38000000010638BA
+:102600000001F6052D3877390002F3020009203267
+:102610000044E600268CB33A6802E000268CF00500
+:102620002D38F7047A9000000001C03A6A00EE00ED
+:102630002641C5B40000C7385A00E0002648F70517
+:102640007A90C5B80000F0057A90F6847A88F7068B
+:102650007A2876350003A732700206B40001971677
+:10266000FFEC84A6FFFCF7067A2CF3047A98948298
+:10267000FF3C9302FF409582FF44B5B27002F7041D
+:102680007A98F6857A88C7385800F7057A98879639
+:10269000FFFC8296FFF802140000013C00000000DD
+:1026A00000019293FFFC02900008F7020001F70579
+:1026B0007A78F7063084F70532D4F70432C4000084
+:1026C00000019713FFFC07880008E00006109793AD
+:1026D000FFFC8796FFFC8296FFF802140000013C85
+:1026E0000000000000019293FFFC029000082210FD
+:1026F0000050F70432D0F3020000203A0000E60058
+:102700002A719316FFE4F68432C48616FFE48736F6
+:102710000008C3040000C03A3200E600273C203223
+:1027200000008736000C00000001C03A3200E600CD
+:10273000273C20320000F602000120320000E600B3
+:102740002A70F3020000F70432C09316FFACF58440
+:1027500032C4863A142803B81420042C000886BA20
+:10276000142400000001C0326A00EC0028289616EC
+:10277000FFEC77310001C738600077390002C638B6
+:1027800038000630000C86B20000872E000885163F
+:10279000FFACC0367200E60027B8C484000086B2E1
+:1027A0000004872E000C00000001C0367200E60015
+:1027B00027BC202A0000F5020001202A0000E600C4
+:1027C00027C900000001F482000086B200008722C1
+:1027D000000000000001C0367200E2002804F5820B
+:1027E0000000C0367200E600280C202E000086B2E1
+:1027F00000048722000400000001C0367200E200DD
+:10280000280D202E0000F5820001202E0000E60099
+:10281000281D20260000F482000120260000E6008A
+:102820002828F30200019316FFAC8316FFAC0000CA
+:102830000001201A0000E6002869F6020001871650
+:10284000FFEC0000000176B90001C6B4700076B557
+:102850000002C6B4380006B4001486B6000097160D
+:10286000FFF0E00028D09696FFF427140014971389
+:10287000FFFC9413FFFC9393FFFC9396FFBC078827
+:102880000008E00125689793FFFC8396FFBC202297
+:102890000000E60028CDF60200018716FFEC0000DC
+:1028A000000176B90001C6B4700076B50002C6B466
+:1028B000380006B4001486B600009716FFF096960E
+:1028C000FFF4971E0008E00028D420320000F60232
+:1028D000000020320000E6002A70F3020000F60437
+:1028E00032C09316FFAC86B2142803B01420043013
+:1028F000148C8732142400000001C0367200EC00F2
+:1029000029BC9696FFEC77350001C7386800773907
+:102910000002C5B8380005AC000C86AE0000873256
+:10292000148C8516FFACC0367200E600294CC484B6
+:10293000000086AE00048732149000000001C0360B
+:102940007200E6002950202A0000F5020001202A2A
+:102950000000E600295D00000001F482000086AE60
+:1029600000008722000000000001C0367200E20073
+:102970002998F6020000C0367200E60029A0203235
+:10298000000086AE00048722000400000001C0366B
+:102990007200E20029A120320000F602000120327C
+:1029A0000000E60029B120260000F4820001202664
+:1029B0000000E60029BCF30200019316FFAC831669
+:1029C000FFAC00000001201A0000E60029FDF6021D
+:1029D00000018716FFEC0000000176B90001C6B4C3
+:1029E000700076B50002C6B4380006B4001486B68E
+:1029F00000009716FFF0E0002A649696FFF4271473
+:102A000000149713FFFC9413FFFC9393FFFC939621
+:102A1000FFBC07880008E00125689793FFFC8396B8
+:102A2000FFBC20220000E6002A61F60200018716A2
+:102A3000FFEC0000000176B90001C6B4700076B565
+:102A40000002C6B4380006B4001486B6000097161B
+:102A5000FFF09696FFF4971E0008E0002A682032E7
+:102A60000000F602000020320000E6002BCDF30249
+:102A70000001F68432C00000000185B60EF48636EF
+:102A80000EF8202E0010E2002A9420320010E200FE
+:102A90002AB10000000187360F000000000107384E
+:102AA000000197360F0087360F00E0002ADCF7029E
+:102AB000000007300001C03A5A00E6002AD5F6822D
+:102AC000000020320010E6002AD8202E0000E60088
+:102AD0002ADCC7340000F6820001C7340000203A27
+:102AE0000000E6002BCCF3020001F30432CC00001E
+:102AF00000019316FFDC9313FFFC07880008E00039
+:102B000043689793FFFC20220000E6002BB4F302F9
+:102B100000008316FFDC00000001861A00000000A0
+:102B2000000120320010E2002B4976B10002871A22
+:102B3000000C0000000107380001971A000C871AEA
+:102B4000000CE0002BB4F3020000F302004C9313DE
+:102B5000FFFCC6B4600077350004C7386A008316EE
+:102B6000FFDCC7386000C738300007380010971303
+:102B7000FFFCF3067A289313FFFC9616FFB4078830
+:102B80000008E00126F89793FFFC8616FFB40000CA
+:102B900000010630000120320011E6002BA40000E5
+:102BA0000001F60200008316FFDC00000001961A07
+:102BB0000000F30200019316FFD48316FFD4000037
+:102BC0000001201A0000E6002BD0F302000193164A
+:102BD000FFE48316FFE400000001201A0000E60075
+:102BE0002CEDF6820CABF70432B48316FFD4073811
+:102BF0000001F70532B4F70432B4201A0000E600F1
+:102C00002C2800000001F70432C0F306E030C03A7F
+:102C10003200E6002C2800000001F70432E8000032
+:102C2000000107380001F70532E8F70471C400001D
+:102C30000001203A0000E6002C65F6862C28F704F7
+:102C40002D380000000106380001F6052D387739CF
+:102C50000002F302000A20320044E6002C64B33A7A
+:102C60006802F0052D38F70471D4F68471CC07386A
+:102C70000001C03A6A00E6002C80F70571D4F00527
+:102C800071D4F68471D4F70471D0F00571C4C036E4
+:102C90007200470C0001F68432D00000000120369B
+:102CA0000000E6003391F70571C8F7047198000041
+:102CB0000001203A0000E600333100000001F70473
+:102CC00075EC00000001203A0000E60033300000FF
+:102CD0000001F70475F000000001203A0000E60052
+:102CE000333000000001E0003394F306319CF0051E
+:102CF00032E8F70432C0F6046F5496BA000420326A
+:102D00000000E6002D18F302000CF3020001F305A9
+:102D10006F54E0002D20F7020001F3056F58F70211
+:102D20000000203A0000E6002D34F3062F6CE0008E
+:102D30003444F30532D4F5847A7024940010202EA4
+:102D40000001E600303CF5857AA0F7020001F604A8
+:102D500032C8F7057A70F70432C4F68432C0F6053B
+:102D60007A2C9002FF809002FF38F5847A28073889
+:102D700000249582FF3C9702FF409602FF4487366D
+:102D8000141000000001C738600097361410873611
+:102D90001418000000010738000197361418873610
+:102DA0001418F0056F50F70432B89596FFECC73849
+:102DB0006000F70532B8F70432BCF3062FF8073885
+:102DC0000001F70532BCF70432BCF30532D4F70634
+:102DD0000C3EC07E7400E6002DEC00000001C07EB9
+:102DE0007400E6002DDD00000001F7060C3EC77CF4
+:102DF0007400203A0010E600344400000001F7049B
+:102E000032E4FF820010F5846F5807380001202E4D
+:102E10000021E2002E48F70532E4F7042D38F6864B
+:102E20002C2806380001F6052D38773900022032AB
+:102E30000044E6002E3CB5BA6802F0052D38F302D6
+:102E40000022E0002E4CF3056F58F0056F54F58416
+:102E500032C000000001902E0004872E0000000008
+:102E60000001203A0002E6002F78000000018702EE
+:102E7000FF38032C0EF49316FFCCF7057A689313F2
+:102E8000FFFC9596FFB807880008E00043A09793E1
+:102E9000FFFC8596FFB820220000E6002F340000DA
+:102EA0000001862E0EF80000000120320010E20022
+:102EB0002ED1F302004C872E0F00000000010738CE
+:102EC0000001972E0F00872E0F00E0002F34000026
+:102ED00000019313FFFCF3067A289313FFFC76B1ED
+:102EE0000002C6B4600077350004C7386A00831654
+:102EF000FFCCC7386000C738300007380010971380
+:102F0000FFFC9596FFB89616FFB407880008E0010D
+:102F100026F89793FFFC8616FFB48596FFB8063017
+:102F2000000120320011E6002F3000000001F602FF
+:102F30000000962E0EF8F70432C0F306E030C03AD7
+:102F40003200E6002F7800000001F704E0180000CE
+:102F5000000177B8001E703EFFE1E6002F79000007
+:102F600000010F814000F70479C800000001073814
+:102F70000001F70579C8F70479C8F70471C40000A7
+:102F80000001203A0000E6002FB5F6862C28F70451
+:102F90002D380000000106380001F6052D3877397C
+:102FA0000002F302000A20320044E6002FB4B33AD4
+:102FB0006802F0052D38F70471D4F68471CC073817
+:102FC0000001C03A6A00E6002FD0F70571D4F00581
+:102FD00071D4F68471D4F70471D0F00571C4C03691
+:102FE0007200470C0001F68432D000000001203648
+:102FF0000000E6003391F70571C8F70471980000EE
+:103000000001203A0000E600333100000001F7041F
+:1030100075EC00000001203A0000E60033300000AB
+:103020000001F70475F000000001203A0000E600FE
+:10303000333000000001E0003394F306319CF005CA
+:103040007A889002FF38F0056F509002FF80F704F5
+:1030500032C4F3063228F30532D4F60432C8F684BB
+:103060007A2CF502000007380024F7057A98203200
+:103070000000E600308DF6057A90C02A5A00E6007E
+:1030800033D8C0326A00EE0033D900000001F68464
+:1030900032C0000000018736141000000001C7385C
+:1030A00060009736141087361418000000010738A6
+:1030B00000019736141887361418F70432B8000048
+:1030C0000001C7386000F70532B8F70432BC0000D1
+:1030D000000107380001F70532BCF70432BC20328A
+:1030E0000000E60030FD00000001F70432E0F505C5
+:1030F0007A7007380001E0003100F70532E0F5058D
+:103100007A70F5846F5800000001202E0021E20043
+:103110003144F6862C28F7042D38000000010638CB
+:103120000001F6052D387739000220320044E60010
+:103130003138B5BA6802F0052D38F3020022E000FC
+:103140003148F3056F58F0056F54F58432C0000024
+:103150000001902E0004872E000000000001203A9C
+:103160000002E6003274000000018702FF38032CE1
+:103170000EF49316FFC4F7057A689313FFFC959637
+:10318000FFB807880008E00043A09793FFFC8596EE
+:10319000FFB820220000E600323000000001862E39
+:1031A0000EF80000000120320010E20031CDF302E1
+:1031B000004C872E0F000000000107380001972EF9
+:1031C0000F00872E0F00E000323000000001931343
+:1031D000FFFCF3067A289313FFFC76B10002C6B415
+:1031E000600077350004C7386A008316FFC4C7380B
+:1031F0006000C7383000073800109713FFFC959621
+:10320000FFB89616FFB407880008E00126F89793E8
+:10321000FFFC8616FFB48596FFB806300001203209
+:103220000011E600322C00000001F6020000962E8C
+:103230000EF8F70432C0F306E030C03A3200E60080
+:10324000327400000001F704E0180000000177B8B4
+:10325000001E703EFFE1E6003275000000010F81A4
+:103260004000F70479C80000000107380001F705A5
+:1032700079C8F70479C8F70471C400000001203A46
+:103280000000E60032B1F6862C28F7042D38000045
+:10329000000106380001F6052D3877390002F302E7
+:1032A000000A20320044E60032B0B33A6802F0056A
+:1032B0002D38F70471D4F68471CC07380001C03A78
+:1032C0006A00E60032CCF70571D4F00571D4F684BB
+:1032D00071D4F70471D0F00571C4C0367200470C88
+:1032E0000001F68432D00000000120360000E60024
+:1032F0003391F70571C8F704719800000001203A76
+:103300000000E600333100000001F70475EC000016
+:103310000001203A0000E600333000000001F7040D
+:1033200075F000000001203A0000E600338900003B
+:103330000001F58476F800000001202E0021E20053
+:10334000337CF6862C28F7042D380000000106385F
+:103350000001F6052D387739000220320044E600DE
+:103360003368B5BA6802F0052D38F3020022F30580
+:1033700076F8F3047700E0003380F30576FCF0057F
+:1033800076FCE0003390F0057A78E0003394F306A1
+:10339000319CF3062EE0F30532D4F70471C8000027
+:1033A0000001203A0000E6003444F6862C28F70499
+:1033B0002D380000000106380001F6052D38773958
+:1033C0000002F302000920320044E6003444B33A1C
+:1033D0006802E0003444F0052D38F7047A900000CC
+:1033E0000001C03A6A00EE0033F9C5B40000C738E6
+:1033F0005A00E0003400F7057A90C5B80000F005E7
+:103400007A90F6847A88F7067A2876350003A73210
+:10341000700206B400019716FFEC84A6FFFCF706C5
+:103420007A2CF3047A989482FF3C9302FF409582B1
+:10343000FF44B5B27002F7047A98F6857A88C738E7
+:103440005800F7057A988796FFFC8296FFF80214D9
+:103450000000013C0000000000019293FFFC02907C
+:10346000000822100020F5847A7000000001202E50
+:103470000001E600376CF5857AA0F7020001F6043A
+:1034800032C8F7057A70F70432C4F68432C0F60504
+:103490007A2C9002FF809002FF38F5847A28073852
+:1034A00000249582FF3C9702FF409602FF44873636
+:1034B000141000000001C7386000973614108736DA
+:1034C00014180000000107380001973614188736D9
+:1034D0001418F0056F50F70432B89596FFF4C7380A
+:1034E0006000F70532B8F70432BCF4862FF80738CD
+:1034F0000001F70532BCF70432BCF48532D4F7067C
+:103500000C3EC07E7400E600351C00000001C07E49
+:103510007400E600350D00000001F7060C3EC77C84
+:103520007400203A0010E6003B7000000001F70430
+:1035300032E4FF820010F5846F5807380001202E16
+:103540000021E2003578F70532E4F7042D38F686DD
+:103550002C2806380001F6052D3877390002203274
+:103560000044E600356CB5BA6802F0052D38F482E7
+:103570000022E000357CF4856F58F0056F54F58427
+:1035800032C000000001902E0004872E00000000D1
+:103590000001203A0002E60036A800000001870280
+:1035A000FF3804AC0EF49496FFECF7057A68949318
+:1035B000FFFC9596FFDC07880008E00043A0979386
+:1035C000FFFC8596FFDC20220000E6003664000048
+:1035D0000001862E0EF80000000120320010E200EB
+:1035E0003601F482004C872E0F00000000010738DE
+:1035F0000001972E0F00872E0F00E00036640000B8
+:1036000000019493FFFCF4867A289493FFFC76B132
+:103610000002C6B4600077350004C7386A0084969B
+:10362000FFECC7386000C738480007380010971310
+:10363000FFFC9596FFDC9616FFD807880008E0018E
+:1036400026F89793FFFC8616FFD88596FFDC063098
+:10365000000120320011E600366000000001F60291
+:103660000000962E0EF8F70432C0F486E030C03A1F
+:103670004A00E60036A800000001F704E018000048
+:10368000000177B8001E703EFFE1E60036A9000099
+:1036900000010F814000F70479C8000000010738DD
+:1036A0000001F70579C8F70479C8F70471C4000070
+:1036B0000001203A0000E60036E5F6862C28F704E3
+:1036C0002D380000000106380001F6052D38773945
+:1036D0000002F482000A20320044E60036E4B4BA64
+:1036E0006802F0052D38F70471D4F68471CC0738E0
+:1036F0000001C03A6A00E6003700F70571D4F00512
+:1037000071D4F68471D4F70471D0F00571C4C03659
+:103710007200470C0001F68432D000000001203610
+:103720000000E6003AC1F70571C8F704719800007F
+:103730000001203A0000E6003A6100000001F704B1
+:1037400075EC00000001203A0000E6003A6000003D
+:103750000001F70475F000000001203A0000E600C7
+:103760003A6000000001E0003AC4F486319CF005A4
+:103770007A889002FF38F0056F509002FF80F704BE
+:1037800032C4F4863228F48532D4F60432C8F68482
+:103790007A2CF502000007380024F7057A982032C9
+:1037A0000000E60037BDF6057A90C02A5A00E60010
+:1037B0003B08C0326A00EE003B0900000001F684BD
+:1037C00032C0000000018736141000000001C73825
+:1037D000600097361410873614180000000107386F
+:1037E00000019736141887361418F70432B8000011
+:1037F0000001C7386000F70532B8F70432BC00009A
+:10380000000107380001F70532BCF70432BC203252
+:103810000000E600382D00000001F70432E0F50555
+:103820007A7007380001E0003830F70532E0F5051E
+:103830007A70F5846F5800000001202E0021E2000C
+:103840003874F6862C28F7042D380000000106385D
+:103850000001F6052D387739000220320044E600D9
+:103860003868B5BA6802F0052D38F4820022E0000D
+:103870003878F4856F58F0056F54F58432C0000035
+:103880000001902E0004872E000000000001203A65
+:103890000002E60039A4000000018702FF3804ACF2
+:1038A0000EF49496FFE4F7057A689493FFFC9596DE
+:1038B000FFDC07880008E00043A09793FFFC859693
+:1038C000FFDC20220000E600396000000001862EA7
+:1038D0000EF80000000120320010E20038FDF482F2
+:1038E000004C872E0F000000000107380001972EC2
+:1038F0000F00872E0F00E000396000000001949354
+:10390000FFFCF4867A289493FFFC76B10002C6B4DB
+:10391000600077350004C7386A008496FFE4C73832
+:103920006000C7384800073800109713FFFC9596D1
+:10393000FFDC9616FFD807880008E00126F8979369
+:10394000FFFC8616FFD88596FFDC0630000120328A
+:103950000011E600395C00000001F6020000962E1E
+:103960000EF8F70432C0F486E030C03A4A00E600B0
+:1039700039A400000001F704E0180000000177B846
+:10398000001E703EFFE1E60039A5000000010F8136
+:103990004000F70479C80000000107380001F7056E
+:1039A00079C8F70479C8F70471C400000001203A0F
+:1039B0000000E60039E1F6862C28F7042D380000D7
+:1039C000000106380001F6052D3877390002F4822F
+:1039D000000A20320044E60039E0B4BA6802F0057B
+:1039E0002D38F70471D4F68471CC07380001C03A41
+:1039F0006A00E60039FCF70571D4F00571D4F6844D
+:103A000071D4F70471D0F00571C4C0367200470C50
+:103A10000001F68432D00000000120360000E600EC
+:103A20003AC1F70571C8F704719800000001203A07
+:103A30000000E6003A6100000001F70475EC0000A8
+:103A40000001203A0000E6003A6000000001F7049F
+:103A500075F000000001203A0000E6003AB90000CD
+:103A60000001F58476F800000001202E0021E2001C
+:103A70003AACF6862C28F7042D38000000010638F1
+:103A80000001F6052D387739000220320044E600A7
+:103A90003A98B5BA6802F0052D38F4820022F48510
+:103AA00076F8F4847700E0003AB0F48576FCF0050F
+:103AB00076FCE0003AC0F0057A78E0003AC4F4867B
+:103AC000319CF4862EE0F48532D4F70471C80000EE
+:103AD0000001203A0000E6003B70F6862C28F7042F
+:103AE0002D380000000106380001F6052D38773921
+:103AF0000002F482000920320044E6003B70B4BAB0
+:103B00006802E0003B70F0052D38F7047A90000061
+:103B10000001C03A6A00EE003B29C5B40000C73876
+:103B20005A00E0003B30F7057A90C5B80000F00578
+:103B30007A90F7047A88F6867A2876390003A6B256
+:103B4000680207380001F7057A88F7047A98969694
+:103B5000FFF49682FF3CF4847A98F6867A2CC73874
+:103B600058009482FF409582FF44B5B26802F70581
+:103B70007A988796FFFC8296FFF802140000013CB9
+:103B80000000000000019293FFFC02900008221048
+:103B90000018F5047A88F7067A2CF5847A9076A9CD
+:103BA0000003A6B67002202E0000E6003BCD000008
+:103BB0000001F7047AA000000001C02A7200E600AC
+:103BC0003F18C02E6A00EE003F1900000001F68485
+:103BD00032C0F60432C88736141000000001C7381E
+:103BE000600097361410873614180000000107385B
+:103BF00000019736141887361418F70432B80000FD
+:103C00000001C7386000F70532B8F70432BC000085
+:103C1000000107380001F70532BCF70432BC202E42
+:103C20000000E6003C3DF6820000F70432E0F68535
+:103C30007A7007380001E0003C40F70532E0F505F6
+:103C40007A70F5846F5800000001202E0021E200F8
+:103C50003C84F6862C28F7042D3800000001063835
+:103C60000001F6052D387739000220320044E600C5
+:103C70003C78B5BA6802F0052D38F4820022E000E5
+:103C80003C88F4856F58F0056F54F58432C000000D
+:103C90000001902E0004872E000000000001203A51
+:103CA0000002E6003DB4000000018702FF3804ACCA
+:103CB0000EF49496FFECF7057A689493FFFC9596C2
+:103CC000FFE407880008E00043A09793FFFC859677
+:103CD000FFE420220000E6003D7000000001862E77
+:103CE0000EF80000000120320010E2003D0DF482C9
+:103CF000004C872E0F000000000107380001972EAE
+:103D00000F00872E0F00E0003D700000000194932B
+:103D1000FFFCF4867A289493FFFC76B10002C6B4C7
+:103D2000600077350004C7386A008496FFECC73816
+:103D30006000C7384800073800109713FFFC9596BD
+:103D4000FFE49616FFE007880008E00126F8979345
+:103D5000FFFC8616FFE08596FFE406300001203266
+:103D60000011E6003D6C00000001F6020000962EF6
+:103D70000EF8F70432C0F486E030C03A4A00E6009C
+:103D80003DB400000001F704E0180000000177B81E
+:103D9000001E703EFFE1E6003DB5000000010F810E
+:103DA0004000F70479C80000000107380001F7055A
+:103DB00079C8F70479C8F70471C400000001203AFB
+:103DC0000000E6003DF1F6862C28F7042D380000AF
+:103DD000000106380001F6052D3877390002F4821B
+:103DE000000A20320044E6003DF0B4BA6802F00553
+:103DF0002D38F70471D4F68471CC07380001C03A2D
+:103E00006A00E6003E0CF70571D4F00571D4F68423
+:103E100071D4F70471D0F00571C4C0367200470C3C
+:103E20000001F68432D00000000120360000E600D8
+:103E30003ED1F70571C8F704719800000001203ADF
+:103E40000000E6003E7100000001F70475EC000080
+:103E50000001203A0000E6003E7000000001F70477
+:103E600075F000000001203A0000E6003EC90000A5
+:103E70000001F58476F800000001202E0021E20008
+:103E80003EBCF6862C28F7042D38000000010638C9
+:103E90000001F6052D387739000220320044E60093
+:103EA0003EA8B5BA6802F0052D38F4820022F485E8
+:103EB00076F8F4847700E0003EC0F48576FCF005E7
+:103EC00076FCE0003ED0F0057A78E0003ED4F4863F
+:103ED000319CF4862EE0F48532D4F70471C80000DA
+:103EE0000001203A0000E6003F80F6862C28F70407
+:103EF0002D380000000106380001F6052D3877390D
+:103F00000002F482000920320044E6003F80B4BA87
+:103F10006802E0003F80F0052D38F7047A90000039
+:103F20000001C03A6A00EE003F39C5B40000C7384E
+:103F30005A00E0003F40F7057A90C5B80000F00550
+:103F40007A90F7047A88F6867A2876390003A6B242
+:103F5000680207380001F7057A88F7047A98969680
+:103F6000FFF49682FF3CF4847A98F6867A2CC73860
+:103F700058009482FF409582FF44B5B26802F7056D
+:103F80007A988796FFFC8296FFF802140000013CA5
+:103F90000000000000019293FFFC02900008221034
+:103FA0000010F5846F5800000001202E0021E2006F
+:103FB0003FE4F6862C28F7042D380000000106386F
+:103FC0000001F6052D387739000220320044E60062
+:103FD0003FD8B5BA6802F0052D38F5020022E0009E
+:103FE0003FE8F5056F58F0056F54F58432C00000C6
+:103FF0000001902E0004872E000000000001203AEE
+:104000000002E6004114000000018702FF38052C81
+:104010000EF49516FFF4F7057A689513FFFC959654
+:10402000FFEC07880008E00043A09793FFFC85960B
+:10403000FFEC20220000E60040D000000001862EA8
+:104040000EF80000000120320010E200406DF50281
+:10405000004C872E0F000000000107380001972E4A
+:104060000F00872E0F00E00040D0000000019513E4
+:10407000FFFCF5067A289513FFFC76B10002C6B462
+:10408000600077350004C7386A008516FFF4C7382A
+:104090006000C7385000073800109713FFFC959652
+:1040A000FFEC9616FFE807880008E00126F89793D2
+:1040B000FFFC8616FFE88596FFEC063000012032F3
+:1040C0000011E60040CC00000001F6020000962E30
+:1040D0000EF8F70432C0F506E030C03A5200E600B0
+:1040E000411400000001F704E0180000000177B857
+:1040F000001E703EFFE1E6004115000000010F8147
+:104100004000F70479C80000000107380001F705F6
+:1041100079C8F70479C8F70471C400000001203A97
+:104120000000E6004151F6862C28F7042D380000E7
+:10413000000106380001F6052D3877390002F50236
+:10414000000A20320044E6004150B53A6802F0050A
+:104150002D38F70471D4F68471CC07380001C03AC9
+:104160006A00E600416CF70571D4F00571D4F6845D
+:1041700071D4F70471D0F00571C4C0367200470CD9
+:104180000001F68432D00000000120360000E60075
+:104190004231F70571C8F704719800000001203A18
+:1041A0000000E60041D100000001F70475EC0000BA
+:1041B0000001203A0000E60041D000000001F704B1
+:1041C00075F000000001203A0000E60042290000DE
+:1041D0000001F58476F800000001202E0021E200A5
+:1041E000421CF6862C28F7042D3800000001063802
+:1041F0000001F6052D387739000220320044E60030
+:104200004208B5BA6802F0052D38F5020022F5051E
+:1042100076F8F5047700E0004220F50576FCF0051D
+:1042200076FCE0004230F0057A78E0004234F50692
+:10423000319CF5062EE0F50532D4F70471C8000074
+:104240000001203A0000E6004274F6862C28F704AC
+:104250002D380000000106380001F6052D387739A9
+:104260000002F502000920320044E6004274B53A2B
+:104270006802F0052D388796FFFC8296FFF802143D
+:104280000000013C0000000000019293FFFC02903E
+:104290000008F70632D49713FFFCF7062EE09713B9
+:1042A000FFFC07880008E00014F49793FFFCF70672
+:1042B00032D49713FFFCF7062F6C9713FFFC078887
+:1042C0000008E00014F49793FFFCF70632D497132C
+:1042D000FFFCF7062FF89713FFFC07880008E000A3
+:1042E00014F49793FFFCF70632D49713FFFCF706FC
+:1042F00030849713FFFC07880008E00014F49793BC
+:10430000FFFCF70632D49713FFFCF7063110971322
+:10431000FFFC07880008E00014F49793FFFCF70601
+:1043200032D49713FFFCF706319C9713FFFC0788E4
+:104330000008E00014F49793FFFCF70632D49713BB
+:10434000FFFCF70632289713FFFC07880008E000FF
+:1043500014F49793FFFC8796FFFC8296FFF80214F3
+:104360000000013C0000000000019293FFFC02905D
+:104370000008871600000000000186BA0000873A96
+:10438000000400000001C0367200440C0001879652
+:10439000FFFC8296FFF802140004013C00000000BC
+:1043A00000019293FFFC0290000885960000000037
+:1043B0000001862E000086AE000420320010E200CC
+:1043C00043D00000000120360010E20043ED073426
+:1043D0000001872E000C0000000107380001972E15
+:1043E000000C872E000CE0004414F4020000C03AD8
+:1043F0006200E6004411F402000020360010E600DE
+:1044000044140000000120320000E60044140000C3
+:104410000001F40200018796FFFC8296FFF8021467
+:104420000004013C0000000000019293FFFC029098
+:104430000008F7020001F7053524F7046F44000077
+:104440000001F7053528F70632F49713FFFCF7064D
+:1044500035309713FFFC07880008E0001548979354
+:10446000FFFCF70245049713FFFCF702000D9713BA
+:10447000FFFCF70632F49713FFFC07880008E00002
+:10448000161C9793FFFCF7024A049713FFFCF702F0
+:10449000000F9713FFFCF70633809713FFFC078884
+:1044A0000008E000161C9793FFFCF7024EEC9713F0
+:1044B000FFFCF70200089713FFFCF706340C971374
+:1044C000FFFC07880008E000161C9793FFFCF7022A
+:1044D00057649713FFFCF70200079713FFFCF706DA
+:1044E00034989713FFFC07880008E000161C979388
+:1044F000FFFC8796FFFC8296FFF802140000013C47
+:104500000000000000019293FFFC029000082210BE
+:104510000014F70475F800000001203A0000E600DE
+:10452000452DF68675F8E0004544F7020000F704D3
+:1045300076040000000177390002C7386800873A26
+:10454000001800000001203A0000E600455CF70575
+:104550003548F4863380E00049F0F4853530F704BF
+:104560006F5400000001203A0000E6004580F4820C
+:104570000008F4820001F4856F54E0004588F702DA
+:104580000001F4856F58F7020000203A0000E600B1
+:1045900045A0F4820004F486340CE00049F0F48570
+:1045A0003530F6843548F604352CF4B72800073446
+:1045B0000002F4820001F4BB28008732008CF482F0
+:1045C00000019736001887320090F4856F509736B7
+:1045D000000484B200840000000194B6001084B28C
+:1045E00000880000000194B6001484B6001000009A
+:1045F000000194B6000884B600140000000194B6CF
+:10460000000C84B2009800000001F4853554F48257
+:1046100000019482FF80F5043554F4863498F485C3
+:1046200035309502FF3885B2000006B4002495822B
+:10463000FF3C9682FF4087320004F6853550970292
+:10464000FF4486B20004F005354CF704354095165A
+:10465000FFF49596FFF4C7386800F7053540F584F8
+:10466000352886B20004872E141400000001C738D4
+:104670006800972E14148732008000000001203A51
+:104680000001EE0049F0F7060C3EC07E7400E60023
+:1046900046A400000001C07E7400E60046950000BC
+:1046A0000001F7060C3EC77C7400203A0010E600BB
+:1046B00049F000000001FF8200108682FF38F704F5
+:1046C0003558F5846F58F685355407380001202E8B
+:1046D0000021E2004708F7053558F7042D38F68623
+:1046E0002C2806380001F6052D38773900022032D3
+:1046F0000044E60046FCB5BA6802F0052D38F482A5
+:104700000022E000470CF4856F58F0056F54F684E2
+:10471000352C0000000187360094C4840000C03AA4
+:104720004A00E60047710000000186360094F684D6
+:1047300035540000000176B4FFF0F7043554969626
+:10474000FFF4473900009716FFF0C6B47000F70475
+:10475000354877B4000F703EFFE107380024E600CB
+:104760004769C638600006B40001C7046E00F7331D
+:104770002800F6843544000000018736000400005C
+:104780000001203A000FE20047BD073800018736DC
+:10479000000C00000001073800019736000C873636
+:1047A000000CE00047D000000001F7043528F68235
+:1047B000000107380008E0004968F7053544203A51
+:1047C0000010E60047CC00000001F7020000973619
+:1047D0000004F704353CF684352807380001F70556
+:1047E000353CF704353C8736141C000000010738BF
+:1047F00000019736141CF704760486B6141CF604E0
+:1048000075FC07380001F684760000000001C03A0C
+:104810006A00E600481CF7057604F0057604F68485
+:104820007604F7047608F00575FCC0367200470C74
+:10483000000120320000E6004881F70575F8F70412
+:104840007648F4867218C03A4A00470C0001203AB4
+:104850000000E6004881F6862C28F7042D38000079
+:10486000000106380001F6052D3877390002F48280
+:10487000000E20320044E6004880B4BA6802F00519
+:104880002D38F5020000202A0002EE004968F68269
+:104890000000F68435280000000187360000000083
+:1048A0000001203A0002E600493C05B40008959357
+:1048B000FFFC9516FFEC9596FFE89696FFE40788B7
+:1048C0000008E0005EDC9793FFFC8516FFEC859600
+:1048D000FFE88696FFE420220000E600492CF7025C
+:1048E00000008636000C000000012032000FE200BC
+:1048F000491100000001873600140000000107384C
+:1049000000019736001487360014E000492CF702A6
+:10491000000076B10002C6B4600077350005C738E4
+:104920006A00C738600007380010C72C7000203AB2
+:104930000000E60047A8F705352CF6843528F70473
+:104940006F4C00000001C0367200E600495C07347D
+:104950001494F4846F44E0004960F4853528F70529
+:104960003528E00048840528000120360000E600D4
+:1049700049A1F6862C28F7042D38000000010638DE
+:104980000001F6052D3877390002F482000D20323F
+:104990000044E60049A8B4BA6802E00049A8F0055E
+:1049A0002D38F4820001F4853524F6843528F70487
+:1049B0006F44F48632F4C0367200E60049F0F485A4
+:1049C0003530F704E0180000000177B8001F703E92
+:1049D000FFE1E60049F1000000010F814000F7040B
+:1049E00079C80000000107380001F70579C8F7040D
+:1049F00079C88796FFFC8296FFF802140000013CFC
+:104A00000000000000019293FFFC029000082210B9
+:104A10000014F70475F800000001203A0000E600D9
+:104A20004A2DF68675F8E0004A40F6820000F70449
+:104A300076040000000177390002C738680086BAA2
+:104A40000018F7046F5400000001203A0000E6004F
+:104A50004A64F6853548F4820001F4856F54E0001D
+:104A60004A70F7020001F4820008F4856F58F702DB
+:104A70000000203A0000E6004A88F4820004F48630
+:104A8000340CE0004ED8F4853530F6843548F60411
+:104A9000352CF4B7280007340002F4820001F4BB7F
+:104AA00028008732008CF482000197360018873284
+:104AB0000090F4856F509736000484B200840000A3
+:104AC000000194B6001084B200880000000194B682
+:104AD000001484B600100000000194B6000884B6EB
+:104AE00000140000000194B6000C84B2009800008D
+:104AF0000001F4853554F48200019482FF80F504AE
+:104B00003554F4863498F48535309502FF3885B2F3
+:104B1000000006B400249582FF3C9682FF40873255
+:104B20000004F68535509702FF4486B20004F00574
+:104B3000354CF70435409516FFF49596FFF4C738C9
+:104B40006800F7053540F584352886B20004872EC5
+:104B5000141400000001C7386800972E141487321F
+:104B6000008000000001203A0001EE004ED8F70658
+:104B70000C3EC07E7400E6004B8C00000001C07E3D
+:104B80007400E6004B7D00000001F7060C3EC77C78
+:104B90007400203A0010E6004ED800000001FF82A9
+:104BA00000108682FF38F7043558F5846F58F68573
+:104BB000355407380001202E0021E2004BF0F705A4
+:104BC0003558F7042D38F6862C2806380001F605EE
+:104BD0002D387739000220320044E6004BE4B5BAA4
+:104BE0006802F0052D38F4820022E0004BF4F485D1
+:104BF0006F58F0056F54F684352C0000000187369D
+:104C00000094C4840000C03A4A00E6004C590000F9
+:104C1000000186360094F68435540000000176B415
+:104C2000FFF0F70435549696FFF4473900009716C5
+:104C3000FFF0C6B47000F704354877B4000F703E3B
+:104C4000FFE107380024E6004C51C638600006B486
+:104C50000001C7046E00F7332800F68435440000D5
+:104C600000018736000400000001203A000FE20036
+:104C70004CA5073800018736000C000000010738FA
+:104C800000019736000C8736000CE0004CB800009D
+:104C90000001F7043528F682000107380008E0001B
+:104CA0004E50F7053544203A0010E6004CB40000A1
+:104CB0000001F702000097360004F704353CF68443
+:104CC000352807380001F705353CF704353C8736B1
+:104CD000141C00000001073800019736141CF7046B
+:104CE000760486B6141CF60475FC07380001F684B9
+:104CF000760000000001C03A6A00E6004D04F705A6
+:104D00007604F0057604F6847604F7047608F00558
+:104D100075FCC0367200470C000120320000E6002E
+:104D20004D69F70575F8F7047648F4867218C03AAD
+:104D30004A00470C0001203A0000E6004D69F68663
+:104D40002C28F7042D380000000106380001F60574
+:104D50002D3877390002F482000E20320044E6003C
+:104D60004D68B4BA6802F0052D38F5020000202A1B
+:104D70000002EE004E50F6820000F6843528000056
+:104D800000018736000000000001203A0002E60022
+:104D90004E2405B400089593FFFC9516FFEC9596FC
+:104DA000FFE89696FFE407880008E0005EDC979332
+:104DB000FFFC8516FFEC8596FFE88696FFE420222F
+:104DC0000000E6004E14F70200008636000C0000DA
+:104DD00000012032000FE2004DF90000000187368B
+:104DE00000140000000107380001973600148736D0
+:104DF0000014E0004E14F702000076B10002C6B4C1
+:104E0000600077350005C7386A00C738600007388A
+:104E10000010C72C7000203A0000E6004C90F70507
+:104E2000352CF6843528F7046F4C00000001C0369D
+:104E30007200E6004E4407341494F4846F44E0009A
+:104E40004E48F4853528F7053528E0004D6C0528D7
+:104E5000000120360000E6004E89F6862C28F70473
+:104E60002D380000000106380001F6052D3877398D
+:104E70000002F482000D20320044E6004E90B4BAE5
+:104E80006802E0004E90F0052D38F4820001F485B0
+:104E90003524F6843528F7046F44F48632F4C0369E
+:104EA0007200E6004ED8F4853530F704E0180000B3
+:104EB000000177B8001F703EFFE1E6004ED9000008
+:104EC00000010F814000F70479C800000001073895
+:104ED0000001F70579C8F70479C88796FFFC829628
+:104EE000FFF802140000013C000000000001929352
+:104EF000FFFC0290000822100014F6843548F604E6
+:104F0000352CF4820004F4B7280007340002F48240
+:104F10000001F4BB28008732008CF4820001973630
+:104F2000001887320090F4856F509736000484B2E1
+:104F300000840000000194B6001084B200880000D4
+:104F4000000194B6001484B600100000000194B66D
+:104F5000000884B600140000000194B6000C84B26E
+:104F6000009800000001F4853554F4820001948219
+:104F7000FF80F5043554F4863498F4853530950275
+:104F8000FF3885B2000006B400249582FF3C96826B
+:104F9000FF4087320004F68535509702FF4486B201
+:104FA0000004F005354CF70435409516FFF495964E
+:104FB000FFF4C7386800F7053540F584352886B218
+:104FC0000004872E141400000001C7386800972ED3
+:104FD00014148732008000000001203A0001EE0026
+:104FE000534CF7060C3EC07E7400E60050000000F3
+:104FF0000001C07E7400E6004FF100000001F706DA
+:105000000C3EC77C7400203A0010E600534C0000B0
+:105010000001FF8200108682FF38F7043558F584BE
+:105020006F58F685355407380001202E0021E20024
+:105030005064F7053558F7042D38F6862C280638C5
+:105040000001F6052D387739000220320044E600D1
+:105050005058B5BA6802F0052D38F4820022E000FD
+:105060005068F4856F58F0056F54F684352C0000B5
+:10507000000187360094C4840000C03A4A00E6006C
+:1050800050CD0000000186360094F68435540000AF
+:10509000000176B4FFF0F70435549696FFF44739D3
+:1050A00000009716FFF0C6B47000F704354877B4D7
+:1050B000000F703EFFE107380024E60050C5C638F7
+:1050C000600006B40001C7046E00F7332800F684C0
+:1050D0003544000000018736000400000001203A3A
+:1050E000000FE2005119073800018736000C00005C
+:1050F0000001073800019736000C8736000CE000ED
+:10510000512C00000001F7043528F6820001073811
+:105110000008E00052C4F7053544203A0010E600CC
+:10512000512800000001F702000097360004F70440
+:10513000353CF684352807380001F705353CF7047F
+:10514000353C8736141C00000001073800019736F3
+:10515000141CF704760486B6141CF60475FC073894
+:105160000001F684760000000001C03A6A00E60003
+:105170005178F7057604F0057604F6847604F70492
+:105180007608F00575FCC0367200470C000120322D
+:105190000000E60051DDF70575F8F7047648F4865F
+:1051A0007218C03A4A00470C0001203A0000E6009D
+:1051B00051DDF6862C28F7042D3800000001063852
+:1051C0000001F6052D3877390002F482000E2032F6
+:1051D0000044E60051DCB4BA6802F0052D38F5024F
+:1051E0000000202A0002EE0052C4F6820000F6847D
+:1051F0003528000000018736000000000001203A39
+:105200000002E600529805B400089593FFFC95163D
+:10521000FFEC9596FFE89696FFE407880008E0000B
+:105220005EDC9793FFFC8516FFEC8596FFE886967B
+:10523000FFE420220000E6005288F70200008636D4
+:10524000000C000000012032000FE200526D00004F
+:10525000000187360014000000010738000197366E
+:10526000001487360014E0005288F702000076B17F
+:105270000002C6B4600077350005C7386A00C73839
+:10528000600007380010C72C7000203A0000E600CC
+:105290005104F705352CF6843528F7046F4C0000CF
+:1052A0000001C0367200E60052B807341494F4844A
+:1052B0006F44E00052BCF4853528F7053528E0003E
+:1052C00051E00528000120360000E60052FDF68678
+:1052D0002C28F7042D380000000106380001F605DF
+:1052E0002D3877390002F482000D20320044E600A8
+:1052F0005304B4BA6802E0005304F0052D38F48278
+:105300000001F4853524F6843528F7046F44F486CB
+:1053100032F4C0367200E600534CF4853530F704A1
+:10532000E0180000000177B8001F703EFFE1E600C2
+:10533000534D000000010F814000F70479C80000C0
+:10534000000107380001F70579C8F70479C8879686
+:10535000FFFC8296FFF802140000013C00000000F0
+:1053600000019293FFFC0290000822100014F484C4
+:105370003554F684354CF584352C9482FF3876B557
+:105380000003A52E6802000000019502FF3CF38493
+:105390003550C6AC68009382FF4087360004000099
+:1053A00000019702FF4486360004F70435400000F0
+:1053B0000001C7386000F7053540F604352886B689
+:1053C0000004873214149496FFF4C73868009732AB
+:1053D0001414872E008000000001203A0001EE0026
+:1053E00057509516FFF4F7060C3EC07E7400E60099
+:1053F000540400000001C07E7400E60053F5000074
+:105400000001F7060C3EC77C7400203A0010E6004D
+:10541000575000000001FF8200108682FF38F70419
+:105420003558F5846F58F685355407380001202E1D
+:105430000021E2005468F7053558F7042D38F68648
+:105440002C2806380001F6052D3877390002203265
+:105450000044E600545CB5BA6802F0052D38F382CA
+:105460000022E000546CF3856F58F0056F54F68409
+:10547000352C0000000187360094C3840000C03A38
+:105480003A00E60054D10000000186360094F6840C
+:1054900035540000000176B4FFF0F70435549696B9
+:1054A000FFF4473900009716FFF0C6B47000F70408
+:1054B000354877B4000F703EFFE107380024E6005E
+:1054C00054C9C638600006B40001C7046E00F73343
+:1054D0002800F684354400000001873600040000EF
+:1054E0000001203A000FE200551D07380001873601
+:1054F000000C00000001073800019736000C8736C9
+:10550000000CE000553000000001F7043528F68259
+:10551000000107380008E00056C8F7053544203A76
+:105520000010E600552C00000001F702000097363D
+:105530000004F704353CF684352807380001F705E8
+:10554000353CF704353C8736141C00000001073851
+:1055500000019736141CF704760486B6141CF60472
+:1055600075FC07380001F684760000000001C03A9F
+:105570006A00E600557CF7057604F0057604F684AB
+:105580007604F7047608F00575FCC0367200470C07
+:10559000000120320000E60055E1F70575F8F70438
+:1055A0007648F3867218C03A3A00470C0001203A58
+:1055B0000000E60055E1F6862C28F7042D3800009F
+:1055C000000106380001F6052D3877390002F38214
+:1055D000000E20320044E60055E0B3BA6802F00540
+:1055E0002D38F5020000202A0002EE0056C8F6828F
+:1055F0000000F68435280000000187360000000016
+:105600000001203A0002E600569C05B4000895937C
+:10561000FFFC9516FFEC9596FFE89696FFE4078849
+:105620000008E0005EDC9793FFFC8516FFEC859692
+:10563000FFE88696FFE420220000E600568CF70281
+:1056400000008636000C000000012032000FE2004E
+:105650005671000000018736001400000001073871
+:1056600000019736001487360014E000568CF702CC
+:10567000000076B10002C6B4600077350005C73877
+:105680006A00C738600007380010C72C7000203A45
+:105690000000E6005508F705352CF6843528F70498
+:1056A0006F4C00000001C0367200E60056BC0734A3
+:1056B0001494F3846F44E00056C0F3853528F70551
+:1056C0003528E00055E40528000120360000E600FA
+:1056D0005701F6862C28F7042D3800000001063803
+:1056E0000001F6052D3877390002F382000D2032D3
+:1056F0000044E6005708B3BA6802E0005708F00516
+:105700002D38F3820001F3853524F6843528F7041B
+:105710006F44F38632F4C0367200E6005750F385CA
+:105720003530F704E0180000000177B8001F703E24
+:10573000FFE1E6005751000000010F814000F7042F
+:1057400079C80000000107380001F70579C8F7049F
+:1057500079C88796FFFC8296FFF802140000013C8E
+:105760000000000000019293FFFC0290000822104C
+:1057700000148702FF38F384352CF7053554871E53
+:105780000080F504354C27380001C02A7200E6007D
+:105790005A4C00000001F5846F5800000001202ED3
+:1057A0000021E20057D8F6862C28F7042D38000097
+:1057B000000106380001F6052D3877390002203245
+:1057C0000044E60057CCB5BA6802F0052D38F30264
+:1057D0000022E00057DCF3056F58F0056F54F684A3
+:1057E000352C0000000187360094C3040000C03A45
+:1057F0003200E60058410000000186360094F6842D
+:1058000035540000000176B4FFF0F7043554969645
+:10581000FFF4473900009716FFF0C6B47000F70494
+:10582000354877B4000F703EFFE107380024E600EA
+:105830005839C638600006B40001C7046E00F7335B
+:105840002800F6843544000000018736000400007B
+:105850000001203A000FE200588D0738000187361A
+:10586000000C00000001073800019736000C873655
+:10587000000CE00058A000000001F7043528F68273
+:10588000000107380008E0005A38F7053544203A8F
+:105890000010E600589C00000001F7020000973657
+:1058A0000004F704353CF684352807380001F70575
+:1058B000353CF704353C8736141C000000010738DE
+:1058C00000019736141CF704760486B6141CF604FF
+:1058D00075FC07380001F684760000000001C03A2C
+:1058E0006A00E60058ECF7057604F0057604F684C5
+:1058F0007604F7047608F00575FCC0367200470C94
+:10590000000120320000E6005951F70575F8F70450
+:105910007648F3067218C03A3200470C0001203A6C
+:105920000000E6005951F6862C28F7042D380000B7
+:10593000000106380001F6052D3877390002F30220
+:10594000000E20320044E6005950B33A6802F005D8
+:105950002D38F5020000202A0002EE005A38F682A7
+:105960000000F684352800000001873600000000A2
+:105970000001203A0002E6005A0C05B40008959395
+:10598000FFFC9516FFEC9596FFE89696FFE40788D6
+:105990000008E0005EDC9793FFFC8516FFEC85961F
+:1059A000FFE88696FFE420220000E60059FCF7029B
+:1059B00000008636000C000000012032000FE200DB
+:1059C00059E100000001873600140000000107388B
+:1059D00000019736001487360014E00059FCF702E6
+:1059E000000076B10002C6B4600077350005C73804
+:1059F0006A00C738600007380010C72C7000203AD2
+:105A00000000E6005878F705352CF6843528F704B1
+:105A10006F4C00000001C0367200E6005A2C0734BB
+:105A20001494F3046F44E0005A30F3053528F70569
+:105A30003528E00059540528000120360000E60012
+:105A40005DC4F3020001E0005DF000000001772971
+:105A50000003C71C7000873A00040528000176A9DE
+:105A60000003F4843554F60435509482FF38A41EA4
+:105A70006802C63070009402FF3C9602FF40C69C4C
+:105A8000680087360004000000019702FF4485B6D5
+:105A90000004F704354000000001C7385800F7053E
+:105AA000354085B60004F505354CF6843528F605F5
+:105AB0003550873614149496FFF4C738580097363B
+:105AC0001414871E008000000001203A0001EE003F
+:105AD0005E3C9416FFF4F7060C3EC07E7400E600B0
+:105AE0005AF400000001C07E7400E6005AE5000090
+:105AF0000001F7060C3EC77C7400203A0010E60057
+:105B00005E3C00000001FF8200108682FF38F7042F
+:105B10003558F5846F58F685355407380001202E26
+:105B20000021E2005B58F7053558F7042D38F6865A
+:105B30002C2806380001F6052D387739000220326E
+:105B40000044E6005B4CB5BA6802F0052D38F3025C
+:105B50000022E0005B5CF3056F58F0056F54F6849B
+:105B6000352C0000000187360094C3040000C03AC1
+:105B70003200E6005BC10000000186360094F68426
+:105B800035540000000176B4FFF0F70435549696C2
+:105B9000FFF4473900009716FFF0C6B47000F70411
+:105BA000354877B4000F703EFFE107380024E60067
+:105BB0005BB9C638600006B40001C7046E00F73355
+:105BC0002800F684354400000001873600040000F8
+:105BD0000001203A000FE2005C0D07380001873613
+:105BE000000C00000001073800019736000C8736D2
+:105BF000000CE0005C2000000001F7043528F6826C
+:105C0000000107380008E0005DB8F7053544203A88
+:105C10000010E6005C1C00000001F702000097364F
+:105C20000004F704353CF684352807380001F705F1
+:105C3000353CF704353C8736141C0000000107385A
+:105C400000019736141CF704760486B6141CF6047B
+:105C500075FC07380001F684760000000001C03AA8
+:105C60006A00E6005C6CF7057604F0057604F684BD
+:105C70007604F7047608F00575FCC0367200470C10
+:105C8000000120320000E6005CD1F70575F8F7044A
+:105C90007648F3067218C03A3200470C0001203AE9
+:105CA0000000E6005CD1F6862C28F7042D380000B1
+:105CB000000106380001F6052D3877390002F3029D
+:105CC000000E20320044E6005CD0B33A6802F005D2
+:105CD0002D38F5020000202A0002EE005DB8F682A1
+:105CE0000000F6843528000000018736000000001F
+:105CF0000001203A0002E6005D8C05B4000895938F
+:105D0000FFFC9516FFEC9596FFE89696FFE4078852
+:105D10000008E0005EDC9793FFFC8516FFEC85969B
+:105D2000FFE88696FFE420220000E6005D7CF70293
+:105D300000008636000C000000012032000FE20057
+:105D40005D61000000018736001400000001073883
+:105D500000019736001487360014E0005D7CF702DE
+:105D6000000076B10002C6B4600077350005C73880
+:105D70006A00C738600007380010C72C7000203A4E
+:105D80000000E6005BF8F705352CF6843528F704AB
+:105D90006F4C00000001C0367200E6005DAC0734B5
+:105DA0001494F3046F44E0005DB0F3053528F70563
+:105DB0003528E0005CD40528000120360000E6000C
+:105DC0005DF1F3020001F7042D38F6862C28063821
+:105DD0000001F6052D3877390002F302000D20325C
+:105DE0000044E6005DF4B33A6802E0005DF4F005BB
+:105DF0002D38F3053524F6843528F7046F44F3066F
+:105E000032F4C0367200E6005E3CF3053530F7042C
+:105E1000E0180000000177B8001F703EFFE1E600C7
+:105E20005E3D000000010F814000F70479C80000CA
+:105E3000000107380001F70579C8F70479C887968B
+:105E4000FFFC8296FFF802140000013C00000000F5
+:105E500000019293FFFC02900008F706353097137B
+:105E6000FFFCF70632F49713FFFC07880008E000F8
+:105E700014F49793FFFCF70635309713FFFCF706F1
+:105E800033809713FFFC07880008E00014F4979311
+:105E9000FFFCF70635309713FFFCF706340C971319
+:105EA000FFFC07880008E00014F49793FFFCF70656
+:105EB00035309713FFFCF70634989713FFFC0788DB
+:105EC0000008E00014F49793FFFC8796FFFC82968D
+:105ED000FFF802140000013C000000000001929352
+:105EE000FFFC0290000886160000000000018732C7
+:105EF000000400000001203A000F86B20000C538FF
+:105F00000000EE005F2CC5B400002036000FEE004C
+:105F10005F2C00000001203A0000EC005F2D000023
+:105F2000000120360000EC005F48000000018732CD
+:105F3000000C00000001073800019732000C873286
+:105F4000000CE0005F50F4020000C02A5A00440C2C
+:105F500000018796FFFC8296FFF802140004013CC2
+:105F6000000000000001000000009293FFFC02907E
+:105F70000008F68635609693FFFCF68642309693CD
+:105F8000FFFC07880008E00015489793FFFCF682A5
+:105F900066F89693FFFCF78200179793FFFCF6864E
+:105FA00035609693FFFC07880008E000161C979365
+:105FB000FFFCF68269809693FFFCF78200189793A6
+:105FC000FFFCF68635609693FFFC07880008E0002A
+:105FD000161C9793FFFCF6826B509693FFFCF7829A
+:105FE00000169793FFFCF68635609693FFFC0788B2
+:105FF0000008E000161C9793FFFCF68261789693E8
+:10600000FFFCF782001F9793FFFCF686356096939E
+:10601000FFFC07880008E000161C9793FFFCF6823F
+:10602000627C9693FFFCF78200209793FFFCF68634
+:1060300035609693FFFC07880008E000161C9793D4
+:10604000FFFCF68266F89693FFFCF78200179793A1
+:10605000FFFCF68635EC9693FFFC07880008E0000D
+:10606000161C9793FFFCF68269809693FFFCF782DB
+:1060700000189793FFFCF68635EC9693FFFC078893
+:106080000008E000161C9793FFFCF6826B50969375
+:10609000FFFCF78200169793FFFCF68635EC96938B
+:1060A000FFFC07880008E000161C9793FFFCF682AF
+:1060B00061789693FFFCF782001F9793FFFCF686AA
+:1060C00035EC9693FFFC07880008E000161C9793B8
+:1060D000FFFCF682627C9693FFFCF7820020979388
+:1060E000FFFCF68635EC9693FFFC07880008E0007D
+:1060F000161C9793FFFCF704E02800000001203AEB
+:106100000000E600611500000001F704E028E0004F
+:10611000611877390002F70200F0F7054228F70608
+:10612000408AF03B2800F706408CF03B2800F7023D
+:106130000000F7057AC0F7057AB8F7057AB0F705D9
+:106140007AC8F682C3509693FFFCF68200169693A7
+:10615000FFFCF68642309693FFFC07880008E001BA
+:106160001EC09793FFFC8796FFFC8296FFF80214EF
+:106170000000013C0000000000019293FFFC02902F
+:106180000008F6046F340000000120320000E60031
+:1061900061ED76B1001E8732000076B4FFE5C738A6
+:1061A0006FC07739FFF0203A0007E60061EC06B0D7
+:1061B00000028736000076B5001E76B4FFE5C738CA
+:1061C0006FC07739FFF0203A0001E60061ECF50678
+:1061D00035ECF704423000000001C03A5200470C91
+:1061E0000001203A0000E6006211F5820000F70489
+:1061F00042A0F60642A276B1001E76B4FFE5C7388B
+:106200006FC07739FFF007380001E0006268F733AC
+:1062100028008732000400000001F705E00086B284
+:106220000008203A0000E600623CF685E0042036D3
+:106230000000E6006240202E0000F5820001202EC2
+:106240000000E6006265F60642A2F70442A076B1BD
+:10625000001E76B4FFE5C7386FC07739FFF0073806
+:106260000001F7332800F00542288796FFFC82964C
+:10627000FFF802140000013C0000000000019293AE
+:10628000FFFC02900008F704423CF6846F340738A4
+:10629000000120360000E60062B1F705423C873677
+:1062A0000000F59E0002C03A5A00E60062BDF58685
+:1062B00035ECF70442A0E00062DCF60642A2F704E7
+:1062C000423000000001C03A5A00470C0001203A59
+:1062D0000000E60062F9F60642A4F70442A476B193
+:1062E000001E76B4FFE5C7386FC07739FFF0073876
+:1062F0000001E000630CF73328009693FFFC078849
+:106300000008E00063209793FFFCF0054228879681
+:10631000FFFC8296FFF802140000013C0000000020
+:1063200000019293FFFC02900008221000208316C7
+:10633000000000000001871A001800000001203A48
+:106340000000E600636CF7020000839A001C000066
+:106350000001F3857AC0849A0014F7057AC8F485A1
+:106360007AB0F7057AB88316000000000001869A1B
+:106370000014F7047AB000000001C0367200E60095
+:1063800063D0F6020000869A001CF7047AC0000071
+:106390000001C0367200E60063D000000001869A5A
+:1063A0000018F7047AB800000001C0367200E60059
+:1063B00063D000000001869A0020F7047AC800002C
+:1063C0000001C7386800203A0064EE0063D920322B
+:1063D0000000F602000120320000E60064580000D0
+:1063E00000018396000000000001871E00180000D5
+:1063F0000001203A0000E600643CF7020000F705C7
+:106400004080F7054084F6846E50F482FFFF831EBF
+:10641000000CF4854F5493360010839E0010849630
+:10642000000093B6001484A600080000000194B692
+:106430001DDCF6820064F6854A98F7054A9C8316AF
+:10644000000000000001871A002000000001203A2F
+:106450000000EE00647CF3820000F70442A4F6061C
+:1064600042A676B1001E76B4FFE5C7386FC0773913
+:10647000FFF007380001E00066E4F7332800939648
+:10648000FFF484160000F48642C89496FFECF302F1
+:10649000000C9316FFE4839600008496FFF4871E99
+:1064A000002000000001C0267200EC006648F38660
+:1064B0004A9884A200248316FFE4C5040000B49A1D
+:1064C0003802C7183800832200288396FFF4849688
+:1064D000FFE4933A000493BA0008F604E000F306E0
+:1064E0004A98A6A63002F5820000C0326A00E60093
+:1064F0006510C6380000F684E0048732000400000E
+:106500000001C0367200E6006514202E0000F582FE
+:106510000001202E0000E600652100000001F502C8
+:106520000000F684E0008732000000000001C03661
+:106530007200E200655CF5820000C0367200E60081
+:106540006564202E0000F684E00487320004000019
+:106550000001C0367200E2006565202E0000F58261
+:106560000001202E0000E6006575202A0000F502DB
+:106570000001202A0000E6006588000000018396E3
+:10658000FFF400000001F3854F548722002C76A110
+:10659000001E76B4FFE505A0002E762D001E763095
+:1065A000FFE5F48200009496FFDC8316FFEC2026C2
+:1065B0000007C7386FC07738FFF0F71B2800872E19
+:1065C000000006980002C73867C07738FFF0E20085
+:1065D000661CF73728008516FFEC8596FFDC000067
+:1065E0000001C72C400086BA0030062800040528A8
+:1065F000000205AC00028396FFDC7739001E773875
+:10660000FFE5039C00019396FFDC201E0007C6B443
+:1066100077C076B4FFF0E20065E1F6B3280004200D
+:10662000001C8496FFEC8316FFE48396FFF404A419
+:1066300000149496FFEC0318000C9316FFE4039CDF
+:106640000001E00064949396FFF48496000000003B
+:1066500000018726002000000001F7054A9C85A65E
+:106660000020F7047AB80000000107380001F705A0
+:106670007AB8F7047AB8F6847AC886260018C6B4C1
+:1066800058008726001C0000000127380001C03296
+:106690007200470C0001203A0000E60066E5F6852E
+:1066A0007AC883260008F7046E50F3053B6483A67E
+:1066B0000008F682000093BA1DDC84A6000C831645
+:1066C000000094BA0010831A0010F6857AC8933A35
+:1066D0000014F7020001F7054084F6857AC0F685BC
+:1066E0007AB8F6857AB08796FFFC8296FFF8021496
+:1066F0000004013C0000000000019293FFFC0290A6
+:10670000000822100008F3846F3400000001871E87
+:106710000018F684E01C00000001C0367200EC0096
+:106720006729F7020001F7020000203A0000E600A6
+:1067300067E8F5820001F704E01C869E001800005F
+:106740000001C03A6A00470C0001203A0000E60050
+:1067500067E9C5840000869E0010F704E000F60299
+:106760000000C0367200E6006788051C0010869E97
+:106770000014F704E00400000001C0367200E600D7
+:10678000678C20320000F602000120320000E60093
+:10679000679900000001F582000086AA0000F70456
+:1067A000E00000000001C0367200E20067D4F6028B
+:1067B0000000C0367200E60067DC2032000086AAC6
+:1067C0000004F704E00400000001C0367200E2009B
+:1067D00067DD20320000F602000120320000E600F2
+:1067E00067ED202E0000F5820001202E0000E6005B
+:1067F0006810F606429CF704429C76B1001E76B4FF
+:10680000FFE5C7386FC07739FFF007380001F7336D
+:106810002800F70475F475ACFFE1203A0000E600AB
+:1068200068459596FFF4F7044298F606429876B1CB
+:10683000001E76B4FFE5C7386FC07739FFF0073820
+:106840000001F7332800871E0020041C002076A1D9
+:10685000001E76B4FFE5C7386FC07739FFF0203AE5
+:106860000008EE0068C4F3061554F5020000059C0C
+:106870000022C4AC0000F60200018722000076A1CD
+:10688000001E76B4FFE5C7386FC07739FFF0C02A25
+:106890007200EC0068C0C6A46000A726600276B54E
+:1068A000001E76B4FFE505280001C7386FC07739B0
+:1068B000FFE8F72F680005AC0001E00068780630BB
+:1068C0000002F30615549313FFFCF704E0240000C4
+:1068D00000019713FFFCF704E01C00000001971370
+:1068E000FFFCF306E0009313FFFC9393FFFCF3021D
+:1068F00000019313FFFC07880008E000EE64979303
+:10690000FFFC20220000E6006928F606429EF704FC
+:10691000429C76B1001E76B4FFE5C7386FC0773968
+:10692000FFF007380001F73328008316FFF400005A
+:106930000001201A0000E600696CF30635ECF7044C
+:10694000423000000001C03A3200470C0001203AFA
+:106950000000E600696DF0054228F3063560F30596
+:10696000423007880008E000789C9793FFFC8796E8
+:10697000FFFC8296FFF802140000013C00000000BA
+:1069800000019293FFFC0290000822100004F5041D
+:106990006F34F7044240862A001807380001F68455
+:1069A000E01CF7054240C0366200EC0069B5F70212
+:1069B0000001F7020000203A0000E6006A80F702BA
+:1069C0000001F704E01C86AA001800000001C03A8C
+:1069D0006A00470C0001203A0000E6006A7DC58489
+:1069E000000086AA0010F704E000F6020000C0369E
+:1069F0007200E6006A1404A8001086AA0014F704C6
+:106A0000E00400000001C0367200E6006A1820327F
+:106A10000000F602000120320000E6006A250000B6
+:106A20000001F582000086A60000F704E0000000E7
+:106A30000001C0367200E2006A60F6020000C03653
+:106A40007200E6006A682032000086A60004F7049F
+:106A5000E00400000001C0367200E2006A692032E2
+:106A60000000F602000120320000E6006A81C72C17
+:106A70000000F5820001E0006A80C72C0000C70416
+:106A80000000203A0000EE006B3DF686408AF704D5
+:106A9000408876B5001E76B4FFE5C7386FC07738FA
+:106AA000FFF0203A0000E6006B3CF6820000F6851D
+:106AB0004080F68540849693FFFC9693FFFCF70494
+:106AC000E01C000000019713FFFCF386E0009393A5
+:106AD000FFFC9513FFFCF38200029393FFFC969654
+:106AE000FFF407880008E000EE649793FFFCF405CC
+:106AF00040848696FFF4F7046E50F38635ECF685F5
+:106B00004090F6854094873A1DDCF6854228F705CB
+:106B10003B64F7044230F4054080C03A3A00470C29
+:106B20000001203A0000E6006B3DF3863560F385F6
+:106B3000423007880008E000789C9793FFFC879616
+:106B4000FFFC8296FFF802140000013C00000000E8
+:106B500000019293FFFC02900008F4864230949367
+:106B6000FFFC07880008E00120E49793FFFC202247
+:106B70000000E6006DD9F5820000F704408CF606AF
+:106B8000408C7631001EF68442287630FFE506B44C
+:106B90000001C73867C07738FFF0203A0000E600F0
+:106BA0006BC8F6854228F7044088F686408A76B599
+:106BB000001E76B4FFE5C7386FC07738FFF0203A83
+:106BC0000000E6006D0D00000001F704408CF68621
+:106BD000408C76B5001E76B4FFE5C7386FC07738B5
+:106BE000FFF0203A0000E6006C35F606408AF70414
+:106BF000408876B1001E76B4FFE5C7386FC077389D
+:106C0000FFF0203A0000E6006C34F4863678F70492
+:106C1000424400000001C03A4A00470C0001203AFB
+:106C20000000E6006C35F4820001F4B32800E000B7
+:106C30006D10F005422CF704408CF506408C76A9C7
+:106C4000001E76B4FFE5C7386FC07738FFF0203AF2
+:106C50000000E6006CC1F606408AF704408876B171
+:106C6000001E76B4FFE5C7386FC07738FFF0203AD2
+:106C70000000E6006CC100000001F704422C000097
+:106C8000000107380001203A0009EE006D11F705F8
+:106C9000422CF02B2800F0332800F5820001F70485
+:106CA0004294F606429476B1001E76B4FFE5C738EA
+:106CB0006FC07739FFF007380001E0006D10F7333F
+:106CC0002800F704408CF686408C76B5001E76B41A
+:106CD000FFE5C7386FC07738FFF0203A0000E600C4
+:106CE0006D14202E0000F7044088F606408A76B125
+:106CF000001E76B4FFE5C7386FC07738FFF0203A42
+:106D00000000E6006D15202E0000F0332800F5820B
+:106D10000001202E0000E6006DB5F48635ECF70486
+:106D2000423000000001C03A4A00470C0001203AFE
+:106D30000000E6006D5900000001F704E0280000A3
+:106D40000001203A0000E6006D79F682003CF684EE
+:106D5000E028E0006D7800000001F704E028000062
+:106D60000001203A0000E6006D79F68200F0F70499
+:106D7000E0280000000176B90002F7044228000074
+:106D80000001C03A6A00EC006DB5F6862C28F704C5
+:106D90002D38F005422806380001F6052D387739E0
+:106DA0000002F482001920320044E6006DB4B4BA47
+:106DB0006802F0052D38F482C3509493FFFCF482EE
+:106DC00000169493FFFCF48642309493FFFC0788EE
+:106DD0000008E0011EC09793FFFC8796FFFC829697
+:106DE000FFF802140000013C000000000001929333
+:106DF000FFFC0290000822100004F5863678959377
+:106E0000FFFCF58642449593FFFC07880008E000EC
+:106E100015489793FFFCF58274189593FFFCF58253
+:106E200000199593FFFCF58636789593FFFC07884B
+:106E30000008E000161C9793FFFCF58274AC959354
+:106E4000FFFCF782001D9793FFFCF58637049593AE
+:106E5000FFFC07880008E000161C9793FFFCF582F2
+:106E600078009593FFFCF782001B9793FFFCF58653
+:106E700037049593FFFC07880008E000161C9793E1
+:106E8000FFFCF58278FC9593FFFCF782001A97933C
+:106E9000FFFCF58637909593FFFC07880008E0001B
+:106EA000161C9793FFFCF58280D89593FFFCF78220
+:106EB000001B9793FFFCF58637909593FFFC07889E
+:106EC0000008E000161C9793FFFCF58281749593EF
+:106ED000FFFCF782001D9793FFFCF586381C959305
+:106EE000FFFC07880008E000161C9793FFFCF58262
+:106EF00087749593FFFCF782001B9793FFFCF58640
+:106F0000381C9593FFFC07880008E000161C979337
+:106F1000FFFCF58294F89593FFFCF782001B979392
+:106F2000FFFCF58639349593FFFC07880008E000E4
+:106F3000161C9793FFFCF5828A009593FFFCF7825D
+:106F4000001C9793FFFCF58639349593FFFC078866
+:106F50000008E000161C9793FFFCF5828E089593BD
+:106F6000FFFCF782001A9793FFFCF586393495935E
+:106F7000FFFC07880008E000161C9793FFFCF582D1
+:106F8000969C9593FFFCF782001E9793FFFCF58675
+:106F900038A89593FFFC07880008E000161C97931B
+:106FA000FFFCF5829B2C9593FFFCF782001B9793C7
+:106FB000FFFCF58638A89593FFFC07880008E000E1
+:106FC000161C9793FFFCF582A2DC9593FFFCF782D9
+:106FD000001E9793FFFCF5863AD89593FFFC07882F
+:106FE0000008E000161C9793FFFCF5829E549593D1
+:106FF000FFFCF782001B9793FFFCF5863AD8959328
+:10700000FFFC07880008E000161C9793FFFCF58240
+:10701000A3C09593FFFCF782001C9793FFFCF586B5
+:1070200039C09593FFFC07880008E000161C979371
+:10703000FFFCF582A7649593FFFCF782001E9793EF
+:10704000FFFCF58639C09593FFFC07880008E00037
+:10705000161C9793FFFCF582AA049593FFFCF78218
+:10706000001B9793FFFCF58639C09593FFFC0788BA
+:107070000008E000161C9793FFFCF582AEF895938C
+:10708000FFFCF782001C9793FFFCF5863A4C959322
+:10709000FFFC07880008E000161C9793FFFCF7062A
+:1070A0004250F03B2800F7064088F03B2800F602EB
+:1070B0000000F6054080F6054084F7063B70F63B7D
+:1070C0002800F7063B72F0BB2800F582CA20F58540
+:1070D0003B74F7063B78F03B2800F7063B7AF0BBA1
+:1070E0002800F582B194F5853B7CF7063B80F03BA8
+:1070F0002800F7063B82F0BB2800F582C754F585CF
+:107100003B84F7063B88F03B2800F7063B8AF0BB40
+:107110002800F582BEF8F5853B8CF7063B90F03BE6
+:107120002800F7063B92F0BB2800F582C8F8F585E9
+:107130003B94F7063B98F03B2800F7063B9AF0BBE0
+:107140002800F582C5D8F5853B9CF7063BA0F03BAF
+:107150002800F7063BA2F0BB2800F582C770F58532
+:107160003BA4F7063BA8F03B2800F7063BAAF0BB80
+:107170002800F582C1B4F5853BAC9616FFF407886C
+:107180000008E000D5409793FFFCF6846E50861609
+:10719000FFF40000000196361DDCF6053B6487967F
+:1071A000FFFC8296FFF802140000013C0000000082
+:1071B00000019293FFFC02900008221000302594F9
+:1071C0000020F02F280026140038F03328009013F8
+:1071D000FFFCF7044250F686425076B5001E76B4A6
+:1071E000FFE5C7386FC07739FFF09713FFFC9613A0
+:1071F000FFFC9593FFFC07880008E000F5F49793E7
+:10720000FFFC20220000E600721DF5021770F70453
+:1072100042540000000127380001F705425495133D
+:10722000FFFCF502001B9513FFFCF5064244951385
+:10723000FFFC07880008E0011EC09793FFFC8796BB
+:10724000FFFC8296FFF802140000013C00000000E1
+:1072500000019293FFFC02900008F704E0048616F8
+:107260000000F68200FF7739FFF0C7386C00F73373
+:107270002800F706E006873A000006B00002F7375C
+:107280002800F6843B6407300004F6BB2800870220
+:10729000FF3406300006F73328008796FFFC8296FD
+:1072A000FFF802140004013C00000000000192936A
+:1072B000FFFC029000082210003026140020F0335A
+:1072C000280027140038F03B28009713FFFC909308
+:1072D000FFFCF7044250F686425076B5001E76B4A5
+:1072E000FFE5C7386FC07739FFF09713FFFC96139F
+:1072F000FFFC07880008E000F3389793FFFC20228A
+:107300000000E6007319F5821770F704425400007C
+:10731000000127380001F70542549593FFFCF582E0
+:10732000001B9593FFFCF58642449593FFFC07886C
+:107330000008E0011EC09793FFFC8796FFFC829631
+:10734000FFF802140000013C0000000000019293CD
+:10735000FFFC029000082210004026140020961620
+:10736000FFC4F03328009013FFFC9613FFFC261493
+:1073700000389616FFBC9613FFFC07880008E00053
+:10738000D0DC9793FFFC9013FFFCF7044250F68685
+:10739000425076B5001E76B4FFE5C7386FC0773926
+:1073A000FFF09713FFFC8616FFBC00000001961348
+:1073B000FFFC8616FFC4000000019613FFFC07883F
+:1073C0000008E000F5F49793FFFC20220000E6009F
+:1073D00073E5F6021770F7044254000000012738E5
+:1073E0000001F70542549613FFFCF602001B9613AA
+:1073F000FFFCF60642449613FFFC07880008E001F4
+:107400001EC09793FFFC8796FFFC8296FFF802143C
+:107410000000013C0000000000019293FFFC02907C
+:10742000000822100004F5820000F5854080959642
+:10743000FFF407880008E000CB509793FFFC859687
+:10744000FFF4F5020064F5053BB4F7044250F486FE
+:10745000425076A5001E76B4FFE5F6044F5CF402B8
+:107460000006F4054254F5853B6CF5853BB8953232
+:10747000000095B20004C7386FC07739FFF00738B5
+:107480000001F727280007880008E00071B09793F3
+:10749000FFFCF4063704F40542448796FFFC82960D
+:1074A000FFF802140000013C00000000000192936C
+:1074B000FFFC0290000822100050F7044250F686AC
+:1074C000425076B5001E76B4FFE5F6046F34C73837
+:1074D0006FC086B2000C7739FFF0C0367200E6004C
+:1074E00077ECC504000086B20010F704E000F30258
+:1074F0000000C0367200E600751804B0001086B2B5
+:107500000014F704E00400000001C0367200E60039
+:10751000751C201A0000F3020001201A0000E6008A
+:10752000752900000001F502000086A60000F7049E
+:10753000E00000000001C0367200E2007564F6024F
+:107540000000C0367200E600756C2032000086A68E
+:107550000004F704E00400000001C0367200E200FD
+:10756000756D20320000F602000120320000E600B6
+:10757000757D202A0000F5020001202A0000E600A7
+:1075800077EC0000000107880008E000CBCC97935F
+:10759000FFFC26140020F033280004A00002F0278E
+:1075A0002800F582000023940022F59F280003A004
+:1075B000001A9396FFD42594002285AE000077AD83
+:1075C000001E77BCFFE5C5AC7FC075ADFFF076311E
+:1075D000001E7630FFE506A400022314001E751578
+:1075E000001EF59F2800F384E0007528FFE593A2B4
+:1075F000001CF584E0047399001E739CFFE59396CC
+:10760000FFAC7395001E739CFFE59396FFCC23940B
+:10761000004295A200208716FFE07595001E75AC0C
+:10762000FFE59596FFB47595001E75ACFFE5959640
+:10763000FFC4C73867C07738FFF0F7272800F48405
+:107640004F58871A0000C4A04A0074A4FFFAC5A4CA
+:107650000000F59F28008396FFAC2314001A7619CA
+:10766000001E7630FFE58596FFB4C7383FC07738F7
+:10767000FFF0F737280006B400028716FFE4839670
+:10768000FFCCC73857C07738FFF0F7372800871A84
+:10769000000006B40002C73867C07738FFF0F7373C
+:1076A000280006B400028716FFE823140016761996
+:1076B000001E7630FFE5C7385FC07738FFF0F73738
+:1076C0002800871A000006B40002C73867C0773860
+:1076D000FFF0F737280006B400028716FFEC2314EA
+:1076E00000127619001E7630FFE5C7383FC07738A4
+:1076F000FFF0F7372800871A000006B400028596CD
+:10770000FFC4C73867C07738FFF0F73728008716FF
+:10771000FFF006B40002C7385FC07738FFF0F737D4
+:107720002800F3820002F3A3280004200018259407
+:10773000002285AE000077AD001E77BCFFE5C5AC2A
+:107740007FC075ADFFF08396FFD4F5A32800F49FAA
+:1077500028002594004285AE000077AD001E77BC5E
+:10776000FFE5C5AC7FC075ADFFF044AD000094935C
+:10777000FFFCF786E0009793FFFCF3844F5C00006A
+:1077800000019393FFFC07880008E00123409793D2
+:10779000FFFC07880008E00078D89793FFFCF0050D
+:1077A0004084F786E0009793FFFC07880008E0001C
+:1077B000D5A09793FFFCF7046E50F4054084873AF8
+:1077C0001DDC00000001F7053B64F5863678F58581
+:1077D0004244F3863560F3854230F5864244959302
+:1077E000FFFC07880008E0011F489793FFFC87967D
+:1077F000FFFC8296FFF802140000013C000000002C
+:1078000000019293FFFC02900008F5864244959394
+:10781000FFFC07880008E00120E49793FFFC20228A
+:107820000000E600788900000001F70442540000DF
+:107830000001203A0000EE007851F6064250078819
+:107840000008E00071B09793FFFCE000788800002A
+:107850000001F704425076B1001E76B4FFE5F582D0
+:107860000006F5854254C7386FC07739FFF00738F6
+:107870000001F733280007880008E00072AC9793F6
+:10788000FFFCF5863790F58542448796FFFC82968B
+:10789000FFF802140000013C000000000001929378
+:1078A000FFFC02900008F6063678F6054244F7021F
+:1078B0000000F7054080F7054094F6846E50F70508
+:1078C000409097361DDC8796FFFC8296FFF80214E5
+:1078D0000000013C0000000000019293FFFC0290B8
+:1078E0000008F7020001F70540808796FFFC8296AA
+:1078F000FFF802140000013C000000000001929318
+:10790000FFFC02900008221000A8F7044250F58600
+:10791000425076AD001EF4846F3476B4FFE5949641
+:10792000FFC4C7386FC086A6000C7739FFF0C03699
+:107930007200E6007955F606429AF704429876B14D
+:10794000001E76B4FFE5C7386FC07739FFF00738FF
+:107950000001F7332800F704425076AD001E76B4DC
+:10796000FFE58516FFC4C7386FC086AA000C7739BB
+:10797000FFF0C0367200E60080A8F606429A872A19
+:107980000010862A001C203A0000E60079A8F68242
+:107990000000872A001400000001203A0000E600E1
+:1079A00079AC20360000F682000120360000E600A7
+:1079B0007A05249400209496FFBC8516FFC4F02716
+:1079C0002800052800109516FFB49513FFFC0788C2
+:1079D0000008E00072509793FFFC8496FFB400000B
+:1079E00000019493FFFC8516FFBC00000001951375
+:1079F000FFFC07880008E000F9349793FFFCE000E3
+:107A000080C40000000120320000E600806C00000D
+:107A1000000107880008E000CBCC9793FFFC259479
+:107A20000020F02F280004A000029496FF5CF027AD
+:107A30002800F48200002514005AF4AB2800072027
+:107A4000001A2514005A852A000077A9001E77BC69
+:107A5000FFE5C5287FC07529FFF075AD001E75AC28
+:107A6000FFE52314001E7619001EF53B2800F48460
+:107A7000E0007630FFE594A2001CF504E004849653
+:107A8000FF5C952200208716FFE006A40002751512
+:107A9000001E7528FFE59516FF547495001E74A40A
+:107AA000FFE59496FF9C7515001E7528FFE5951659
+:107AB000FF947495001E8516FF5C74A4FFE59496F0
+:107AC000FF8C8496FF54C7385FC07738FFF0F72BE0
+:107AD0002800871A00008516FF9CC73867C07738D2
+:107AE000FFF0F737280006B400028716FFE42314DE
+:107AF000001A7619001E7630FFE5C7384FC0773878
+:107B0000FFF0F7372800871A000006B400028496B9
+:107B1000FF94C73867C07738FFF0F737280006B4FE
+:107B200000028716FFE8231400167619001E76302F
+:107B3000FFE5C73857C07738FFF0F7372800871AB6
+:107B4000000006B40002C73867C07738FFF0F73787
+:107B5000280006B400028716FFEC231400127619E1
+:107B6000001E7630FFE5C7384FC07738FFF0F73793
+:107B70002800871A000006B400028516FF8CC7385B
+:107B800067C07738FFF0F73728008716FFF006B494
+:107B90000002C73857C07738FFF0F7372800F48263
+:107BA0000002F4A328002514005A852A000077A9B2
+:107BB000001E77BCFFE5C5287FC07529FFF00720B0
+:107BC0000018F53B28009416FFAC07880008E00079
+:107BD000CBCC9793FFFC261400382494005A84A63B
+:107BE000000077A5001E77BCFFE5C4A47FC074A584
+:107BF000FFF005A0000206AC000223940036751DBC
+:107C0000001E7528FFE50720001AF4B3280076311E
+:107C1000001E7630FFE59516FF547495001E74A47F
+:107C2000FFE59496FF5C7515001E7528FFE5951617
+:107C3000FF7C7495001E8516FFC474A4FFE594961E
+:107C4000FF74852A00342494005A9516FF8484A674
+:107C5000000077A5001E77BCFFE5C4A47FC074A513
+:107C6000FFF02514005AF4AF2800852A000077A9F8
+:107C7000001E77BCFFE5C5287FC07529FFF08496FC
+:107C8000FFC4F53B280084A600108516FFC494A20B
+:107C9000001C852A00147495001E74A4FFE59496B8
+:107CA000FF6C952200208716FFC88516FF54C73841
+:107CB00067C07738FFF0F72F2800871E00008496F2
+:107CC000FF5CC73857C07738FFF0F737280006B495
+:107CD00000028716FFCC23940032761D001E7630FA
+:107CE000FFE58516FF7CC7384FC07738FFF0F737C0
+:107CF0002800871E000006B40002C73867C0773826
+:107D0000FFF0F737280006B400028716FFD023944F
+:107D1000002E761D001E7630FFE5C73857C0773835
+:107D2000FFF0F7372800871E000006B40002849693
+:107D3000FF748516FF6CC73867C07738FFF0F737D8
+:107D4000280006B400028716FFD42394002A761D6B
+:107D5000001E7630FFE5C7384FC07738FFF0F737A1
+:107D60002800871E000006B40002C73867C07738B5
+:107D7000FFF0F73728008716FFD806B40002C7388F
+:107D800057C07738FFF0F7372800F4820002F4A3D9
+:107D90002800072000182514007A852A000077A9FA
+:107DA000001E77BCFFE5C5287FC07529FFF08496CB
+:107DB000FFC4F53B28008726000400000001203A9C
+:107DC0000024F7044F58E6007EF99416FF54C720AC
+:107DD0007200F6846E508626002C7738FFFA251440
+:107DE000005A842A000077A9001E77BCFFE5C42052
+:107DF0007FC07421FFF04739000086B61DDC77395B
+:107E00000002C0326A00468C0001D6800A68203623
+:107E10000000F6864098E6007EC0C3B86800C584BE
+:107E2000000086A60024F704E000F6020000C03639
+:107E30007200E6007E540324002486A60028F7047E
+:107E4000E00400000001C0367200E6007E582032D7
+:107E50000000F602000120320000E6007E6500000E
+:107E60000001F5820000869A0000F704E00000009F
+:107E70000001C0367200E2007EA0F6020000C036AB
+:107E80007200E6007EA820320000869A0004F70403
+:107E9000E00400000001C0367200E2007EA920323A
+:107EA0000000F602000120320000E6007EB9202E1C
+:107EB0000000F5820001202E0000E6007EC50000D3
+:107EC0000001F4020001F7044F58F41F28008496C3
+:107ED000FF548516FFC4F686409AC724720077388F
+:107EE000FFFA862A00304739000077390002C73888
+:107EF0006800E0007F4CF63B28008496FF54F606AD
+:107F00004098C72472007738FFFAC6B8000046B51B
+:107F1000000076B50002C6B46000F5020001F53736
+:107F200028004739000077390002C73860002494E0
+:107F3000005A84A6000077A5001E77BCFFE5C4A404
+:107F40007FC074A5FFF007380002F4BB2800F704D7
+:107F50004F588516FF548496FFACC6A8720076B4BD
+:107F6000FFFA0624001AF6B32800C72472007738F7
+:107F7000FFFA06A8001AF7372800473900009713C0
+:107F8000FFFC0724001C9713FFFCF5044F5C000066
+:107F900000019513FFFC07880008E0012340979338
+:107FA000FFFCF7044F588496FF5400000001C724DB
+:107FB00072007738FFFA473900009713FFFC072457
+:107FC000001C9713FFFCF5044F5C000000019513A3
+:107FD000FFFC07880008E00123409793FFFC078817
+:107FE0000008E00078D89793FFFCF6846E500000FC
+:107FF000000187361DDC00000001073800019736BC
+:108000001DDC87361DDCF0054084F486E000949387
+:10801000FFFC07880008E000D5A09793FFFCF4055B
+:108020004084F7046E50F005425C873A1DDCF6860A
+:108030002C28F7053B64F7042D38F5063A4CF50576
+:10804000424406380001F6052D3877390002F482E3
+:10805000001C20320044E6008060B4BA6802F005DB
+:108060002D38F50635ECE000808CF50542302032E5
+:108070000001E60080C40000000107880008E0005D
+:10808000789C9793FFFCF4863560F4854230F506C2
+:1080900042449513FFFC07880008E0011F489793AE
+:1080A000FFFCE00080C400000001F704429876B1B4
+:1080B000001E76B4FFE5C7386FC07739FFF0073888
+:1080C0000001F73328008796FFFC8296FFF8021420
+:1080D0000000013C0000000000019293FFFC0290B0
+:1080E0000008F58642449593FFFC07880008E001EC
+:1080F00020E49793FFFC20220000E600816100004D
+:108100000001F704425400000001203A0000EE0094
+:108110008129F606425007880008E00072AC979368
+:10812000FFFCE000816000000001F704425076B1DE
+:10813000001E76B4FFE5F5820006F5854254C73887
+:108140006FC07739FFF007380001F7332800078840
+:108150000008E000734C9793FFFCF586381CF5850A
+:1081600042448796FFFC8296FFF802140000013C0F
+:108170000000000000019293FFFC02900008221012
+:108180000058F7044250F686425076B5001E76B489
+:10819000FFE5F6046F34C7386FC086B2000C77393C
+:1081A000FFF0C0367200E6008250F4820000C50481
+:1081B000000086B20010F704E000C5A40000C0363D
+:1081C0007200E60081E40430001086B20014F70467
+:1081D000E00400000001C0367200E60081E8202EB5
+:1081E0000000F5820001202E0000E60081F500006D
+:1081F0000001F502000086A20000F704E000000084
+:108200000001C0367200E2008230F6020000C03683
+:108210007200E60082382032000086A20004F704D3
+:10822000E00400000001C0367200E2008239203212
+:108230000000F602000120320000E6008249202AF8
+:108240000000F5020001202A0000E60082592026E5
+:108250000000F482000120260000E6008760000094
+:10826000000107880008E000CBCC9793FFFC0720B3
+:108270000002F03B2800F7044F58F4053BB006A07D
+:108280000014C72072007738FFFAF737280006A0DD
+:108290000016F7372800F3020001F32328000788AF
+:1082A0000008E000CBCC9793FFFC26140020F033AD
+:1082B000280004A00002F0272800F3020000239405
+:1082C000002AF31F28000720001A2394002A839E07
+:1082D0000000779D001E77BCFFE5C39C7FC0739DA7
+:1082E000FFF07631001E7630FFE506A4000275151A
+:1082F000001EF3BB2800F304E0007528FFE593227D
+:10830000001CF384E0042314001E9316FFA4759947
+:10831000001E75ACFFE57315001E7318FFE593167C
+:10832000FFCC8316FFA493A200208716FFE073956D
+:10833000001E739CFFE59396FFAC7395001E739C23
+:10834000FFE5C73867C07738FFF0F7272800871A9E
+:1083500000009396FFC4C7385FC07738FFF0F73747
+:10836000280006B400028716FFE42394001A9396AF
+:10837000FFA4761D001E7630FFE5C73857C077385A
+:10838000FFF0F7372800871E000006B40002C73848
+:1083900067C07738FFF0F737280006B40002871669
+:1083A000FFE8231400169316FFA47619001E839687
+:1083B000FFAC7630FFE5C7383FC07738FFF0F737BE
+:1083C0002800871A000006B40002C73867C0773853
+:1083D000FFF0F737280006B400028716FFEC2314DD
+:1083E00000129316FFA47619001E8396FFCC7630F8
+:1083F000FFE5C7383FC07738FFF0F7372800871A06
+:10840000000006B40002C73867C07738FFF0F737BE
+:1084100028008716FFF08316FFC406B40002C73891
+:1084200037C07738FFF0F7372800F3820002F3A354
+:1084300028002314002A831A00007799001E77BCB5
+:10844000FFE5C3187FC07319FFF007200018F33B46
+:1084500028009416FFDC07880008E000CBCC979337
+:10846000FFFC072000022394002A839E0000779DD2
+:10847000001E77BCFFE5C39C7FC0739DFFF0248086
+:1084800000070520000AF3BB280020260007EE00A5
+:1084900084E00628000E86B200007731001E77388F
+:1084A000FFE575B1001E75ACFFE50528000204A4C8
+:1084B0000001C6B477C076B5FFF0F702FF00C6B47E
+:1084C0007400F6B3280087320000F30200FFC738BB
+:1084D0005FC07739FFF0C7383400E0008488F73395
+:1084E000280005200026862A000076A9001EF584B3
+:1084F0004F5876B4FFE58396FFDCF30200FF941635
+:10850000FFBCC71C5A007738FFFAC6306FC07631FF
+:10851000FFF047390000C7383400F682FF00C6304C
+:108520006C00C7386000F6843B6CF72B2800C5A0B0
+:108530005A0075ACFFFA8316FFDC07340001F7051B
+:108540003B6C0720003AF6BB280007200036F03BC2
+:108550002800F3820003F3A328000718001AF5BBD4
+:10856000280007880008E000CBCC9793FFFC072089
+:108570000002F03B2800248000070520000A202686
+:108580000007EE0085D40628000E86B20000773181
+:10859000001E7738FFE575B1001E75ACFFE50528B4
+:1085A000000204A40001C6B477C076B5FFF0F7025C
+:1085B000FF00C6B47400F6B3280087320000F382CF
+:1085C00000FFC7385FC07739FFF0C7383C00E000D4
+:1085D000857CF733280005A00026862E000076ADA6
+:1085E000001E76B4FFE5C520000024000007F3025A
+:1085F00000019316FFA4F7044F588396FFBC248014
+:10860000000EC71C72007738FFFAC6306FC0763193
+:10861000FFF047390000F68200FFC7386C00F68291
+:10862000FF00C6306C00C7386000F6843B6CF72F43
+:10863000280007340001F7053B6C0728003AF6BB19
+:10864000280007280036F03B2800F3020003F32B34
+:10865000280020220007EE008694C6284800063035
+:1086600000268732000076B1001E76B4FFE504A430
+:108670000002042000018396FFA4C7386FC0773939
+:10868000FFF0F682FF00C7386C00C71C7000E000E6
+:108690008650F73328000628002686B2000077317E
+:1086A000001E7738FFE5C6B477C076B5FFF0F70255
+:1086B000FF00C6B47400F6B328009513FFFCF30462
+:1086C0003BB0000000019313FFFC9516FFB4078830
+:1086D0000008E000D42C9793FFFC8516FFB4F0054A
+:1086E000407C8396FFBC23000007F3054258F70443
+:1086F0004250F606425076B1001E76B4FFE5F3060E
+:108700003934F3054244F5054074F3854260F38241
+:108710000006F3854254C7386FC07739FFF0F684FE
+:108720002D3807380001F733280006340001F6051C
+:108730002D38F7062C2876B50002F382001C203273
+:108740000044E600874CB3B67002F0052D38F306FE
+:1087500042449313FFFC07880008E0011F489793E9
+:10876000FFFC8796FFFC8296FFF802140000013C94
+:108770000000000000019293FFFC0290000822100C
+:108780000048F38642449393FFFC07880008E00109
+:1087900020E49793FFFC20220000E60089ED000012
+:1087A0000001F704425400000001203A0000EE00EE
+:1087B00087C90000000107880008E000734C979308
+:1087C000FFFCE00089EC0000000107880008E000E1
+:1087D000CBCC9793FFFC26140020F033280005A093
+:1087E0000002F02F2800F382000024940022F3A757
+:1087F000280004A0001A9496FFD423940022839E9C
+:108800000000779D001E77BCFFE5C39C7FC0739D71
+:10881000FFF07631001E7630FFE506AC000223142F
+:10882000001E7519001EF3A72800F484E0007528C7
+:10883000FFE594A2001CF384E0047495001E74A468
+:10884000FFE59496FFB47495001E74A4FFE594961A
+:10885000FFCC8496FFB493A200208716FFE07395A7
+:10886000001E739CFFE59396FFBC7395001E739CDE
+:10887000FFE59396FFC48396FFBCC73867C077387F
+:10888000FFF0F72F2800F5844F58871A0000C5A085
+:108890005A0075ACFFFAC73857C07738FFF0F73782
+:1088A000280006B400028716FFE42314001A761984
+:1088B000001E7630FFE545AD0000C7384FC0773861
+:1088C000FFF0F7372800871A000006B400028496EC
+:1088D000FFCCC73867C07738FFF0F737280006B4F9
+:1088E00000028716FFE8231400167619001E763062
+:1088F000FFE5C7383FC07738FFF0F7372800871A01
+:10890000000006B40002C73867C07738FFF0F737B9
+:10891000280006B400028716FFEC23140012761913
+:10892000001E7630FFE5C7384FC07738FFF0F737C5
+:108930002800871A000006B400028396FFC4C738D7
+:1089400067C07738FFF0F73728008716FFF006B4C6
+:108950000002C7383FC07738FFF0F7372800F482AD
+:108960000002F4A328000420001823940022839E10
+:108970000000779D001E77BCFFE5C39C7FC0739D00
+:10898000FFF08496FFD4F3A32800F3820001F3A73D
+:1089900028009593FFFCF486E0009493FFFCF38499
+:1089A0004F5C000000019393FFFC07880008E00182
+:1089B00023409793FFFC07880008E00078D897933E
+:1089C000FFFCF4863678F4854244F0054084F68452
+:1089D0004F5CF70200649736000090360004F702FF
+:1089E0000001F7054084F38635ECF3854230879625
+:1089F000FFFC8296FFF802140000013C000000001A
+:108A000000019293FFFC0290000822100090F704EE
+:108A10004260F502000005B80018F6044258000054
+:108A2000000120320007EE008A70C7306000C738AE
+:108A300058000738000E86BA00007739001E7738D4
+:108A4000FFE5C6B477C076B5FFF0F70200FFC6B405
+:108A50007400C0365200470C0001D7000A70203A5B
+:108A60000000E6008A7107300001E0008A18F7056F
+:108A70004258F40442580000000120220007EE0092
+:108A80008D9424940036F604426025140038239413
+:108A90000020063000028732000076B1001E76B456
+:108AA000FFE50630000275B1001EC7386FC0773889
+:108AB000FFF0F72B28008732000075ACFFE5C738C0
+:108AC0005FC07738FFF0F727280024940034063081
+:108AD00000028732000076B1001E76B4FFE5C73889
+:108AE0006FC07738FFF0F727280024940032063053
+:108AF00000028732000076B1001E76B4FFE5C73869
+:108B00006FC07738FFF0F727280024940030063034
+:108B100000028732000076B1001E76B4FFE5C73848
+:108B20006FC07738FFF0F72728002494002E063016
+:108B300000028732000076B1001E76B4FFE5C73828
+:108B40006FC07738FFF0F72728002494002C0630F8
+:108B500000028732000076B1001E76B4FFE5C73808
+:108B60006FC07738FFF0F72728002494002A0630DA
+:108B700000028732000076B1001E76B4FFE5C738E8
+:108B80006FC07738FFF0F7272800063000028732E1
+:108B90000000249400287631001E7630FFE5C738A7
+:108BA00067C07738FFF0F72728009413FFFC951370
+:108BB000FFFC9396FF7C9393FFFC07880008E0007E
+:108BC000D0DC9793FFFCF60442602494007E2514C9
+:108BD000008023940068063000028732000076B1DE
+:108BE000001E76B4FFE50630000275B1001EC738DE
+:108BF0006FC07738FFF0F72B28008732000075AC84
+:108C0000FFE5C7385FC07738FFF0F72728002494C6
+:108C1000007C063000028732000076B1001E76B478
+:108C2000FFE5C7386FC07738FFF0F7272800249496
+:108C3000007A063000028732000076B1001E76B45A
+:108C4000FFE5C7386FC07738FFF0F7272800249476
+:108C50000078063000028732000076B1001E76B43C
+:108C6000FFE5C7386FC07738FFF0F7272800249456
+:108C70000076063000028732000076B1001E76B41E
+:108C8000FFE5C7386FC07738FFF0F7272800249436
+:108C90000074063000028732000076B1001E76B400
+:108CA000FFE5C7386FC07738FFF0F7272800249416
+:108CB0000072063000028732000076B1001E76B4E2
+:108CC000FFE5C7386FC07738FFF0F7272800063078
+:108CD000000287320000249400707631001E763046
+:108CE000FFE5C73867C07738FFF0F72728009513EE
+:108CF000FFFC9396FF749393FFFC07880008E00045
+:108D0000D2589793FFFC8396FF7400000001939361
+:108D1000FFFCF704425823940050C70072009713D9
+:108D2000FFFC9396FF6C9393FFFC07880008E0001C
+:108D3000CF249793FFFC8396FF6CF6864250939363
+:108D4000FFFCF384425876B5001E9393FFFCF704B2
+:108D5000425076B4FFE5C7386FC07739FFF09713FC
+:108D6000FFFC8396FF7C000000019393FFFC0788C3
+:108D70000008E000F3389793FFFC20220000E60093
+:108D80008D9500000001F7044258000000010738EB
+:108D90000001F7054258F704425800000001203A4C
+:108DA0000007EE008DD4F3821770F7042D38F68695
+:108DB0002C2806380001F6052D3877390002F38299
+:108DC000001C20320044E6008DF4B3BA6802E000D3
+:108DD0008DF4F0052D389393FFFCF382001B9393E1
+:108DE000FFFCF38642449393FFFC07880008E001F0
+:108DF0001EC09793FFFC8796FFFC8296FFF8021433
+:108E00000000013C0000000000019293FFFC029072
+:108E1000000822100088F7044250F686425076B5CA
+:108E2000001EF3846F3476B4FFE59396FFC4C73811
+:108E30006FC0869E000C7739FFF0C0367200E600E6
+:108E40008E65F60642A0F70442A076B1001E76B405
+:108E5000FFE5C7386FC07739FFF007380001E00041
+:108E600094E4F7332800F604426024940036851613
+:108E7000FFC423940038063000028732000076B128
+:108E8000001E76B4FFE5852A001C0630000275B18D
+:108E9000001EC7386FC07738FFF09516FFBCF71F6C
+:108EA00028008732000075ACFFE58516FFC4C7387F
+:108EB0005FC07738FFF0F72728002494003406308D
+:108EC00000028732000076B1001E76B4FFE5C73895
+:108ED0006FC07738FFF0F72728002494003206305F
+:108EE00000028732000076B1001E76B4FFE5C73875
+:108EF0006FC07738FFF0F727280024940030063041
+:108F000000028732000076B1001E76B4FFE5C73854
+:108F10006FC07738FFF0F72728002494002E063022
+:108F200000028732000076B1001E76B4FFE5C73834
+:108F30006FC07738FFF0F72728002494002C063004
+:108F400000028732000076B1001E76B4FFE5C73814
+:108F50006FC07738FFF0F72728002494002A0630E6
+:108F600000028732000076B1001E76B4FFE5C738F4
+:108F70006FC07738FFF0F7272800063000028732ED
+:108F80000000249400287631001E7630FFE5C738B3
+:108F900067C07738FFF0F7272800872A00200000F5
+:108FA00000019713FFFC9393FFFC271400209713F5
+:108FB000FFFC07880008E000D0DC9793FFFC839655
+:108FC000FFC400000001871E001000000001203ACD
+:108FD0000000E6008FF0F6820000871E00140000FB
+:108FE0000001203A0000E6008FF420360000F682EF
+:108FF000000120360000E6009041000000018516C7
+:10900000FFC400000001052800109516FFB4951359
+:10901000FFFC07880008E00072509793FFFC8396DE
+:10902000FFB4271400209393FFFC9713FFFC0788DD
+:109030000008E000F9349793FFFCE00094E400009E
+:1090400000018516FFBC00000001202A0000E60098
+:1090500094BC0000000107880008E000CBCC979387
+:10906000FFFCF502000023940062F51F28007595AF
+:10907000001E75ACFFE50620000206B000022314B6
+:10908000001E7399001E739CFFE59396FF7475157F
+:10909000001E7528FFE59516FF7C7395001E739CD6
+:1090A000FFE59396FF8C8516FFC47395001E93967B
+:1090B000FF84852A0034239400629516FFACF033B8
+:1090C00028000520001A9516FF94839E0000779DC6
+:1090D000001E77BCFFE5C39C7FC0739DFFF07495B5
+:1090E000001EF3AB28008516FFC474A4FFE5852A93
+:1090F00000108396FFC49522001C839E00148516E1
+:10910000FF8493A200208716FFE07528FFE59516DF
+:10911000FF84F3844F588516FF74C7385FC07738D3
+:10912000FFF0F7332800871A00009396FFA4C022AF
+:109130003A008396FF7CC73857C07738FFF0F7377F
+:10914000280006B400028716FFE42314001A7619DB
+:10915000001E7630FFE5C7384FC07738FFF0F7378D
+:109160002800871A000006B40002C73867C07738A5
+:10917000FFF0F737280006B400028716FFE8231433
+:1091800000167619001E7630FFE5C7383FC07738E5
+:10919000FFF0F7372800871A000006B40002851692
+:1091A000FF8C8396FF84C73867C07738FFF0F737A6
+:1091B000280006B400028716FFEC2314001276196B
+:1091C000001E7630FFE5C73857C07738FFF0F73715
+:1091D0002800871A000006B40002C73867C0773835
+:1091E000FFF0F73728008716FFF006B40002C738F3
+:1091F0003FC07738FFF0F7372800F5020002F5236B
+:10920000280023940052839E0000779D001E77BCA7
+:10921000FFE5C39C7FC0739DFFF003200018E600AC
+:109220009230F39B2800F7044270E000929CF6060F
+:1092300042728516FFC40000000186AA00200000CB
+:10924000000107340007203A000EE2009294C73470
+:109250006800F5844260F38200FFC72C7000073875
+:10926000002686BA00009716FF747739001E7738FB
+:10927000FFE5C6B477C076B5FFF0C6B43C00203633
+:109280000000470C0001D7000A70203A0000E600F9
+:1092900092C900000001F7044274F606427476B1E8
+:1092A000001E76B4FFE5C7386FC07739FFF0073886
+:1092B0000001F733280007880008E000789C9793A6
+:1092C000FFFCE00094E4000000018516FFA48396F3
+:1092D000FF74C720520074B8FFFAC6240000871E2E
+:1092E0000000769D001E76B4FFE5C5AC520075AC5B
+:1092F000FFFA46310000F50200FFC6305400C738BF
+:109300006FC07739FFF0F682FF00C7386C00C630B7
+:109310007000F61F28008396FF948516FFC4F59F02
+:109320002800872A000400000001203A0024E600FB
+:109330009469F6864098F7046E50862A002CC6A4DD
+:10934000000023940062849E0000779D001E77BC7D
+:10935000FFE5C4A47FC074A5FFF046B50000873ABE
+:109360001DDC76B50002C0327200470C0001D70048
+:109370000A70203A0000F7064098E6009434C3349F
+:109380007000C584000086AA0024F704E000F602FD
+:109390000000C036720005280024E60093C495162C
+:1093A000FF748396FFC400000001869E0028F70426
+:1093B000E00400000001C0367200E60093C82032CD
+:1093C0000000F602000120320000E60093D5000004
+:1093D0000001F58200008516FF74F704E00086AAFC
+:1093E000000000000001C0367200E2009414F60292
+:1093F0000000C0367200E600941C2032000086AAED
+:109400000004F704E00400000001C0367200E2002E
+:10941000941D20320000F602000120320000E60018
+:10942000942D202E0000F5820001202E0000E60081
+:10943000943900000001F4820001F7044F58F49BB6
+:1094400028008396FFC4F686409AC72072007738BA
+:10945000FFFA861E00304739000077390002C7380E
+:109460006800E00094E4F63B2800472500007739C7
+:109470000002C7386800F5020001F53B28000738F4
+:10948000000223940062839E0000779D001E77BC3B
+:10949000FFE5C39C7FC0739DFFF025140062F3BB02
+:1094A0002800852A000077A9001E77BCFFE5C528A3
+:1094B0007FC07529FFF0E00094E4F51B2800839637
+:1094C000FFBC00000001201E0001E60094E4000043
+:1094D000000107880008E000789C9793FFFCF506E0
+:1094E0003560F50542308796FFFC8296FFF802143E
+:1094F0000000013C0000000000019293FFFC02907C
+:109500000008F50642449513FFFC07880008E001B7
+:1095100020E49793FFFC20220000E60096890000DB
+:109520000001F68442540000000120360000EE00E5
+:10953000958DF5864250F7044250762D001E763008
+:10954000FFE526B40001F685425425000007F50525
+:109550004258F6842D38C73867C07739FFF007388E
+:109560000001F72F280006340001F6052D38F70614
+:109570002C2876B50002F502001C20320044E600DB
+:109580009688B5367002E0009688F0052D38F5040F
+:109590004260000000019513FFFC07880008E0000E
+:1095A000B2849793FFFCF6844F5800000001073403
+:1095B0000040C0227200E60095ECF6064276F70401
+:1095C000427476B1001E76B4FFE5C7386FC07739B4
+:1095D000FFF007380001F733280007880008E00093
+:1095E000789C9793FFFCE000968800000001F70448
+:1095F000426000000001C0227200E60096240000D4
+:1096000000019713FFFCF5043BB000000001951327
+:10961000FFFC07880008E000D42C9793FFFCE000D3
+:10962000964000000001C0226A00E600967100002A
+:1096300000019713FFFC07880008E000CC609793B7
+:10964000FFFCF704407C000000010738000197137D
+:10965000FFFCF5044074000000019513FFFC07882F
+:109660000008E000BEF89793FFFCE0009688000039
+:109670000001F5044074000000019513FFFC078809
+:109680000008E000C1B49793FFFC8796FFFC829628
+:10969000FFF802140000013C00000000000192935A
+:1096A000FFFC0290000822100070F6046F34F704EB
+:1096B000426486B2000400000001C0367200E60079
+:1096C0009B1806B0000287360000F404407C76B593
+:1096D000001E76B4FFE5C7386FC07739FFF0C03A97
+:1096E0004200E6009B1824940036F604407423944C
+:1096F0000038063000028732000076B1001E76B4D2
+:10970000FFE50630000275B1001EC7386FC077381C
+:10971000FFF0F71F28008732000075ACFFE5C7385F
+:109720005FC07738FFF0F727280024940034063014
+:1097300000028732000076B1001E76B4FFE5C7381C
+:109740006FC07738FFF0F7272800249400320630E6
+:1097500000028732000076B1001E76B4FFE5C738FC
+:109760006FC07738FFF0F7272800249400300630C8
+:1097700000028732000076B1001E76B4FFE5C738DC
+:109780006FC07738FFF0F72728002494002E0630AA
+:1097900000028732000076B1001E76B4FFE5C738BC
+:1097A0006FC07738FFF0F72728002494002C06308C
+:1097B00000028732000076B1001E76B4FFE5C7389C
+:1097C0006FC07738FFF0F72728002494002A06306E
+:1097D00000028732000076B1001E76B4FFE5C7387C
+:1097E0006FC07738FFF0F727280006300002873275
+:1097F0000000249400287631001E7630FFE5C7383B
+:1098000067C07738FFF0F72728009413FFFC939385
+:10981000FFFC271400209713FFFC07880008E000D6
+:10982000D0DC9793FFFC07880008E000CBCC97932F
+:10983000FFFCF50440749416FFC407200002F03BBF
+:10984000280024800007F40200FF8396FFC49516C9
+:10985000FFBC031C000A20260007EE0098A806188B
+:10986000000E86B200007731001E7738FFE575B133
+:10987000001E75ACFFE503180002C6B477C076B5CC
+:10988000FFF0F702FF00C6B47400F6B32800873279
+:10989000000004A40001C7385FC07739FFF0C73863
+:1098A0004400E0009854F73328008516FFC47495EF
+:1098B000001E74A4FFE58396FFC42314001E7419D0
+:1098C000001E7420FFE5052800269516FF8C85AA4A
+:1098D000000076A9001E76B4FFE5039C0002939673
+:1098E000FFB4061C00027395001E739CFFE593965F
+:1098F000FFAC7395001E739CFFE59396FF9C8396C7
+:10990000FFBC7515001E7528FFE59516FF947515AB
+:10991000001E7528FFE59516FFA48516FFC4C5AC8B
+:109920006FC075ADFFF0F5054260F5044F58F68243
+:1099300000FFC71C52007738FFFA47390000C738CC
+:109940006C00F682FF00C5AC6C00C73858008396E7
+:10995000FF8CF5843B6C8516FFB4F71F2800871633
+:10996000FFE006AC0001F6853B6C8396FFC4C73868
+:109970004FC07738FFF0F72B2800F5044F58871AAF
+:109980000000C01E5200C73847C07738FFF0F733D9
+:109990002800063000028716FFE42314001A769987
+:1099A000001E8396FF9476B4FFE5C7383FC0773832
+:1099B000FFF0F7332800871A000006300002C7388E
+:1099C0006FC07738FFF0F7332800063000028716A3
+:1099D000FFE8231400167699001E76B4FFE585167D
+:1099E000FFAC8396FFA4C73857C07738FFF0F73332
+:1099F0002800871A0000063000028516FF9CC73831
+:109A00006FC07738FFF0F733280006300002871662
+:109A1000FFEC231400127699001E76B4FFE5C738D8
+:109A20003FC07738FFF0F7332800871A0000063070
+:109A300000028396FFC4C7386FC07738FFF0F73352
+:109A400028008716FFF006300002C73857C0773865
+:109A5000FFF0F7332800071C003AF5BB2800071C6D
+:109A60000036F03B2800F5020003E6009AA4F51F3B
+:109A70002800F7044278F606427876B1001E76B4E4
+:109A8000FFE5C7386FC07739FFF007380001F733BB
+:109A9000280007880008E000789C9793FFFCE0000E
+:109AA0009B1800000001F38642449393FFFC078853
+:109AB0000008E0011F489793FFFC25000007F5050B
+:109AC0004258F7044250F606425076B1001E76B472
+:109AD000FFE5F3820006F3854254F5063934F505B7
+:109AE0004244C7386FC07739FFF0F6842D38073805
+:109AF0000001F733280006340001F6052D38F7067B
+:109B00002C2876B50002F382001C20320044E600C7
+:109B10009B18B3B67002F0052D388796FFFC82962D
+:109B2000FFF802140000013C0000000000019293C5
+:109B3000FFFC0290000822100078F38642449393C1
+:109B4000FFFC07880008E00120E49793FFFC202237
+:109B50000000E6009E4100000001F70442540000AE
+:109B60000001203A0000EE009D8524940036F604A2
+:109B7000407425140038063000028732000076B1A8
+:109B8000001E76B4FFE50630000275B1001EC7382E
+:109B90006FC07738FFF0F72B28008732000075ACD4
+:109BA000FFE5C7385FC07738FFF0F7272800249417
+:109BB0000034063000028732000076B1001E76B411
+:109BC000FFE5C7386FC07738FFF0F72728002494E7
+:109BD0000032063000028732000076B1001E76B4F3
+:109BE000FFE5C7386FC07738FFF0F72728002494C7
+:109BF0000030063000028732000076B1001E76B4D5
+:109C0000FFE5C7386FC07738FFF0F72728002494A6
+:109C1000002E063000028732000076B1001E76B4B6
+:109C2000FFE5C7386FC07738FFF0F7272800249486
+:109C3000002C063000028732000076B1001E76B498
+:109C4000FFE5C7386FC07738FFF0F7272800249466
+:109C5000002A063000028732000076B1001E76B47A
+:109C6000FFE5C7386FC07738FFF0F72728000630C8
+:109C7000000287320000249400287631001E7630DE
+:109C8000FFE5C73867C07738FFF0F7272800F3846F
+:109C9000407C000000019393FFFC9513FFFC23948C
+:109CA00000209396FF949393FFFC07880008E00040
+:109CB000D0DC9793FFFC8396FF9400000001939300
+:109CC000FFFC239400689396FF8C9393FFFC078816
+:109CD0000008E000D2589793FFFC8396FF8C0000A9
+:109CE00000019393FFFC9013FFFC23940050939684
+:109CF000FF849393FFFC07880008E000CF2497932C
+:109D0000FFFC8702FF3400000001F7054264F38482
+:109D1000407C000000019393FFFC9713FFFC8396A7
+:109D2000FF84000000019393FFFC8396FF940000E2
+:109D300000019393FFFC07880008E000F7C89793A1
+:109D4000FFFC20220000E6009D5DF3821770F704FF
+:109D500042540000000127380001F7054254939354
+:109D6000FFFCF382001B9393FFFCF3864244939322
+:109D7000FFFC07880008E0011EC09793FFFCE0008D
+:109D80009E4000000001F504407CF4844074C72824
+:109D90005000C724700005B8002686AE0000772D5D
+:109DA000001E7738FFE5C6B477C077B40008703E70
+:109DB000FFE8470C0001D7000A70203A0000F704C2
+:109DC0004F58E6009DFDF60200FFF7044278F606C4
+:109DD000427A76B1001E76B4FFE5C7386FC0773996
+:109DE000FFF007380001F733280007880008E0007B
+:109DF000789C9793FFFCE0009E400000000186AE37
+:109E00000000772D001E7738FFE5C6B477C076B521
+:109E1000FFF0F7020001C7386400F602FF00C6B485
+:109E20006400C7386800F72F28000728000197133F
+:109E3000FFFC9493FFFC07880008E000BEF89793AE
+:109E4000FFFC8796FFFC8296FFF802140000013C9D
+:109E50000000000000019293FFFC02900008221015
+:109E600000D8F38642449393FFFC07880008E00182
+:109E700020E49793FFFC20220000E600A2C9000026
+:109E80000001F704425400000001203A0000EE00F7
+:109E9000A03524940036F6044074251400380630AA
+:109EA00000028732000076B1001E76B4FFE506306E
+:109EB000000275B1001EC7386FC07738FFF0F72B6E
+:109EC00028008732000075ACFFE5C7385FC07738DF
+:109ED000FFF0F72728002494003406300002873270
+:109EE000000076B1001E76B4FFE5C7386FC0773842
+:109EF000FFF0F72728002494003206300002873252
+:109F0000000076B1001E76B4FFE5C7386FC0773821
+:109F1000FFF0F72728002494003006300002873233
+:109F2000000076B1001E76B4FFE5C7386FC0773801
+:109F3000FFF0F72728002494002E06300002873215
+:109F4000000076B1001E76B4FFE5C7386FC07738E1
+:109F5000FFF0F72728002494002C063000028732F7
+:109F6000000076B1001E76B4FFE5C7386FC07738C1
+:109F7000FFF0F72728002494002A063000028732D9
+:109F8000000076B1001E76B4FFE5C7386FC07738A1
+:109F9000FFF0F727280006300002873200002494E3
+:109FA00000287631001E7630FFE5C73867C0773865
+:109FB000FFF0F7272800F384407C00000001939312
+:109FC000FFFC9513FFFC239400209396FF4C939382
+:109FD000FFFC07880008E000D0DC9793FFFC839625
+:109FE000FF4C000000019393FFFC239400509396D4
+:109FF000FF449393FFFC07880008E000D258979332
+:10A00000FFFC8702FF3400000001F7054264F3847F
+:10A01000407C000000019393FFFC9713FFFC8396A4
+:10A02000FF44000000019393FFFC8396FF4CE00087
+:10A03000A2809393FFFCF404407CF6044074F38206
+:10A040000000C7204000C73070000738002686BADD
+:10A0500000007739001E7738FFE5C6B477C077B4C3
+:10A060000008703EFFE8470C0001D7000A70203A54
+:10A070000000E600A0AD9396FF3CF70442A0F60670
+:10A0800042A076B1001E76B4FFE5C7386FC07739BD
+:10A09000FFF007380001F733280007880008E000C8
+:10A0A000789C9793FFFCE000A2C8000000010630F6
+:10A0B00000028732000076B1001E76B4FFE52494DA
+:10A0C000007E25140080239400680630000275B1DC
+:10A0D000001EC7386FC07738FFF0F72B2800873293
+:10A0E000000075ACFFE5C7385FC07738FFF0F72791
+:10A0F00028002494007C063000028732000076B1EC
+:10A10000001E76B4FFE5C7386FC07738FFF0F72739
+:10A1100028002494007A063000028732000076B1CD
+:10A12000001E76B4FFE5C7386FC07738FFF0F72719
+:10A13000280024940078063000028732000076B1AF
+:10A14000001E76B4FFE5C7386FC07738FFF0F727F9
+:10A15000280024940076063000028732000076B191
+:10A16000001E76B4FFE5C7386FC07738FFF0F727D9
+:10A17000280024940074063000028732000076B173
+:10A18000001E76B4FFE5C7386FC07738FFF0F727B9
+:10A19000280024940072063000028732000076B155
+:10A1A000001E76B4FFE5C7386FC07738FFF0F72799
+:10A1B00028000630000287320000249400707631B7
+:10A1C000001E7630FFE5C73867C07738FFF0F72705
+:10A1D00028009413FFFC9513FFFC9396FF34939390
+:10A1E000FFFC07880008E000D0DC9793FFFC839613
+:10A1F000FF34000000019393FFFC239400B093967A
+:10A20000FF2C9393FFFC07880008E000D258979337
+:10A21000FFFC8396FF2C000000019393FFFC8396C4
+:10A22000FF3C000000019393FFFC23940098939659
+:10A23000FF249393FFFC07880008E000CF24979346
+:10A24000FFFCF3820006F38542548702FF34F38655
+:10A2500038A8F3854244F7054264F384407C00004B
+:10A2600000019393FFFC9713FFFC8396FF240000EB
+:10A2700000019393FFFC8396FF3400000001939349
+:10A28000FFFC07880008E000F7C89793FFFC202236
+:10A290000000E600A2A9F3821770F7044254000000
+:10A2A000000127380001F70542549393FFFCF38225
+:10A2B000001B9393FFFCF38642449393FFFC0788B3
+:10A2C0000008E0011EC09793FFFC8796FFFC829672
+:10A2D000FFF802140000013C00000000000192930E
+:10A2E000FFFC02900008F6046F34F704426486B263
+:10A2F000000400000001C0367200E600A3AC06B006
+:10A3000000028736000076B5001E76B4FFE5C73838
+:10A310006FC0F684407C7739FFF0C03A6A00E600EF
+:10A32000A3ACC7346800F5844074F6044F580000AD
+:10A330000001C62C62007630FFFAC5AC700005AC97
+:10A34000002686AE0000772D001E7738FFE54631E7
+:10A350000000C6B477C076B5FFF0F70200FFC63044
+:10A360007400F702FF00C6B47400C6306800F62F10
+:10A370002800F50642449513FFFC07880008E00119
+:10A380001F489793FFFCF704407C0000000107384A
+:10A3900000019713FFFCF5044074000000019513C1
+:10A3A000FFFC07880008E000BEF89793FFFC879643
+:10A3B000FFFC8296FFF802140000013C0000000040
+:10A3C00000019293FFFC0290000822100080F70425
+:10A3D000425800000001203A0000E600A3F4203AB1
+:10A3E0000007F5020001F5054258F7044258000045
+:10A3F0000001203A0007EE00A6F02394001EF604A8
+:10A40000426023140066F4844078063000028732EC
+:10A41000000076B1001E76B4FFE504A400027425A6
+:10A42000001E7420FFE5063000027531001E7528FD
+:10A43000FFE59516FF7CC7386FC07738FFF025140D
+:10A4400000209516FF94F72B280087320000851610
+:10A45000FF7C05A40002C73857C07738FFF0F71F0C
+:10A4600028002394001C063000028732000076B1D9
+:10A47000001E76B4FFE525140050C7386FC077384A
+:10A48000FFF0F71F28002394001A063000028732DD
+:10A49000000076B1001E76B4FFE5C7386FC077388C
+:10A4A000FFF0F71F280023940018063000028732BF
+:10A4B000000076B1001E76B4FFE5C7386FC077386C
+:10A4C000FFF0F71F280023940016063000028732A1
+:10A4D000000076B1001E76B4FFE5C7386FC077384C
+:10A4E000FFF0F71F28002394001406300002873283
+:10A4F000000076B1001E76B4FFE5C7386FC077382C
+:10A50000FFF0F71F28002394001206300002873264
+:10A51000000076B1001E76B4FFE5C7386FC077380B
+:10A52000FFF0F71F28002394001006300002873246
+:10A53000000076AD001E7631001E7630FFE5C7388C
+:10A5400067C07738FFF0F71F280087260000261421
+:10A550000068C73847C07738FFF0F7332800872EE8
+:10A56000000076B4FFE5C7386FC07738FFF0F71BFF
+:10A5700028002314006405AC0002872E000076AD8D
+:10A58000001E76B4FFE5C7386FC07738FFF0F71BC1
+:10A5900028002314006205AC0002872E000076AD6F
+:10A5A000001E76B4FFE5C7386FC07738FFF0F71BA1
+:10A5B00028002314006005AC0002872E000076AD51
+:10A5C000001E76B4FFE5C7386FC07738FFF0F71B81
+:10A5D00028002314005E05AC0002872E000076AD33
+:10A5E000001E76B4FFE5C7386FC07738FFF0F71B61
+:10A5F00028002314005C05AC0002872E000076AD15
+:10A60000001E76B4FFE5C7386FC07738FFF0F71B40
+:10A6100028002314005A05AC0002872E000076ADF6
+:10A62000001E76B4FFE5C7386FC07738FFF0F71B20
+:10A63000280005AC0002872E00002314005875ADD9
+:10A64000001E75ACFFE5C7385FC07738FFF0F71B19
+:10A6500028009613FFFC9516FF8C9513FFFC0788C6
+:10A660000008E000D2589793FFFC8516FF8C00008D
+:10A6700000019513FFFCF5044258000000019513FA
+:10A68000FFFC251400389516FF849513FFFC0788FE
+:10A690000008E000CF249793FFFCF5044258000027
+:10A6A00000019513FFFCF5044264000000019513BE
+:10A6B000FFFC8516FF84000000019513FFFC851642
+:10A6C000FF94000000019513FFFC07880008E000DC
+:10A6D000F7C89793FFFC20220000E600A6F10000D7
+:10A6E0000001F70442580000000107380001F70597
+:10A6F0004258F704425800000001203A0007EE00DB
+:10A70000A730F5021770F7042D38F6862C28063886
+:10A710000001F6052D3877390002F502001C2032C1
+:10A720000044E600A750B53A6802E000A750F005E3
+:10A730002D389513FFFCF502001B9513FFFCF50661
+:10A7400042449513FFFC07880008E0011EC0979360
+:10A75000FFFC8796FFFC8296FFF802140000013C84
+:10A760000000000000019293FFFC029000082210FC
+:10A770000030F6046F34F704426486B2000400002F
+:10A780000001C0367200E600A9F007300002863AE8
+:10A790000000F5820000F684407C7739001E77388F
+:10A7A000FFE5C63077C0F7044074C6B46800763160
+:10A7B000FFF0C60062009616FFF4C738680007383D
+:10A7C000002686BA00007739001E7738FFE5C6B448
+:10A7D00077C077B40008703EFFE8470C0001D7004F
+:10A7E0000A70203A0000E600A834F60200FF831643
+:10A7F000FFF48396FFF4F7044078C6983800C73812
+:10A8000068000738002686BA00007739001E7738BE
+:10A81000FFE5C6B477C076B5FFF0C6B46400C036B5
+:10A820005A00470C0001D7000A70203A0000E600E9
+:10A83000A83D202E0000F5820001202E0000E60039
+:10A84000A875F606427CF704427C76B1001E76B409
+:10A85000FFE5C7386FC07739FFF007380001F733DD
+:10A86000280007880008E000789C9793FFFCE00030
+:10A87000A9F000000001F3044260000000019313FE
+:10A88000FFFC07880008E000CC609793FFFCF4040D
+:10A890004078F7044F58F5044074F384407CF30487
+:10A8A000407CC62072007630FFFAC59C3000C5A8F7
+:10A8B000580005AC002686AE000074AD001E74A4DE
+:10A8C000FFE573AD001E739CFFE59396FFD4C5288A
+:10A8D00072007528FFFA8316FFF48396FFF4463161
+:10A8E000000045290000C7183800C420700004206B
+:10A8F00000267321001EC6B44FC076B5FFF0F48267
+:10A9000000FFC6304C00F382FF00C6B43C00C630E6
+:10A910006800F62F2800872E00007318FFE59316B5
+:10A92000FFCC8316FFD48396FFF4C5284C00C738AC
+:10A9300037C07739FFF0769D001076B5FFF8C7383D
+:10A940004C00C6B47000F6AF28008722000076A144
+:10A95000001E8316FFCCF382FF0076B4FFE5C738F4
+:10A9600037C07739FFF0C7383C00C5287000F523A1
+:10A97000280087220000F304407CC7386FC0773975
+:10A98000FFF0731900109316FFEC7399FFF8C738A6
+:10A990004C00C71C70009716FFDC23140022831A9A
+:10A9A00000007799001E77BCFFE5C3187FC07319BC
+:10A9B000FFF0F3232800F38642449393FFFC0788BB
+:10A9C0000008E0011F489793FFFCF704407C00005B
+:10A9D0000001073800019713FFFCF30440740000E6
+:10A9E00000019313FFFC07880008E000BEF897936E
+:10A9F000FFFC8796FFFC8296FFF802140000013CE2
+:10AA00000000000000019293FFFC02900008221059
+:10AA10000098F30642449313FFFC07880008E00106
+:10AA200020E49793FFFC20220000E600AEE5000042
+:10AA30000001F704425400000001203A0000EE003B
+:10AA4000AD8927380001F70542542394001EF6040F
+:10AA50004260249400669496FF64F3044078249442
+:10AA600000209496FF94063000028732000076B1F1
+:10AA7000001E76B4FFE5031800029316FF747419E4
+:10AA8000001E7420FFE505980002063000027531B3
+:10AA9000001EC7386FC07738FFF0F72728008732CD
+:10AAA00000007528FFE5C73857C07738FFF0F71F5B
+:10AAB00028002394001C063000028732000076B183
+:10AAC000001E76B4FFE58516FF64C7386FC077387F
+:10AAD000FFF0F71F28002394001A06300002873287
+:10AAE000000076B1001E76B4FFE5C7386FC0773836
+:10AAF000FFF0F71F28002394001806300002873269
+:10AB0000000076B1001E76B4FFE5C7386FC0773815
+:10AB1000FFF0F71F2800239400160630000287324A
+:10AB2000000076B1001E76B4FFE5C7386FC07738F5
+:10AB3000FFF0F71F2800239400140630000287322C
+:10AB4000000076B1001E76B4FFE5C7386FC07738D5
+:10AB5000FFF0F71F2800239400120630000287320E
+:10AB6000000076B1001E76B4FFE5C7386FC07738B5
+:10AB7000FFF0F71F280023940010063000028732F0
+:10AB8000000076AD001E7631001E7630FFE5C73836
+:10AB900067C07738FFF0F71F2800871A00002614D7
+:10ABA0000068C73847C07738FFF0F7332800872E92
+:10ABB000000076B4FFE5C7386FC07738FFF0F72B99
+:10ABC0002800231400649316FF6405AC0002872E4E
+:10ABD000000076AD001E76B4FFE5C7386FC0773849
+:10ABE000FFF0F71B2800249400629496FF6405ACE4
+:10ABF0000002872E000076AD001E76B4FFE5C73850
+:10AC00006FC07738FFF0F7272800251400609516ED
+:10AC1000FF6405AC0002872E000076AD001E76B4FE
+:10AC2000FFE5C7386FC07738FFF0F72B28002314F3
+:10AC3000005E9316FF6405AC0002872E000076AD1F
+:10AC4000001E76B4FFE5C7386FC07738FFF0F71BFA
+:10AC500028002494005C9496FF6405AC0002872EC3
+:10AC6000000076AD001E76B4FFE5C7386FC07738B8
+:10AC7000FFF0F72728002514005A9516FF6405AC4D
+:10AC80000002872E000076AD001E76B4FFE5249406
+:10AC90000050C7386FC07738FFF0F72B2800231417
+:10ACA000005805AC0002872E00009316FF6475ADB6
+:10ACB000001E75ACFFE5C7385FC07738FFF0F71BA3
+:10ACC00028009613FFFC9496FF8C9493FFFC078852
+:10ACD0000008E000D2589793FFFC8516FF8C2314E0
+:10ACE00000389513FFFC278000079793FFFC93160D
+:10ACF000FF849313FFFC07880008E000CF2497939C
+:10AD0000FFFC27800007F7854258278000079793AC
+:10AD1000FFFCF4844264000000019493FFFC85165C
+:10AD2000FF84000000019513FFFC8316FF940000D0
+:10AD300000019313FFFC07880008E000F7C8979311
+:10AD4000FFFC20220000E600AD5D00000001F704DA
+:10AD500042580000000107380001F7054258F70487
+:10AD60002D38F6862C2806380001F6052D3877395F
+:10AD70000002F482001C20320044E600AEE4B4BAC3
+:10AD80006802E000AEE4F0052D38F7044078F58461
+:10AD90004F580738001686BA0000F4063B90773902
+:10ADA000001E7738FFE5C6B477C076B4FFF076357D
+:10ADB0000006A72E6002C52C600076A9001E76B49E
+:10ADC000FFE5C7386FC07739FFF077390003C73820
+:10ADD00040000738000286BA00007739001E773835
+:10ADE000FFE5C6B477C073B7FFF0EE00AE55951619
+:10ADF000FF64A72E600276A9001E76B4FFE5C7386F
+:10AE00006FC07739FFF077390003C738400086BA42
+:10AE10000004231400887739001E7738FFE5C6B494
+:10AE200077C076B5FFF0A6AA6802771D0003C73881
+:10AE3000680027380008853A000484BA0000000042
+:10AE40000001951A0004949A00008596FF7CE000AA
+:10AE5000AE78000000018496FF64A72E600276A5FC
+:10AE6000001E76B4FFE5C7386FC07739FFF0773939
+:10AE70000003C738400085BA00048516FF64F60653
+:10AE80003B90872A000076A9001E76B4FFE5C738FC
+:10AE90006FC07739FFF077390003A6BA6002201E31
+:10AEA0000000C73860007739001E7738FFE5C6B468
+:10AEB00077C0EE00AEC976B5FFF08316FF780000CC
+:10AEC00000017719FFF0C6B868008496FF6400009F
+:10AED0000001C72468009713FFFC07880008C12CF5
+:10AEE00000009793FFFC8796FFFC8296FFF8021400
+:10AEF0000000013C0000000000019293FFFC029062
+:10AF0000000822100010F704408400000001203ADD
+:10AF10000000E600AF3CF60642B8F70442B876B14E
+:10AF2000001E76B4FFE5F3063678F3054244C738D1
+:10AF30006FC07739FFF007380001F7332800F704B6
+:10AF40004F5CF384425C833A0004C43800009316DB
+:10AF5000FFEC771D0001C73838007739000204B8CC
+:10AF6000000C8316FFEC00000001C01E3200EC0054
+:10AF7000B070C5040000A6A24802F704E000F58204
+:10AF80000000C0367200E600AFA8C620480086B2B6
+:10AF90000004F704E00400000001C0367200E6007F
+:10AFA000AFAC202E0000F5820001202E0000E6004C
+:10AFB000AFB900000001F502000086B20000F704FE
+:10AFC000E00000000001C0367200E200AFF4F5823C
+:10AFD0000000C0367200E600AFFC202E000086B2F2
+:10AFE0000004F704E00400000001C0367200E20033
+:10AFF000AFFD202E0000F5820001202E0000E600AB
+:10B00000B00D202A0000F5020001202A0000E60011
+:10B01000B05900000001F7047AD000000001203A86
+:10B020000000E600B064C7204800873A0008F60632
+:10B03000409877390002A6BA6002C73860007739B5
+:10B04000001E7738FFE5C6B477C076B5FFF020362E
+:10B050000000E600B0640000000104A4000CE00061
+:10B06000AF60039C00018316FFEC00000001C01ECE
+:10B070003200EC00B104F3063678F6844F5C771D9D
+:10B080000001C7383800773900020738000CC6B411
+:10B09000700087360008F6844F5877390006C6B42A
+:10B0A00070009693FFFC9396FFF407880008E00079
+:10B0B000FA989793FFFC20220000F684426C839656
+:10B0C000FFF4470C0001D7000A70C71C7000F70599
+:10B0D000425C06B40001F7042D38F685426CF68612
+:10B0E0002C2806380001F6052D3877390002F302C6
+:10B0F000001C20320044E600B108B33A6802E000C8
+:10B10000B108F0052D38F30542448796FFFC82967E
+:10B11000FFF802140000013C0000000000019293BF
+:10B12000FFFC02900008F4020000C5A00000F682B7
+:10B130000770F7046E5020360000E600B16D063847
+:10B14000001C8732000000000001C4207000C022F3
+:10B150007200E400B15D0000000105AC000126B4FE
+:10B16000000120360000E600B14006300004C42093
+:10B170005800C0225A00E400B18100000001042000
+:10B1800000018796FFFC8296FFF802140000013C44
+:10B190000000000000019293FFFC02900008078865
+:10B1A0000008E00078D89793FFFC07880008E000CB
+:10B1B000B11C9793FFFCF704409400000001C022EB
+:10B1C0007200E600B1EDF4054090F7046E50000007
+:10B1D000000186BA1DDCF582000106B4000196BAB2
+:10B1E0001DDC873A1DDCE000B1F0F5857AD0F00572
+:10B1F0007AD0F5844090F0054084F5854094F5863A
+:10B20000E0009593FFFC07880008E000D5A0979325
+:10B21000FFFCF7046E50F405408485BA1DDC000085
+:10B220000001F5853B64F584E000F005425C95BAC9
+:10B230000010F584E004F6862C2895BA0014F70473
+:10B240002D38F5863A4CF585424406380001F6055E
+:10B250002D3877390002F582001C20320044E600C8
+:10B26000B268B5BA6802F0052D38F58635ECF5857B
+:10B2700042308796FFFC8296FFF802140008013CDA
+:10B280000000000000019293FFFC029000082210D1
+:10B2900000C8F30200009316FF94248000089496DF
+:10B2A000FF84238000078316FF940000000193169B
+:10B2B000FF54201E0007EE00B564C71C38008496BA
+:10B2C000000000000001C72470000738002686BA7D
+:10B2D0000000F5844F587739001E7738FFE5C6B473
+:10B2E00077C076B5FFF0F70200FFC6B67400E6003F
+:10B2F000B32D20360001E600B32D77350006A6BA3F
+:10B300005802C73858007639001E7630FFE5C6B4BB
+:10B3100067C076B5FFF020360002E600B331C6B84C
+:10B320000000C72C0000E000B330C6B80000F6846F
+:10B330004F58F7044F58C5340000C02A7200E60089
+:10B34000B55D00000001F6843BBCF30200009316DB
+:10B35000FF3C0428001CF7043BB800000001C03685
+:10B360007200EC00B4409696FFAC77350001C73808
+:10B37000680077390002F4863BB4C63848000630CE
+:10B38000000CC30400009316FF3486B20000872A25
+:10B39000001C8596FF3CC0367200E600B3C0202E2C
+:10B3A000000086B20004872A002000000001C03699
+:10B3B0007200E600B3C0202E0000F5820001202EAE
+:10B3C0000000E600B3D100000001F4820000949672
+:10B3D000FF3486B200008722000000000001C03662
+:10B3E0007200E200B40CF5820000C0367200E60084
+:10B3F000B414202E000086B200048722000400004E
+:10B400000001C0367200E200B415202E0000F58263
+:10B410000001202E0000E600B42500000001F30228
+:10B4200000019316FF348496FF34000000012026AB
+:10B430000000E600B44000000001F3020001931692
+:10B44000FF3C8496FF3C0000000120260000E6003F
+:10B45000B481F60200018716FFACF3063BB476B95F
+:10B460000001C6B4700076B50002C6B4300006B460
+:10B47000001486B600009716FFB0E000B4F496966C
+:10B48000FFB4271400549713FFFC9413FFFCF486B9
+:10B490003BB49493FFFC9396FF4C9516FF440788AA
+:10B4A0000008E00125689793FFFC8396FF4C851602
+:10B4B000FF4420220000E600B4F1F60200018716E6
+:10B4C000FFACF3063BB476B90001C6B4700076B5A4
+:10B4D0000002C6B4300006B4001486B60000971609
+:10B4E000FFB09696FFB4F7053BBCE000B4F82032FD
+:10B4F0000000F602000020320000E600B52D2714FF
+:10B5000000088496FF5400000001C72470008316D1
+:10B51000FFB404A400049496FF548496FF94933AD5
+:10B52000FFC004A40001E000B5549496FF94831674
+:10B53000FF5400000001C7187000F4844F5803182E
+:10B5400000049316FF548316FF9494BAFFC00318A7
+:10B5500000019316FF949516FF3C9396FF8CE00034
+:10B56000B2B0039C00018496FF94000000012026E5
+:10B570000000E600B584F3820001F4044F58E000B7
+:10B58000BEE4000000018316FFB88496FF9400001B
+:10B590000001C01E4A00EC00B5CC9316FF7C269437
+:10B5A00000048736FFC08316FF7C00000001C03A0C
+:10B5B0003200E600BB98039C00018496FF940000D3
+:10B5C0000001C01E4A00EC00B5A106B40004F4045A
+:10B5D0004F588316FF7C00000001C01A4200E600AD
+:10B5E000BA2DF48200009496FF7423800007201E79
+:10B5F0000007EE00B748C71C38008316FF7C000028
+:10B600000001C71870000738002686BA0000F584CC
+:10B610004F587739001E7738FFE5C6B477C076B546
+:10B62000FFF0F70200FFC6B67400E600B6692036E8
+:10B630000001E600B66977350006A6BA5802C73899
+:10B6400058007639001E7630FFE5C6B467C076B57F
+:10B65000FFF020360002E600B66DC6B80000C72C29
+:10B660000000E000B66CC6B80000F6844F58F7043E
+:10B670004F58C5340000C02A7200E600B741C584A7
+:10B6800000008496FF7486AA001C8316FF3CF60215
+:10B69000000004A400019496FF74871A001C04A8FB
+:10B6A000001C9496FF34C0367200E600B6CC041835
+:10B6B000001C86AA0020871A002000000001C03666
+:10B6C0007200E600B6D020320000F60200012032FF
+:10B6D0000000E600B6DD00000001F58200008316E0
+:10B6E000FF3487220000869A000000000001C03667
+:10B6F0007200E200B71CF6020000C0367200E600DD
+:10B70000B72420320000869A00048722000400003B
+:10B710000001C0367200E200B72520320000F602B8
+:10B72000000120320000E600B735202E0000F5822F
+:10B730000001202E0000E600B740000000019396B3
+:10B74000FF84E000B5EC039C00018496FF7483162F
+:10B75000FF9400000001C0263200E600BB982300E1
+:10B7600000088496FF8400000001C0263200E60035
+:10B77000BB99F6020000F684407CF7044074C6B41E
+:10B780006800C73868000738002686BA0000773995
+:10B79000001E7738FFE5C6B477C077B40008703E66
+:10B7A000FFE8470C0001D7000A70203A0000E600CD
+:10B7B000B804F58200FF8496FF848316FF8C000096
+:10B7C0000001C72432008496FF7CC7387000C7246C
+:10B7D00070000738002686BA00007739001E7738D7
+:10B7E000FFE5C6B477C076B5FFF0C6B45C00C036DE
+:10B7F0006200470C0001D7000A70203A0000E60002
+:10B80000B80D20320000F602000120320000E600F0
+:10B81000BB9823800007201E0007EE00B8C8C71C95
+:10B8200038008316000000000001C71870000738B8
+:10B83000002686BA0000F5844F587739001E773805
+:10B84000FFE5C6B477C076B5FFF0F70200FFC6B6D5
+:10B850007400E600B89120360001E600B891773513
+:10B860000006A6BA5802C73858007639001E76304E
+:10B87000FFE5C6B467C076B5FFF020360002E600EB
+:10B88000B895C6B80000C72C0000E000B894C6B850
+:10B890000000F6844F58F7044F58C5340000C02A02
+:10B8A0007200E600B8C1000000019513FFFC9396FA
+:10B8B000FF4C07880008E000CC609793FFFC83965C
+:10B8C000FF4CE000B814039C00018496FF848316AB
+:10B8D000FF8CF384407CF5044074C4A43200949639
+:10B8E000FF348316FF34C59C3800C5A8580005AC4A
+:10B8F000002686AE0000772D001E7738FFE5742DF8
+:10B90000001E7420FFE5739D0010739DFFF8C4A412
+:10B9100030009496FF3C8316FF7CC6B477C0C49871
+:10B9200048009496FF3C04A400269496FF3C73259F
+:10B93000001E7318FFE59316FF6C74A5001E949605
+:10B94000FF6474A4FFE59496FF648316FF7CF4847F
+:10B950004F5876B5FFF0C6184A007630FFFA4631E8
+:10B960000000F30200FFC6303400F482FF00C6B4CA
+:10B970004C00C6306800F62F2800872E0000831682
+:10B98000FF34C73847C07739FFF07319001093169A
+:10B99000FF347499FFF8F30200FFC7383400C7245E
+:10B9A00070009716FF34249400CA84A6000077A57F
+:10B9B000001E77BCFFE5C4A47FC074A5FFF083160A
+:10B9C000FF3CF4AF2800F4844F58871A0000C528C4
+:10B9D0004A007528FFFA8316FF6C45290000F4829F
+:10B9E00000FFC5284C008496FF3CC73837C0773924
+:10B9F000FFF0F302FF00C7383400C5287000F527B8
+:10BA00002800872600008316FF648416FF7CC73851
+:10BA100037C07739FFF0F48200FFC7384C00831637
+:10BA2000FF3CC39C7000E000BEE4F39B2800F704D9
+:10BA3000407CF6044074C7387000C7307000073887
+:10BA4000002686BA00007739001E7738FFE5C6B4B5
+:10BA500077C077B40008703EFFE8470C0001D700BC
+:10BA60000A70203A0000E600BA7D25800007E00059
+:10BA7000BEE404200040E000BAD8C42C0000C73067
+:10BA80004200849600007538FFFA0624000A202E32
+:10BA90000007EE00BAD40730000E86BA00007739EE
+:10BAA000001E7738FFE5C6B477C076B5FFF0F70221
+:10BAB00000FFC6B4740047290000C0367200470C6E
+:10BAC0000001203A0000E600BA7406300002E000EF
+:10BAD000BA8C05AC0001F402000807200007203AE8
+:10BAE000000EE200BBA4C5A0400083160000F504D0
+:10BAF000407CF48200FFF6044F58C598580005AC0E
+:10BB0000002686AE0000772D001E7738FFE5C618A8
+:10BB100062007630FFFA46310000C6304C00C6B4F1
+:10BB200077C076B5FFF0772900107739FFF8C6B4F3
+:10BB30004C00C7386800F72F2800F5844074C528EA
+:10BB40005000C5AC500005AC002686AE0000772D35
+:10BB5000001E7738FFE5752D001E7528FFE5C6B479
+:10BB600077C076B5FFF0F702FF00C6B47400C630A8
+:10BB70006800F62F2800872E000076A1001076B509
+:10BB8000FFF8C73857C07739FFF0C7384C00C6B444
+:10BB90007000E000BBF8F6AF2800F4044F58E00056
+:10BBA000BEE404200040F6044F5883160000F7045A
+:10BBB000407CF5844074C61862007630FFFAC738BE
+:10BBC0007000C5AC700005AC002686AE0000772D75
+:10BBD000001E7738FFE546310000C6B477C076B561
+:10BBE000FFF0F70200FFC6307400F702FF00C6B492
+:10BBF0007400C6306800F62F280023800007201E3E
+:10BC00000007EE00BEE0C71C38008496000000006C
+:10BC10000001C72470000738002686BA0000F584AA
+:10BC20004F587739001E7738FFE5C6B477C076B530
+:10BC3000FFF0F70200FFC6B67400E600BC792036BC
+:10BC40000001E600BC7977350006A6BA5802C7386D
+:10BC500058007639001E7630FFE5C6B467C076B569
+:10BC6000FFF020360002E600BC7DC6B80000C72CFD
+:10BC70000000E000BC7CC6B80000F6844F58F70412
+:10BC80004F58C5340000C02A7200E600BED906A88D
+:10BC9000001C83160000000000019313FFFC969324
+:10BCA000FFFCF4863BB49493FFFC9396FF4C9516EF
+:10BCB000FF449696FF4007880008E00123409793D1
+:10BCC000FFFCF3044F5CF48200009496FF5C8696C0
+:10BCD000FF408396FF4C8516FF449316FF34861A67
+:10BCE00000089696FF3C871A000400000001C0324D
+:10BCF0007200EC00BDB89616FF9C77310001C73882
+:10BD0000600077390002C63830000630000C86B279
+:10BD10000000872A001C8596FF5CC0367200E60092
+:10BD2000BD40C404000086B20004872A0020000041
+:10BD30000001C0367200E600BD44202E0000F582EE
+:10BD40000001202E0000E600BD5100000001F402B9
+:10BD500000008316FF3C86B20000871A0000000036
+:10BD60000001C0367200E200BD90F5820000C036CE
+:10BD70007200E600BD98202E000086B20004871AEB
+:10BD8000000400000001C0367200E200BD99202EC0
+:10BD90000000F5820001202E0000E600BDA920224F
+:10BDA0000000F402000120220000E600BDB80000FF
+:10BDB0000001F48200019496FF5C8316FF5C000092
+:10BDC0000001201A0000E600BDF9F6020001871606
+:10BDD000FF9C8496FF3476B90001C6B4700076B536
+:10BDE0000002C6B4480006B4001486B600009716D8
+:10BDF000FFA0E000BE709696FFA42714006497137E
+:10BE0000FFFC8316FF3C000000019313FFFC8496A7
+:10BE1000FF34000000019493FFFC9396FF4C9516AD
+:10BE2000FF4407880008E00125689793FFFC83968C
+:10BE3000FF4C8516FF4420220000E600BE71F6028A
+:10BE400000008716FF9C8316FF3476B90001C6B444
+:10BE5000700076B50002C6B4300006B4001486B691
+:10BE600000009716FFA09696FFA4971A0008F60206
+:10BE7000000120320000E600BE99F606429CF7045D
+:10BE8000429C76B1001E76B4FFE5C7386FC07739A3
+:10BE9000FFF007380001F7332800F7044F5800007F
+:10BEA0000001C72872007738FFFA4739000097135E
+:10BEB000FFFC0728001C9713FFFCF4844F5C000074
+:10BEC00000019493FFFC9396FF4C07880008E00163
+:10BED00023409793FFFC8396FF4CE000BBFC039C40
+:10BEE0000001841600008796FFFC8296FFF802147A
+:10BEF0000004013C0000000000019293FFFC02904E
+:10BF0000000822100060851600008616000406A8AE
+:10BF10000018C7306000C5B8680020320007EE0086
+:10BF2000BF64072C000E86BA00007739001E7738F0
+:10BF3000FFE5C6B477C076B5FFF0F70200FFC6B4E0
+:10BF4000740020360000470C0001D7000A70203A28
+:10BF50000000E600BF6105AC0002E000BF1806303B
+:10BF6000000120320007EE00C04C06A80016F505BF
+:10BF70004074F605407CF3020006F3054254961324
+:10BF8000FFFC052800029516FFC49513FFFC2394BF
+:10BF900000209396FFBC9393FFFC9616FFAC078896
+:10BFA0000008E000D0DC9793FFFC8496FFC42314C4
+:10BFB00000389493FFFC9316FFB49313FFFC07889B
+:10BFC0000008E000D2589793FFFC8702FF348616E2
+:10BFD000FFACF70542649613FFFC9713FFFC8396B2
+:10BFE000FFB4000000019393FFFC8496FFBC0000A7
+:10BFF00000019493FFFC07880008E000F7C89793BE
+:10C00000FFFC20220000E600C01DF3063AD8F7042A
+:10C0100042540000000127380001F7054254F3059F
+:10C020004244F38217709393FFFCF482001B9493B5
+:10C03000FFFCF30642449313FFFC07880008E0016D
+:10C040001EC09793FFFCE000C1A0000000018736EE
+:10C050000000F5844F58F4063B7076B5001E76B4A8
+:10C06000FFE5C7386FC07738FFF076390006A72E96
+:10C070006002C52C600076A9001E76B4FFE5C738C3
+:10C080006FC07739FFF077390003C73840000738B1
+:10C09000000286BA00007739001E7738FFE5C6B483
+:10C0A00077C076B7FFF0EE00C1159696FF9CA72EDD
+:10C0B000600276A9001E76B4FFE58396FF9CC73820
+:10C0C0006FC07739FFF077390003C738400086BA70
+:10C0D0000004249400607739001E7738FFE5C6B469
+:10C0E00077C076B5FFF0A6AA6802771D0003C738AF
+:10C0F00068002738000883BA0004833A0000000073
+:10C10000000193A60004932600008596FFA4E0009A
+:10C11000C13823000007A72E600276A9001E76B45E
+:10C12000FFE5C7386FC07739FFF077390003C738AC
+:10C13000400085BA0004230000079313FFFC872A00
+:10C14000000076A9001E76B4FFE58396FF9CF606F4
+:10C150003B70C7386FC07739FFF077390003A6BA54
+:10C160006002201E0000C73860007739001E773853
+:10C17000FFE5C6B477C0EE00C18D76B5FFF08496BA
+:10C18000FFA0000000017725FFF0C6B86800C728AF
+:10C1900068009713FFFC07880008C12C00009793E4
+:10C1A000FFFC8796FFFC8296FFF802140008013C12
+:10C1B0000000000000019293FFFC02900008221092
+:10C1C000007025000007202A0007EE00C3B8C7282A
+:10C1D00050008316000000000001C71870000738E7
+:10C1E000002686BA0000F5844F587739001E77384C
+:10C1F000FFE5C6B477C076B5FFF0F70200FFC6B61C
+:10C200007400E600C23D20360001E600C23D7735ED
+:10C210000006A6BA5802C73858007639001E763094
+:10C22000FFE5C6B467C076B5FFF020360002E60031
+:10C23000C24DC03A5A00E000C248C72C0000F704C3
+:10C240004F58F5844F5800000001C03A5A00E600EC
+:10C25000C3B1F4863B908396000000000001069C69
+:10C2600000168736000076B5001E76B4FFE5C738A5
+:10C270006FC07738FFF076390006A72E6002C52C14
+:10C28000600076A9001E76B4FFE5C7386FC0773925
+:10C29000FFF077390003C73848000738000286BA34
+:10C2A00000007739001E7738FFE5C6B477C076B74F
+:10C2B000FFF0EE00C3219696FF8CA72E600276A9B0
+:10C2C000001E76B4FFE58316FF8CC7386FC0773940
+:10C2D000FFF077390003C738480086BA0004249479
+:10C2E00000707739001E7738FFE5C6B477C076B5A1
+:10C2F000FFF0A6AA680277190003C738680027383C
+:10C30000000883BA0004833A00000000000193A6ED
+:10C310000004932600008616FF94E000C34400004A
+:10C320000001A72E600276A9001E76B4FFE5F30691
+:10C330003B90C7386FC07739FFF077390003C738B3
+:10C340003000863A0004872A000076A9001E76B4E1
+:10C35000FFE58396FF8CF4863B90C7386FC0773932
+:10C36000FFF077390003A6BA4802201E0000C73844
+:10C3700048007739001E7738FFE5C6B477C0EE0075
+:10C38000C39576B5FFF08316FF9000000001771982
+:10C39000FFF0C6B86800C72868009713FFFC07883D
+:10C3A0000008C13000009793FFFCE000C5C4000006
+:10C3B0000001E000C1C40528000183960000F4825A
+:10C3C0000006F4854254F60442602514001E23142E
+:10C3D00000209316FFACF3854078063000028732C8
+:10C3E000000076B1001E76B4FFE50630000275B19C
+:10C3F000001EC7386FC07738FFF0F71B2800873260
+:10C40000000075ACFFE5C7385FC07738FFF0F72B49
+:10C4100028002514001C063000028732000076B187
+:10C42000001E76B4FFE5C7386FC07738FFF0F72BF2
+:10C4300028002514001A063000028732000076B169
+:10C44000001E76B4FFE5C7386FC07738FFF0F72BD2
+:10C45000280025140018063000028732000076B14B
+:10C46000001E76B4FFE5C7386FC07738FFF0F72BB2
+:10C47000280025140016063000028732000076B12D
+:10C48000001E76B4FFE5C7386FC07738FFF0F72B92
+:10C49000280025140014063000028732000076B10F
+:10C4A000001E76B4FFE5C7386FC07738FFF0F72B72
+:10C4B000280025140012063000028732000076B1F1
+:10C4C000001E76B4FFE5C7386FC07738FFF0F72B52
+:10C4D0002800063000028732000025140010763153
+:10C4E000001E7630FFE5C73867C07738FFF0F72BBE
+:10C4F0002800071C00029713FFFC2394005093961A
+:10C50000FFA49393FFFC07880008E000D25897939C
+:10C51000FFFC8496FFA4231400389493FFFC27802B
+:10C5200000079793FFFC9316FF9C9313FFFC07886B
+:10C530000008E000CF249793FFFC8702FF34278098
+:10C540000007F7854258F705426427800007979354
+:10C55000FFFC9713FFFC8396FF9C00000001939360
+:10C56000FFFC8496FFAC000000019493FFFC078859
+:10C570000008E000F5F49793FFFC20220000E6009D
+:10C58000C59500000001F70442580000000107387B
+:10C590000001F7054258F7042D38F30639C0F305BA
+:10C5A0004244F6862C2806380001F6052D387739E6
+:10C5B0000002F382001C20320044E600C5C4B3BA76
+:10C5C0006802F0052D388796FFFC8296FFF802146A
+:10C5D0000004013C0000000000019293FFFC029067
+:10C5E000000825000007F7044074F6844F58F6044D
+:10C5F0004260C7386A0075B8FFFA0630000A202A80
+:10C600000007EE00C6480730000E86BA00007739F2
+:10C61000001E7738FFE5C6B477C076B5FFF0F702A5
+:10C6200000FFC6B47400472D0000C0367200470CEE
+:10C630000001203A0000E600C64CC3280000063086
+:10C640000002E000C5FC05280001F3020008C5183F
+:10C650003000F3844260F6044F58F704407CF484C1
+:10C660004074C51C50000528002685AA00007429C6
+:10C67000001E7420FFE5C61C62007630FFFAC6B8C3
+:10C680007000C4A4680004A4002676A5001E76B439
+:10C69000FFE5773900107739FFF846310000C5AC67
+:10C6A00047C075ADFFF0F40200FFC5AC4400C738C9
+:10C6B0005800F72B28008726000075A5001EC630FD
+:10C6C000440075ACFFE5C7386FC07739FFF0F682DC
+:10C6D000FF00C7386C00C6307000F6272800872698
+:10C6E00000007699001076B5FFF8C7385FC077393B
+:10C6F000FFF0C7384400C6B47000F6A72800939333
+:10C70000FFFCF3843BB0000000019393FFFC07881B
+:10C710000008E000D42C9793FFFCF704407C000055
+:10C720000001073800019713FFFCF38440740000F8
+:10C7300000019393FFFC07880008E000BEF8979380
+:10C74000FFFC8796FFFC8296FFF802140004013C70
+:10C750000000000000019293FFFC02900008879601
+:10C76000FFFC8296FFF802140008013C0000000064
+:10C7700000019293FFFC029000088796FFFC8296CE
+:10C78000FFF802140004013C000000000001929335
+:10C79000FFFC029000088796FFFC8296FFF80214C7
+:10C7A0000000013C0000000000019293FFFC029099
+:10C7B0000008F70642309713FFFCF7063560971321
+:10C7C000FFFC07880008E00014F49793FFFCF706CD
+:10C7D00042309713FFFCF70635EC9713FFFC0788F0
+:10C7E0000008E00014F49793FFFCF7064244971307
+:10C7F000FFFCF70636789713FFFC07880008E00077
+:10C8000014F49793FFFCF70642449713FFFCF706D6
+:10C8100037049713FFFC07880008E00014F497938F
+:10C82000FFFCF70642449713FFFCF7063790971377
+:10C83000FFFC07880008E00014F49793FFFCF7065C
+:10C8400042449713FFFCF706381C9713FFFC078838
+:10C850000008E00014F49793FFFCF7064244971396
+:10C86000FFFCF70638A89713FFFC07880008E000D4
+:10C8700014F49793FFFCF70642449713FFFCF70666
+:10C8800039349713FFFC07880008E00014F49793ED
+:10C89000FFFCF70642449713FFFCF70639C09713D5
+:10C8A000FFFC07880008E00014F49793FFFCF706EC
+:10C8B00042449713FFFCF7063A4C9713FFFC078896
+:10C8C0000008E00014F49793FFFCF7064244971326
+:10C8D000FFFCF7063AD89713FFFC07880008E00032
+:10C8E00014F49793FFFC8796FFFC8296FFF80214DE
+:10C8F0000000013C0000000000019293FFFC029048
+:10C90000000885960000F5063B90872E000076AD66
+:10C91000001E76B4FFE5C7386FC07739FFF077396E
+:10C920000003C73850000738000286BA0000773984
+:10C93000001E7738FFE5C6B477C07637FFF0EE000B
+:10C94000C99500000001872E000076AD001E76B468
+:10C95000FFE5C7386FC07739FFF077390003C73874
+:10C96000500086BA00047739001E7738FFE5C6B458
+:10C9700077C076B5FFF0A6AE680277310003C738FE
+:10C9800068002738000884BA0004843A0000E000F8
+:10C99000C9B4C5240000872E000076AD001E76B411
+:10C9A000FFE5C7386FC07739FFF077390003C73824
+:10C9B0005000853A0004872E000076AD001E76B444
+:10C9C000FFE520320000F6063B90C7386FC077398C
+:10C9D000FFF077390003A6BA6002C73860007739E4
+:10C9E000001E7738FFE5C6B477C0EE00C9F976B50A
+:10C9F000FFF07721FFF0C6B86800C72C68009713D6
+:10CA0000FFFC07880008C12800009793FFFC879669
+:10CA1000FFFC8296FFF802140004013C00000000B5
+:10CA200000019293FFFC0290000885960000F50635
+:10CA30003B70872E000076AD001E76B4FFE5C73848
+:10CA40006FC07739FFF077390003C73850000738D7
+:10CA5000000286BA00007739001E7738FFE5C6B4B9
+:10CA600077C07637FFF0EE00CABD00000001872EC8
+:10CA7000000076AD001E76B4FFE5C7386FC0773989
+:10CA8000FFF077390003C738500086BA00047739C1
+:10CA9000001E7738FFE5C6B477C076B5FFF0A6AEC6
+:10CAA000680277310003C73868002738000884BA65
+:10CAB0000004843A0000E000CADCC5240000872E90
+:10CAC000000076AD001E76B4FFE5C7386FC0773939
+:10CAD000FFF077390003C7385000853A0004839689
+:10CAE000000476AD001E76B4FFE52032000093937B
+:10CAF000FFFC872E0000F6063B70C7386FC0773901
+:10CB0000FFF077390003A6BA6002C73860007739B2
+:10CB1000001E7738FFE5C6B477C0EE00CB2976B5A6
+:10CB2000FFF07721FFF0C6B86800C72C68009713A4
+:10CB3000FFFC07880008C12800009793FFFC879638
+:10CB4000FFFC8296FFF802140008013C0000000080
+:10CB500000019293FFFC02900008F5044F58F58203
+:10CB6000000206280080202E0062EE00CB900730E5
+:10CB70000040F0332800C6B8520076B4FFFA063001
+:10CB80000014F6B32800C6380000E000CB6405AC02
+:10CB90000001F7044F580000000106B818D4F482D1
+:10CBA0000001F4B72800073818C0F03B2800F7064A
+:10CBB00042C0F4820002F4BB28008796FFFC8296F4
+:10CBC000FFF802140000013C0000000000019293F5
+:10CBD000FFFC02900008F68442C0F60642C077319E
+:10CBE000001E7738FFE575B1001EC6B477C076B475
+:10CBF000FFF0F7044F5876B50006C4386800872266
+:10CC0000001476A1001E76B4FFE5C7386FC07738F0
+:10CC1000FFF0F7332800F70442C075ACFFE5C738D2
+:10CC20005FC07738FFF0203A0001E600CC4CF606F2
+:10CC30004290F704429076B1001E76B4FFE5C73803
+:10CC40006FC07739FFF007380001F7332800879667
+:10CC5000FFFC8296FFF802140000013C0000000077
+:10CC600000019293FFFC0290000822100004851638
+:10CC70000000000000019513FFFC9516FFF40788E3
+:10CC80000008E000CD009793FFFC8516FFF42022FA
+:10CC90000000E600CCBCF58642C0F7044290F606E0
+:10CCA000429276B1001E76B4FFE5C7386FC077397F
+:10CCB000FFF007380001E000CCECF7332800F02B40
+:10CCC0002800F68442C0772D001E7738FFE506283D
+:10CCD0000014C6B477C076B4FFF0F7044F58F6B32B
+:10CCE0002800C72872007738FFFAF72F28008796A8
+:10CCF000FFFC8296FFF802140004013C00000000D3
+:10CD000000019293FFFC0290000886960000F70451
+:10CD10004F58F4020000C6B472007734FFFA273887
+:10CD20000002203A0061F702003FE200CD40C6B4A5
+:10CD3000740020360000E600CD4000000001F4023F
+:10CD400000018796FFFC8296FFF802140004013C64
+:10CD50000000000000019293FFFC0290000886167C
+:10CD600000008716000885960004C5307000C032A8
+:10CD70005200E600CDA10000000186B2000077312C
+:10CD8000001E7738FFE5C6B477C076B5FFE8F6AF8A
+:10CD9000680006300001C0325200E600CD7805ACD4
+:10CDA00000018796FFFC8296FFF80214000C013CFC
+:10CDB000000000000001000000009293FFFC0290C0
+:10CDC000000884960000841600048596000886A654
+:10CDD00000007725001E7738FFE5C6B477C07535AB
+:10CDE000FFF0202A0010E200CE0DF606428EF5027A
+:10CDF0000010F704428C76B1001E76B4FFE5C73808
+:10CE00006FC07739FFF007380001F7332800202E74
+:10CE10000001E600CE70202A0000EE00CE7107244B
+:10CE2000000225280001A5BA50028622000076A142
+:10CE3000001E76B4FFE5C73850007739001E7738FA
+:10CE4000FFE5C5AC77C0C6306FC07631FFF075AD79
+:10CE5000FFE8F68200FFF702F15475AD0002A72E3D
+:10CE60007002C6306C00C6307580F623280024207E
+:10CE7000000225A80001F302F24603A40002C4AC9C
+:10CE80003800252C0001202E0000EC00CF110000FE
+:10CE90000001E600CEA0C71C5000E000CEB4F602B0
+:10CEA0000000A69E50027739001E7738FFE5C6B411
+:10CEB00077C07635FFE886A600007725001E773814
+:10CEC000FFE52528000225AC0002C6B477C076B580
+:10CED000FFE877310004C738620077390001C738AE
+:10CEE0003000C6B46800C6B4700006B4000E8736C1
+:10CEF000000024A4000276B5001E76B4FFE5C73812
+:10CF00006FC07738FFF0F7232800E000CE8424209C
+:10CF100000028796FFFC8296FFF80214000C013C89
+:10CF20000000000000019293FFFC029000088616AA
+:10CF3000000883160004839600008732000076B153
+:10CF4000001E76B4FFE5059C0002749D001E74A4CB
+:10CF5000FFE5741D001E063000027531001EC73843
+:10CF60006FC07738FFF0F71F280087320000752860
+:10CF7000FFE5C73857C07738FFF0F72F280005AC1A
+:10CF80000002063000028732000076B1001E76B43F
+:10CF9000FFE5C7386FC07738FFF0F72F280005ACE2
+:10CFA0000002063000028732000076B1001E76B41F
+:10CFB000FFE5C7386FC07738FFF0F72F280005ACC2
+:10CFC0000002063000028732000076B1001E76B4FF
+:10CFD000FFE5C7386FC07738FFF0F72F280005ACA2
+:10CFE0000002063000028732000076B1001E76B4DF
+:10CFF000FFE5C7386FC07738FFF0F72F280005AC82
+:10D000000002063000028732000076B1001E76B4BE
+:10D01000FFE5C7386FC07738FFF0F72F280005AC61
+:10D020000002063000028732000076B1001E76B49E
+:10D03000FFE5C7386FC07738FFF0F72F28000630BC
+:10D0400000028732000005AC00027631001E763007
+:10D05000FFE5C73867C07738FFF0F72F2800871E35
+:10D0600000007420FFE5C7384FC07739FFF007385C
+:10D070000001F71F2800871E0000049C0002C7382B
+:10D0800047C07739FFF025380001202A0000EE0064
+:10D09000D0BD26280001A7266002C6A4600076B590
+:10D0A000001E76B4FFE5C5A45000C5300000C738A7
+:10D0B0006FC07739FFE8E000D088F72F6800071CC1
+:10D0C0000002F33B6800C41C00008796FFFC8296B8
+:10D0D000FFF80214000C013C0000000000019293D4
+:10D0E000FFFC0290000886160004841600008732B8
+:10D0F000000076B1001E76B4FFE505A0000274A121
+:10D10000001E74A4FFE5063000027531001EC7380A
+:10D110006FC07738FFF0F7232800873200007528AA
+:10D12000FFE5C73857C07738FFF0F72F280005AC68
+:10D130000002063000028732000076B1001E76B48D
+:10D14000FFE5C7386FC07738FFF0F72F280005AC30
+:10D150000002063000028732000076B1001E76B46D
+:10D16000FFE5C7386FC07738FFF0F72F280005AC10
+:10D170000002063000028732000076B1001E76B44D
+:10D18000FFE5C7386FC07738FFF0F72F280005ACF0
+:10D190000002063000028732000076B1001E76B42D
+:10D1A000FFE5C7386FC07738FFF0F72F280005ACD0
+:10D1B0000002063000028732000076B1001E76B40D
+:10D1C000FFE5C7386FC07738FFF0F72F280005ACB0
+:10D1D0000002063000028732000076B1001E76B4ED
+:10D1E000FFE5C7386FC07738FFF0F72F280005AC90
+:10D1F0000002063000028732000006A000027631ED
+:10D20000001E7630FFE5C73867C07738FFF0F72F8C
+:10D210002800872200007621001E85960008C73866
+:10D220004FC07739FFF0C6B47000F5B768008722A9
+:10D2300000007630FFE5C73867C07739FFF0073860
+:10D240000001F72328008796FFFC8296FFF802145E
+:10D25000000C013C0000000000019293FFFC0290D2
+:10D2600000082210002027140020F03B280084969C
+:10D270000004F502000086A600007625001E763028
+:10D28000FFE504240002C6B467C076B4FFF0F6BB25
+:10D2900028008726000076A5001E76B4FFE5C73873
+:10D2A0006FC07739FFF0C02A7200EC00D2F876A583
+:10D2B000001E8726000076B4FFE5062800012594AD
+:10D2C000001EC5AC5000C5300000C7386FC07739AC
+:10D2D000FFF0C7385200A6A27002C720700077394D
+:10D2E000001E7738FFE5C6B477C076B5FFE8C68084
+:10D2F0006A00E000D290F6AF68008716FFE076156E
+:10D30000001E7630FFE5839600002314001E7599F9
+:10D31000001E75ACFFE57515001E7528FFE57495B8
+:10D32000001E74A4FFE57415001E7420FFE5069C22
+:10D3300000027395001E9396FFDCC73867C0839682
+:10D3400000007738FFF0F71F28008396FFDC871A6C
+:10D350000000739CFFE59396FFDCC7385FC0773809
+:10D36000FFF0F737280006B400028716FFE4231405
+:10D37000001A7619001E7630FFE5C73857C0773897
+:10D38000FFF0F7372800871A000006B40002C738FC
+:10D3900067C07738FFF0F737280006B40002871619
+:10D3A000FFE8231400167619001E7630FFE5C73813
+:10D3B0004FC07738FFF0F7372800871A000006B40F
+:10D3C0000002C73867C07738FFF0F737280006B487
+:10D3D00000028716FFEC231400127619001E763027
+:10D3E000FFE5C73847C07738FFF0F7372800871ABE
+:10D3F000000006B4000284160000C73867C0773802
+:10D40000FFF0F73728008716FFF006B40002C73890
+:10D410003FC07738FFF0F73728008796FFFC8296E9
+:10D42000FFF802140008013C000000000001929384
+:10D43000FFFC029000088616000084160004F684A3
+:10D440004F5887320014033000147519001E7528D8
+:10D45000FFE5C3A06A00739CFFFA04A0001475A541
+:10D46000001EC6306A007630FFFAC73857C07738DA
+:10D47000FFF0F7272800F39B280007200016F63B53
+:10D4800028008722001475ACFFE5C7385FC07738E5
+:10D49000FFF077390006C6B4700006B40016F3B783
+:10D4A00028008796FFFC8296FFF802140008013CD2
+:10D4B0000000000000019293FFFC02900008861615
+:10D4C0000000F5844F5805300016872A000076A921
+:10D4D000001E76B4FFE5C7386FC07738FFF07739A4
+:10D4E0000006C42C7000C0226200E600D52906A008
+:10D4F000001687360000C6305A007630FFFA76B53F
+:10D50000001E76B4FFE5C7386FC07738FFF0773973
+:10D51000000676B8FFFAF6AB2800C72C7000073873
+:10D520000014E000D52CF63B2800C42C00008796A0
+:10D53000FFFC8296FFF802140004013C000000008A
+:10D5400000019293FFFC02900008F7064F844738D1
+:10D55000FFFCF7056F30F686505C46B4FFFCF6859D
+:10D560006E50F7066E7C4738FFFCF7056E540734A3
+:10D57000191CF7054F5CF70200649736191CF70277
+:10D5800000009736192006B4001CF6854F58879680
+:10D59000FFFC8296FFF802140000013C000000002E
+:10D5A00000019293FFFC0290000822100090F30209
+:10D5B000FFFFF3054F54F38200009396FFAC231452
+:10D5C00000209316FF9C239400389396FF948316B3
+:10D5D000FFACF7044F5CF382000C9396FF74931634
+:10D5E000FF8C873A0004000000019716FFA4831601
+:10D5F000FFAC8396FFA400000001C01A3A00EC00C3
+:10D60000DB78F30204BCF7044F5C8316FF74000060
+:10D610000001C7383000873A0008F6844F58773940
+:10D620000006C4B470009493FFFC9496FF7C0788B6
+:10D630000008E000CD009793FFFC8496FF7C202239
+:10D640000000E600D654C5040000F7044288E0005C
+:10D65000D87CF6064288F6044F5C83960000831659
+:10D66000FF74869E0000A7323002F5820000C036AB
+:10D670007200E600D694C6303000869E00048732E1
+:10D68000000400000001C0367200E600D698202E8B
+:10D690000000F5820001202E0000E600D6A5000063
+:10D6A0000001F50200008396000087320000869E8C
+:10D6B000000000000001C0367200E200D6E4F582EE
+:10D6C0000000C0367200E600D6EC202E0000869ED8
+:10D6D00000048732000400000001C0367200E2003E
+:10D6E000D6ED202E0000F5820001202E0000E6007D
+:10D6F000D6FD202A0000F5020001202A0000E600E5
+:10D70000D72804A400028316FFACF70642C883960C
+:10D71000FF8CF3054F54C71C7000F03B28000738FE
+:10D720000002E000DB50F03B28009496FF6C872657
+:10D73000000076A5001E76B4FFE58316FF6C839685
+:10D74000FF9C2494001E0618000275B1001E75ACE3
+:10D75000FFE5C7386FC07738FFF0F71F2800873222
+:10D760000000751D001E7528FFE5C7385FC07738BB
+:10D77000FFF0F72728002494001C063000028732AF
+:10D78000000076B1001E76B4FFE5C7386FC0773869
+:10D79000FFF0F72728002494001A06300002873291
+:10D7A000000076B1001E76B4FFE5C7386FC0773849
+:10D7B000FFF0F72728002494001806300002873273
+:10D7C000000076B1001E76B4FFE5C7386FC0773829
+:10D7D000FFF0F72728002494001606300002873255
+:10D7E000000076B1001E76B4FFE5C7386FC0773809
+:10D7F000FFF0F72728002494001406300002873237
+:10D80000000076B1001E76B4FFE5C7386FC07738E8
+:10D81000FFF0F72728002494001206300002873218
+:10D82000000076B1001E76B4FFE5C7386FC07738C8
+:10D83000FFF0F7272800063000028732000024940A
+:10D8400000107631001E7630FFE5C73867C07738A4
+:10D85000FFF0F72728008716FFE0F682FFFCC738A5
+:10D8600057C07739FFF007380003C4B86C00202692
+:10D870000010E200D89DF606428AF704428876B18D
+:10D88000001E76B4FFE5F4020000C7386FC0773998
+:10D89000FFF007380001E000DBA0F7332800831613
+:10D8A000FF6C251400368396FF94871A0000769942
+:10D8B000001E76B4FFE50618000275B1001EC738D9
+:10D8C0006FC07738FFF0F71F28008732000075AC73
+:10D8D000FFE5C7385FC07738FFF0F72B2800251425
+:10D8E0000034063000028732000076B1001E76B4A4
+:10D8F000FFE5C7386FC07738FFF0F72B28002514F5
+:10D900000032063000028732000076B1001E76B485
+:10D91000FFE5C7386FC07738FFF0F72B28002514D4
+:10D920000030063000028732000076B1001E76B467
+:10D93000FFE5C7386FC07738FFF0F72B28002514B4
+:10D94000002E063000028732000076B1001E76B449
+:10D95000FFE5C7386FC07738FFF0F72B2800251494
+:10D96000002C063000028732000076B1001E76B42B
+:10D97000FFE5C7386FC07738FFF0F72B2800251474
+:10D98000002A063000028732000076B1001E76B40D
+:10D99000FFE5C7386FC07738FFF0F72B2800251454
+:10D9A0000028063000028732000026A4000274A47A
+:10D9B000FFFF7631001E7630FFE5C73867C0773845
+:10D9C000FFF0F72B28009013FFFC8316FF8CF7065F
+:10D9D00042CCC7187000C73868009713FFFC9393B8
+:10D9E000FFFC9496FF7C07880008E000CDB8979371
+:10D9F000FFFC8396FF6C2414004E25140050831600
+:10DA0000FF8C8496FF7C871E0000769D001E76B4F6
+:10DA1000FFE5061C000275B1001E75ACFFE5C738B6
+:10DA20006FC07738FFF0F72B280087320000752988
+:10DA3000001E7528FFE5C7385FC07738FFF0F72371
+:10DA400028002414004C063000028732000076B112
+:10DA5000001E76B4FFE5C7386FC07738FFF0F723B4
+:10DA600028002414004A063000028732000076B1F4
+:10DA7000001E76B4FFE5C7386FC07738FFF0F72394
+:10DA8000280024140048063000028732000076B1D6
+:10DA9000001E76B4FFE5C7386FC07738FFF0F72374
+:10DAA000280024140046063000028732000076B1B8
+:10DAB000001E76B4FFE5C7386FC07738FFF0F72354
+:10DAC000280024140044063000028732000076B19A
+:10DAD000001E76B4FFE5C7386FC07738FFF0F72334
+:10DAE000280024140042063000028732000076B17C
+:10DAF000001E76B4FFE5C7386FC07738FFF0F72314
+:10DB000028000630000287320000241400407631DD
+:10DB1000001E7630FFE5C73867C07738FFF0F7237F
+:10DB200028008696FFB0F60642C8C6186000F702C5
+:10DB30000003C6B457C076B5FFF0C6B47400F70250
+:10DB40000004C7386A00F733280006300002F4B337
+:10DB500028008396FF8C8316FF74039C0014939611
+:10DB6000FF8C0318000C8396FFAC9316FF74039C84
+:10DB70000001E000D5EC9396FFAC9313FFFCF38417
+:10DB80004F5C000000019393FFFCF3064A98931347
+:10DB9000FFFC07880008E00126F89793FFFCF402D9
+:10DBA00000018796FFFC8296FFF802140004013CF6
+:10DBB0000000000000019293FFFC02900008221078
+:10DBC00001A0F5020000F3846E50F602001C202A2A
+:10DBD0000063EE00DC08C59C6000A69E6002772D05
+:10DBE000001E7738FFE5C6B477C076B5FFF0203663
+:10DBF0000003E600DBFC072C0036F03B2800063073
+:10DC00000040E000DBCC05280001F5844F5C0000FB
+:10DC1000000186AE0008F4020000872E0004000018
+:10DC20000001C0367200EC00DCF09696FFEC773510
+:10DC30000001C738680077390002C638580006303E
+:10DC4000000CC38400008316000086B20000871A0F
+:10DC5000000000000001C0367200E600DC7CC52038
+:10DC6000000086B20004871A000400000001C036DC
+:10DC70007200E600DC80202A0000F5020001202A64
+:10DC80000000E600DC8D00000001F38200008496B5
+:10DC9000000086B200008726000000000001C036A8
+:10DCA0007200E200DCCCF5020000C0367200E60033
+:10DCB000DCD4202A000086B200048726000400007D
+:10DCC0000001C0367200E200DCD5202A0000F50217
+:10DCD0000001202A0000E600DCE5201E0000F3829F
+:10DCE0000001201E0000E600DCF420220000F40207
+:10DCF000000120220000E600DD29F602000187165F
+:10DD0000FFEC0000000176B90001C6B4700076B5E2
+:10DD10000002C6B4580006B4001486B60000971678
+:10DD2000FFF0E000DD989696FFF427140014971397
+:10DD3000FFFC83160000000000019313FFFC959385
+:10DD4000FFFC9596FE7007880008E0012568979310
+:10DD5000FFFC8596FE7020220000E600DD95F602AD
+:10DD600000018716FFEC0000000176B90001C6B47F
+:10DD7000700076B50002C6B4580006B4001486B62A
+:10DD800000009716FFF09696FFF4972E0008E0002B
+:10DD9000DD9C20320000F602000020320000E60088
+:10DDA000DDB0F4820000F704427CE000E09CF6065F
+:10DDB000427E9496FF448716FFF4F6044F58773955
+:10DDC0000006C73070009716FF5406B8001A873651
+:10DDD00000008316FF5476B5001E76B4FFE5C73801
+:10DDE0006FC09313FFFC7738FFF077390006C63019
+:10DDF00070009616FF4C07880008E000CD0097934E
+:10DE0000FFFC20220000E600DE35F30200018496CC
+:10DE1000FF4C000000019493FFFC07880008E0001D
+:10DE2000CD009793FFFC20220000E600DE380000C2
+:10DE30000001F30200019316FF448496FF440000A2
+:10DE4000000120260000E600DE59F60642A4F70491
+:10DE500042A4E000E0A076B1001E8316FF4C8616B7
+:10DE6000FF4C871A00007699001E76B4FFE5C7388C
+:10DE70006FC07739FFF0203A0002E600DE8500002F
+:10DE80000001F6044F58F5844F5800000001C032DD
+:10DE90005A00E600E025000000018496FF4C0000D7
+:10DEA000000106A4001A873600008316FF5476B5D9
+:10DEB000001E76B4FFE5C7386FC07738FFF07739BA
+:10DEC0000006C72C7000C03A3200E600DEDDF60620
+:10DED0004280F7044280E000E0A076B1001E2614E4
+:10DEE0000030F03328008716FFD07631001E7630E0
+:10DEF000FFE58496FF4C2314002E9316FE6475995B
+:10DF0000001E75ACFFE57515001E7528FFE573153D
+:10DF1000001E7318FFE59316FF348316FE64042475
+:10DF2000000206A000027495001E74A4FFE59496FA
+:10DF3000FF3C7495001EC73867C07738FFF0F723A1
+:10DF40002800871A000074A4FFE59496FF2CC738B8
+:10DF50005FC07738FFF0F737280006B40002871655
+:10DF6000FFD42494002A9496FE647625001E763011
+:10DF7000FFE5C73857C07738FFF0F7372800872606
+:10DF8000000006B40002C73867C07738FFF0F737E3
+:10DF9000280006B400028716FFD823140026931623
+:10DFA000FE647619001E8496FF3C7630FFE5C73884
+:10DFB0004FC07738FFF0F7372800871A000006B403
+:10DFC00000028496FF34C73867C07738FFF0F73710
+:10DFD000280006B400028716FFDC231400229316E3
+:10DFE000FE647619001E7630FFE5C7384FC07738DB
+:10DFF000FFF0F7372800871A000006B40002C73880
+:10E0000067C07738FFF0F73728008716FFE08316E0
+:10E01000FF2C06B40002C73837C07738FFF0E000A5
+:10E02000EAA0F737280007880008E000CBCC9793D8
+:10E03000FFFC06A00002F7044F58F037280006A0A6
+:10E0400000149416FF24C72072007738FFFAF737C0
+:10E05000280006A00016F7372800F4820001F4A378
+:10E0600028009413FFFC07880008E000CD00979378
+:10E07000FFFC20220000E600E0BC26940048F704E4
+:10E080004280E000E09CF60642828696FEF4E000C4
+:10E09000E29400000001F7044284F606428476B15F
+:10E0A000001E76B4FFE5F4020000C7386FC0773970
+:10E0B000FFF007380001E000EAA4F73328008316D8
+:10E0C000FF4C7515001E7528FFE59316FF1C0718F9
+:10E0D0000036F4820001F4BB2800F03728008716D0
+:10E0E000FFB876B5001E76B4FFE5041800020620DE
+:10E0F0000002231400469316FF147599001E75AC98
+:10E10000FFE57495001E74A4FFE59496FF0C73154B
+:10E11000001E7318FFE59316FF047495001E74A487
+:10E12000FFE59496FEFC230000079316FEF4849608
+:10E13000FF1C8316FF1404A4000A9496FE7CC738C3
+:10E140006FC07738FFF0F7232800F6844F58849685
+:10E15000FF54871A0000C6A46A007434FFFAC73857
+:10E160005FC07738FFF0F7332800063000028716CB
+:10E17000FFBC231400429316FF147699001E76B458
+:10E18000FFE5C73857C07738FFF0F7332800871A04
+:10E19000000006300002C7386FC07738FFF0F73351
+:10E1A0002800063000028716FFC02494003E949693
+:10E1B000FF1476A5001E8316FF0C76B4FFE5C73862
+:10E1C00037C07738FFF0F733280087260000063085
+:10E1D0000002C7386FC07738FFF0F73328000630E9
+:10E1E00000028716FFC42494003A9496FF1476A583
+:10E1F000001E8316FF0476B4FFE5C73837C07738B2
+:10E20000FFF0F73328008726000006300002C738E9
+:10E210006FC07738FFF0F73328008716FFC8849661
+:10E22000FEFC06300002C7384FC07738FFF0F733E6
+:10E2300028008316FEF400000001201A0007EE00FB
+:10E24000E294F68200088496FE7C00000001072418
+:10E25000000E86BA00007739001E7738FFE5C6B495
+:10E2600077C076B5FFF0F70200FFC6B4740047210F
+:10E270000000C0367200470C0001203A0000E600A2
+:10E28000E08804A400029496FE7C03180001E000DC
+:10E29000E2309316FEF48316FF1C000000010718FD
+:10E2A0000038F6BB28009313FFFC8496FF2400007F
+:10E2B00000019493FFFC07880008E000D42C97939A
+:10E2C000FFFC231400789316FEBC849600002314F0
+:10E2D00000A886A60004872600009316FE9CC6B4FC
+:10E2E00070009696FEECF7020001C7347400971692
+:10E2F000FEE48496FF24000000019493FFFC07884D
+:10E300000008E000D4B49793FFFCF7044F580000D6
+:10E310000001C0227200E600EAA19416FF1C86A24A
+:10E3200000387721001E7738FFE5F30200009316CE
+:10E33000FED4C6B477C076B5FFF09696FEDC849620
+:10E34000FED4000000012026000EEE00E2F0F302F1
+:10E35000000F9313FFFC8316FEEC00000001C718AA
+:10E3600048009713FFFC07880008E00127E897930F
+:10E37000FFFCC3A000008496FEE4000000012026FC
+:10E380000000E600E38D239C0007C3803A00C71C11
+:10E3900038008316FF1CF48200FFF6044F58C7189C
+:10E3A00070000738002686BA00009716FEC4773939
+:10E3B000001E7738FFE5C6B477C076B5FFF0C6B467
+:10E3C0004C0076B50006C330680007300040C01A24
+:10E3D0007200E600E40D9316FECC9313FFFC9396B7
+:10E3E000FE749616FE6C9696FE6807880008E0009C
+:10E3F000CD009793FFFC8396FE748616FE6C86967E
+:10E40000FE6820220000E600E09500000001F5848F
+:10E410004F588496FECC072C0040C0267200E600C0
+:10E42000EA8D00000001A732680276A5001E76B4CE
+:10E43000FFE58616FECCC7386FC07739FFF0203A6B
+:10E440000002E600E451C0325A00C62C0000C0327F
+:10E450005A00E600E6E5251400768316FF1C849634
+:10E46000FEBC061800028732000076B1001E76B4AA
+:10E47000FFE58316FEDC0630000275B1001EC738CA
+:10E480006FC07738FFF0F72728008732000075AC9F
+:10E49000FFE5C7385FC07738FFF0F72B2800251459
+:10E4A0000074063000028732000076B1001E76B498
+:10E4B000FFE5C7386FC07738FFF0F72B2800251429
+:10E4C0000072063000028732000076B1001E76B47A
+:10E4D000FFE5C7386FC07738FFF0F72B2800251409
+:10E4E0000070063000028732000076B1001E76B45C
+:10E4F000FFE5C7386FC07738FFF0F72B28002514E9
+:10E50000006E063000028732000076B1001E76B43D
+:10E51000FFE5C7386FC07738FFF0F72B28002514C8
+:10E52000006C063000028732000076B1001E76B41F
+:10E53000FFE5C7386FC07738FFF0F72B28002514A8
+:10E54000006A063000028732000076B1001E76B401
+:10E55000FFE5C7386FC07738FFF0F72B280006308B
+:10E56000000287320000251400687631001E7630E4
+:10E57000FFE5C73867C07738FFF0F72B2800C71CC6
+:10E5800032009713FFFC9493FFFC2614006096134F
+:10E59000FFFC9616FE6C07880008E000D0DC97931D
+:10E5A000FFFC8716FFA08616FE6C8496FECC231413
+:10E5B000005E9316FE5C7599001E75ACFFE5741540
+:10E5C000001E7420FFE57315001E7318FFE59316F7
+:10E5D000FEAC8316FE5C7631001E7630FFE5052426
+:10E5E000000206A800027495001E74A4FFE594962C
+:10E5F000FEB47495001EC73867C07738FFF0F72B5C
+:10E600002800871A000074A4FFE59496FEA4C7387A
+:10E610005FC07738FFF0F737280006B4000287168E
+:10E62000FFA42494005A9496FE5C7625001E83165F
+:10E63000FEB47630FFE5C73837C07738FFF0F737DC
+:10E6400028008726000006B40002C73867C0773864
+:10E65000FFF0F737280006B400028716FFA82494BD
+:10E6600000569496FE5C7625001E7630FFE5C7388E
+:10E6700047C07738FFF0F73728008726000006B438
+:10E680000002C73867C07738FFF0F737280006B4B4
+:10E6900000028716FFAC231400529316FE5C761915
+:10E6A000001E8496FEAC7630FFE5C7384FC0773841
+:10E6B000FFF0F7372800871A000006B40002C738B9
+:10E6C00067C07738FFF0F73728008716FFB083164A
+:10E6D000FEA406B40002C73837C07738FFF0E00068
+:10E6E000EA8CF73728008496FECC0000000104A4D1
+:10E6F00000369496FE5C8726000076A5001E76B450
+:10E70000FFE5C7386FC07739FFF0203A0000470CAB
+:10E710000001203A0000E600EA8D000000018316A7
+:10E72000FECC8496FF1C0618003A85B20000072430
+:10E73000003A86BA00007631001E7630FFE5773960
+:10E74000001E7738FFE5C5AC67C0C6B477C075ADAD
+:10E75000FFF076B5FFF0C02E6A00EC00E764F5022A
+:10E760000002F50200018316FF1C000000010718DB
+:10E77000003686BA00007739001E7738FFE5C6B448
+:10E7800077C076B5FFF020360002E600E79C000077
+:10E790000001202A0001E600EA8D000000018496B5
+:10E7A000FE5C8316FF1CF52728000618000287323E
+:10E7B000000076B1001E76B4FFE5251400A684960D
+:10E7C000FE9C8316FEDC0630000275B1001EC738C1
+:10E7D0006FC07738FFF0F72728008732000075AC4C
+:10E7E000FFE5C7385FC07738FFF0F72B2800251406
+:10E7F00000A4063000028732000076B1001E76B415
+:10E80000FFE5C7386FC07738FFF0F72B28002514D5
+:10E8100000A2063000028732000076B1001E76B4F6
+:10E82000FFE5C7386FC07738FFF0F72B28002514B5
+:10E8300000A0063000028732000076B1001E76B4D8
+:10E84000FFE5C7386FC07738FFF0F72B2800251495
+:10E85000009E063000028732000076B1001E76B4BA
+:10E86000FFE5C7386FC07738FFF0F72B2800251475
+:10E87000009C063000028732000076B1001E76B49C
+:10E88000FFE5C7386FC07738FFF0F72B2800251455
+:10E89000009A063000028732000076B1001E76B47E
+:10E8A000FFE5C7386FC07738FFF0F72B2800063038
+:10E8B000000287320000251400987631001E763061
+:10E8C000FFE5C73867C07738FFF0F72B2800C71C73
+:10E8D00032009713FFFC9493FFFC261400909613CC
+:10E8E000FFFC9616FE6C07880008E000D0DC9793CA
+:10E8F000FFFC8716FF708616FE6C8496FECC239470
+:10E90000008E759D001E75ACFFE57315001E731813
+:10E91000FFE59316FE947415001E7420FFE5731531
+:10E92000001E7318FFE59316FE848316FE9476315D
+:10E93000001E7630FFE50524000206A8000274954B
+:10E94000001E74A4FFE59496FE8CC73867C0773824
+:10E95000FFF0F72B28008496FEC4871E0000752563
+:10E96000001EC7385FC07738FFF0F737280006B4BD
+:10E9700000028716FF742394008A761D001E7630ED
+:10E98000FFE58496FE8C7528FFE5C73837C07738D9
+:10E99000FFF0F7372800871E000006B40002831638
+:10E9A000FE84C73867C07738FFF0F737280006B411
+:10E9B00000028716FF7823940086761D001E7630AD
+:10E9C000FFE5C73847C07738FFF0F7372800871EC4
+:10E9D000000006B40002C73867C07738FFF0F73789
+:10E9E000280006B400028716FF7C23940082761D5F
+:10E9F000001E7630FFE5C7384FC07738FFF0F73795
+:10EA00002800871E000006B400028496FEC4C738A2
+:10EA100067C07738FFF0F73728008716FF8006B405
+:10EA20000002C73837C07738FFF0F737280087264D
+:10EA30000000F30200FFC73857C07738FFE8C6B8B8
+:10EA40003400F7020080C73474007739001077393A
+:10EA5000FFF0203A0000E600EA6127000100C6B49A
+:10EA600075808496FECC0000000107240038F6BBB8
+:10EA700028009493FFFC8316FF24000000019313E9
+:10EA8000FFFC07880008E000D42C9793FFFC8496D5
+:10EA9000FED40000000104A40001E000E33C9496D1
+:10EAA000FED4F40200018796FFFC8296FFF8021460
+:10EAB0000004013C0000000000019293FFFC029062
+:10EAC0000008861600088696000CF502FFFC859665
+:10EAD000000484160010F484E0000730000294B2B1
+:10EAE0000010F484E00406B4000394B20014F4842B
+:10EAF000E01CC6B4540094B20018F4820005F4B3CC
+:10EB00002800F4820001F4BB280027340008973263
+:10EB1000000486160000072C0003C7385400C6B84E
+:10EB200068009693FFFCC63072009613FFFCF70254
+:10EB30000003C5AC7400F7020004C7385A009713ED
+:10EB4000FFFC07880008C12000009793FFFC879610
+:10EB5000FFFC8296FFF802140014013C0000000044
+:10EB600000019293FFFC0290000822100018871603
+:10EB700000040000000183BA000084960000939610
+:10EB8000FFF0F3846E54873A00049396FFEC9716D7
+:10EB9000FFF49013FFFC271C00029713FFFC0724CF
+:10EBA00000209713FFFC9496FFE407880008E0001C
+:10EBB000CDB89793FFFC8496FFE4839600088726E0
+:10EBC00000188516FFECC03A3A00EE00EC7CF582A6
+:10EBD0000001872600188396000800000001C01E6F
+:10EBE0007200E600EC7CC584000086A60010871643
+:10EBF000FFF0F6020000C0367200E600EC1C0424B0
+:10EC0000001086A600148716FFF400000001C0362D
+:10EC10007200E600EC2020320000F60200012032F3
+:10EC20000000E600EC2D00000001F582000086A245
+:10EC300000008716FFF000000001C0367200E200FD
+:10EC4000EC68F6020000C0367200E600EC7020327C
+:10EC5000000086A200048716FFF400000001C03601
+:10EC60007200E200EC7120320000F6020001203256
+:10EC70000000E600EC81202E0000F5820001202E2D
+:10EC80000000E600ECACF7020001F704429CF60637
+:10EC9000429C76B1001E76B4FFE5C7386FC0773965
+:10ECA000FFF007380001F7332800F7020001972A28
+:10ECB000000883A6000C772CFFE193AA000C972A8A
+:10ECC000001C83A6001CF7046E5093AA002083BA90
+:10ECD0001DDCF682000093AA002C8396000CC5B4BC
+:10ECE000000093AA003083BA0010C634000093AA33
+:10ECF0000024873A001400000001972A00282036DB
+:10ED0000001FEE00ED1CC73050000738003495BAE4
+:10ED1000000006300004E000ECFC06B4000183961D
+:10ED2000001076A5001E9393FFFCF38200B493932A
+:10ED3000FFFC9513FFFC8726002076B4FFE5C7385B
+:10ED40006FC07739FFF09713FFFC8396FFEC00004C
+:10ED500000019393FFFC07880008E000EAB897934E
+:10ED6000FFFC8796FFFC8296FFF802140014013C1A
+:10ED70000000000000019293FFFC029000082210A6
+:10ED800000188716000400000001863A0000000009
+:10ED900000019616FFF0873A000400000001971664
+:10EDA000FFF4F6021DE09613FFFC8616000000003B
+:10EDB00000019613FFFCF6046E500000000196134C
+:10EDC000FFFC261400109616FFEC07880008E001EF
+:10EDD00026F89793FFFCF6846E50F6020000873603
+:10EDE0001DD89616FFE476B5001E76B4FFE5C73849
+:10EDF0006FC07738FFF0F68642C0F73728008616D6
+:10EE0000FFEC000000019613FFFC07880008E000FB
+:10EE1000DBB49793FFFC20220000E600EE4D0000DB
+:10EE200000018616FFEC000000019613FFFC078826
+:10EE30000008E000D5A09793FFFC20220000E60028
+:10EE4000EE4D00000001F60200019616FFE4841664
+:10EE5000FFE48796FFFC8296FFF802140008013C4D
+:10EE60000000000000019293FFFC029000088696CB
+:10EE70000004861600008736000885960008203AB0
+:10EE80000000E600EE99203A0003E600EEE9F40205
+:10EE90000000E000EF0C0000000177B0001F703EA2
+:10EEA000FFE1E600EF0DF4020000851600140000FB
+:10EEB00000019513FFFC851600100000000195135A
+:10EEC000FFFC8516000C000000019513FFFC9593D4
+:10EED000FFFC9693FFFC07880008E000EB60979327
+:10EEE000FFFCE000EF0C0000000177B0001E703E58
+:10EEF000FFE1E600EF0D000000019593FFFC969303
+:10EF0000FFFC07880008E000ED749793FFFC8796EC
+:10EF1000FFFC8296FFF802140018013C000000007C
+:10EF200000019293FFFC0290000822100018F48266
+:10EF3000000086960000F6044AA023940010843650
+:10EF400000009616FFE4F7044A9C9416FFF08536FD
+:10EF50000004C0327200EC00F0149516FFF4773113
+:10EF60000001C738600077390002F3064A98C6B836
+:10EF7000300006B4000CC584000087360000000095
+:10EF80000001C03A4200E600EFA4C6240000873624
+:10EF9000000400000001C03A5200E600EFA8203251
+:10EFA0000000F602000120320000E600EFB500008C
+:10EFB0000001F5820000863600008716FFF0000091
+:10EFC0000001C0327200E200EFF0F5020000C03232
+:10EFD0007200E600EFF8202A000086B600048716CB
+:10EFE000FFF400000001C0367200E200EFF9202AB1
+:10EFF0000000F5020001202A0000E600F009202EA2
+:10F000000000F5820001202E0000E600F018202606
+:10F010000000F482000120260000E600F04DF60218
+:10F0200000018716FFE4F3064A9876B90001C6B4DA
+:10F03000700076B50002C6B4300006B4001486B67F
+:10F0400000009716FFE8E000F0B09696FFEC27145A
+:10F05000001C9713FFFC9393FFFCF3064A9893134D
+:10F06000FFFC07880008E00125689793FFFC202239
+:10F070000000E600F0ADF60200018716FFE4F3069B
+:10F080004A9876B90001C6B4700076B50002C6B4DD
+:10F09000300006B4001486B600009716FFE8969676
+:10F0A000FFECF7054AA0E000F0B420320000F602C1
+:10F0B000000020320000E600F121F4020001871672
+:10F0C000FFE8F60642C876B90002C6B4700076B50D
+:10F0D0000002A736600283160004C6B460007635CD
+:10F0E000001E7630FFE50534000275A9001EC73802
+:10F0F00067C07738FFF0971A0000872A000075ACC8
+:10F10000FFE583160008C7385FC07738FFF0971A0D
+:10F1100000008316000C06B40004E000F124969A67
+:10F120000000F40200008796FFFC8296FFF80214AC
+:10F130000010013C0000000000010000B9000000C8
+:10F14000BA000000BB000000BC000000BD000000D1
+:10F15000BE000000BF000000800000008100000031
+:10F160008200000083000000840000008500000091
+:10F17000860000008700B9B9B9BAB9BBB9BCB9BD3E
+:10F18000B9BEB9BFB980B981B982B983B984B9852B
+:10F19000B986B987BAB9BABABABBBABCBABDBABE2F
+:10F1A000BABFBA80BA81BA82BA83BA84BA85BA863B
+:10F1B000BA87BBB9BBBABBBBBBBCBBBDBBBEBBBFCD
+:10F1C000BB80BB81BB82BB83BB84BB85BB86BB874B
+:10F1D000BCB9BCBABCBBBCBCBCBDBCBEBCBFBC80AB
+:10F1E000BC81BC82BC83BC84BC85BC86BC87BDB9E9
+:10F1F000BDBABDBBBDBCBDBDBDBEBDBFBD80BD81BB
+:10F20000BD82BD83BD84BD85BD86BD87BEB9BEBA86
+:10F21000BEBBBEBCBEBDBEBEBEBFBE80BE81BE82CA
+:10F22000BE83BE84BE85BE86BE87BFB9BFBABFBB24
+:10F23000BFBCBFBDBFBEBFBFBF80BF81BF82BF83DA
+:10F24000BF84BF85BF86BF8780B980BA80BB80BCC2
+:10F2500080BD80BE80BF80808081808280838084EA
+:10F2600080858086808781B981BA81BB81BC81BD60
+:10F2700081BE81BF818081818182818381848185FA
+:10F280008186818782B982BA82BB82BC82BD82BEFE
+:10F2900082BF82808281828282838284828582860A
+:10F2A000828783B983BA83BB83BC83BD83BE83BF9C
+:10F2B000838083818382838383848385838683871A
+:10F2C00084B984BA84BB84BC84BD84BE84BF84807A
+:10F2D000848184828483848484858486848785B9B8
+:10F2E00085BA85BB85BC85BD85BE85BF858085818A
+:10F2F00085828583858485858586858786B986BA56
+:10F3000086BB86BC86BD86BE86BF86808681868299
+:10F310008683868486858686868787B987BA87BBF3
+:10F3200087BC87BD87BE87BF8780878187828783A9
+:10F33000878487858786878700009293FFFC0290E9
+:10F34000000822100018F70475EC00000001203AB4
+:10F350000000E600F37DF6064296F704429476B18B
+:10F36000001E76B4FFE5F4020000C7386FC077399D
+:10F37000FFF007380001E000F5E0F7332800F384E0
+:10F380006F309013FFFC271C00029713FFFC8316BD
+:10F390000000000000019313FFFC9396FFEC078828
+:10F3A0000008E000CDB89793FFFC8396FFECF702CE
+:10F3B0000000971E00088316000400000001931E41
+:10F3C000000C83160008049C0022931E001C831668
+:10F3D000000C9396FFF4871A00007699001E76B40D
+:10F3E000FFE50618000275B1001E75ACFFE5C738D1
+:10F3F0006FC07738FFF0069C0020F737280087326F
+:10F4000000009696FFE47535001EC7385FC0773858
+:10F41000FFF0F7272800049C002406300002873202
+:10F42000000076B1001E76B4FFE5C7386FC07738AC
+:10F43000FFF0F7272800049C0026063000028732E0
+:10F44000000076B1001E76B4FFE5C7386FC077388C
+:10F45000FFF0F7272800049C0028063000028732BE
+:10F46000000076B1001E76B4FFE5C7386FC077386C
+:10F47000FFF0F7272800049C002A0630000287329C
+:10F48000000076B1001E76B4FFE5C7386FC077384C
+:10F49000FFF0F7272800049C002C0630000287327A
+:10F4A000000076B1001E76B4FFE5C7386FC077382C
+:10F4B000FFF0F7272800049C002E06300002873258
+:10F4C000000076B1001E76B4FFE5C7386FC077380C
+:10F4D000FFF0F72728000630000287320000049C66
+:10F4E00000307631001E7630FFE5C73867C07738C8
+:10F4F000FFF0F7272800871E00207528FFE5C73892
+:10F5000057C07739FFF0203A0008EE00F598F3066F
+:10F5100014D88316FFE4871E00207699001E76B467
+:10F52000FFE5C7386FC07739FFF025B80001C4ACDC
+:10F53000580004240001202E0000EC00F595F5028F
+:10F5400000008316FFE40000000106180002A73245
+:10F550005802C6B0580076B5001E76B4FFE5C7382D
+:10F560006FC07739FFE8C6B0400077B80018703E2A
+:10F57000FFE1E600F57DF7376800F502FFFFC730D1
+:10F580004800F53B680024A4000224200002E000AB
+:10F59000F53425AC0001F30614D89313FFFCF302F5
+:10F5A00000349313FFFC8316FFF400000001931353
+:10F5B000FFFC8316000000000001871A0000769906
+:10F5C000001E76B4FFE5C7386FC07739FFF0971398
+:10F5D000FFFC9393FFFC07880008E000EAB89793CC
+:10F5E000FFFC8796FFFC8296FFF802140010013C96
+:10F5F0000000000000019293FFFC0290000822101E
+:10F600000010F70475EC00000001203A0000E6004D
+:10F61000F639F6064296F704429476B1001E76B4A7
+:10F62000FFE5F4020000C7386FC07739FFF00738F4
+:10F630000001E000F748F7332800F5046F300000C0
+:10F6400000019516FFF49013FFFC27280002971382
+:10F65000FFFC85960004000000019593FFFC0788DD
+:10F660000008E000CDB89793FFFC85160004F60271
+:10F67000000086AA00007729001E7738FFE5C6B48F
+:10F6800077C076B5FFF0F7020001C0367400E600DF
+:10F69000F6999696FFECC63800009613FFFC859607
+:10F6A000FFEC8516FFF4472CFFFE07380002C72841
+:10F6B00072009713FFFC85960000000000019593EF
+:10F6C000FFFC07880008E000CDB89793FFFC851683
+:10F6D000FFF4F7020002972A000885960008000050
+:10F6E000000195AA000C8596000C0000000195AA67
+:10F6F000001CF50614D89513FFFCF58200209593A5
+:10F70000FFFC8516FFF4000000019513FFFC8596B1
+:10F7100000008516FFEC872E000076AD001E76B443
+:10F72000FFE5C7386FC07739FFF0C738500097132F
+:10F73000FFFC8596FFF4000000019593FFFC07880D
+:10F740000008E000EAB89793FFFC8796FFFC8296DA
+:10F75000FFF802140010013C000000000001929329
+:10F76000FFFC0290000885960000851600048716AD
+:10F770000008F602FFFC06A80003C6B464000738C0
+:10F780000003C7386400C73470009713FFFCC5AC92
+:10F790006A009593FFFCF7020003C5287400F70286
+:10F7A0000004C73852009713FFFC07880008E001E7
+:10F7B00014D89793FFFC8796FFFC8296FFF80214FB
+:10F7C0000010013C0000000000019293FFFC029039
+:10F7D000000822100010F70475EC00000001203A28
+:10F7E0000000E600F80DF6064296F704429476B162
+:10F7F000001E76B4FFE5F4020000C7386FC0773909
+:10F80000FFF007380001E000F920F7332800F50485
+:10F810006F30000000019516FFF49013FFFC2728BD
+:10F8200000029713FFFC85960004000000019593E9
+:10F83000FFFC07880008E000CDB89793FFFC851611
+:10F840000004F602000086AA00007729001E77381F
+:10F85000FFE5C6B477C076B5FFF0F7020001C03609
+:10F860007400E600F86D9696FFECC638000096131B
+:10F87000FFFC8596FFEC8516FFF4472CFFFE07384A
+:10F880000002C72872009713FFFC85960000000055
+:10F8900000019593FFFC07880008E000CDB897931E
+:10F8A000FFFC8516FFF4F5820006F5AB280085966F
+:10F8B00000080728000295AA00040514000E852AF6
+:10F8C000000077A9001E77BCFFE5C5287FC0752919
+:10F8D000FFF0F53B2800F58614D89593FFFCF50260
+:10F8E00000089513FFFC859600008516FFEC872E17
+:10F8F000000076AD001E76B4FFE5C7386FC07739DB
+:10F90000FFF0C73850009713FFFC8596FFF4000006
+:10F9100000019593FFFC07880008E000F75C9793CF
+:10F92000FFFC8796FFFC8296FFF802140010013C52
+:10F930000000000000019293FFFC029000082210DA
+:10F940000008F70475EC83960004203A0000E600F6
+:10F95000FA64F6064296F5046F309013FFFC2728F0
+:10F9600000029713FFFC83160000000000019313B0
+:10F97000FFFC9396FFF49516FFF007880008E0005F
+:10F98000CDB89793FFFC8516FFF0F302000783962E
+:10F99000FFF4F32B280007280002F3020001F33BD9
+:10F9A0002800871E0000769D001E76B4FFE5059CAA
+:10F9B0000002762D001E7630FFE5749D001E74A4B3
+:10F9C000FFE5041C000683160000C7386FC07738B7
+:10F9D000FFF006A80004F7372800872E000006A8CD
+:10F9E000000675A1001EC73867C07738FFF0F737EB
+:10F9F0002800871E000475ACFFE506A800087619EC
+:10FA0000001EC7384FC07738FFF0F737280087222D
+:10FA1000000006A8000AC7385FC07738FFF0F73744
+:10FA20002800F30614D89313FFFCF302000C931381
+:10FA3000FFFC8316000000000001871A00007630EA
+:10FA4000FFE5C73867C07739FFF09713FFFC9513C0
+:10FA5000FFFC07880008E000F75C9793FFFCE000DC
+:10FA6000FA8400000001F704429476B1001E76B4D7
+:10FA7000FFE5F4020000C7386FC07739FFF00738A0
+:10FA80000001F73328008796FFFC8296FFF80214E6
+:10FA90000008013C0000000000019293FFFC02906E
+:10FAA000000822100048F70475EC85960000203A03
+:10FAB0000000E600FD98F606429605AC0002872E8F
+:10FAC000000076AD001E76B4FFE52414001E062C5F
+:10FAD00000027531001E249400207528FFE5F38490
+:10FAE0006E50C7386FC07738FFF0F727280087328D
+:10FAF00000009396FFC4C73857C07738FFF0F7234C
+:10FB000028002414001C063000028732000076B161
+:10FB1000001E76B4FFE5C7386FC07738FFF0F723D3
+:10FB200028002414001A063000028732000076B143
+:10FB3000001E76B4FFE5C7386FC07738FFF0F723B3
+:10FB4000280024140018063000028732000076B125
+:10FB5000001E76B4FFE5C7386FC07738FFF0F72393
+:10FB6000280024140016063000028732000076B107
+:10FB7000001E76B4FFE5C7386FC07738FFF0F72373
+:10FB8000280024140014063000028732000076B1E9
+:10FB9000001E76B4FFE5C7386FC07738FFF0F72353
+:10FBA000280024140012063000028732000076B1CB
+:10FBB000001E76B4FFE5C7386FC07738FFF0F72333
+:10FBC000280006300002873200002414001076312D
+:10FBD000001E7630FFE5C73867C07738FFF0F7239F
+:10FBE00028009013FFFC271C00029713FFFC94933E
+:10FBF000FFFC9596FFBC07880008E000CDB89793FE
+:10FC0000FFFC8596FFBC231400362494003873A5AE
+:10FC1000001E739CFFE5F40442C0F68642C076B530
+:10FC2000001E76B4FFE5872E0000762D001E76308C
+:10FC3000FFE5C4206FC07420FFF005AC0002752DF5
+:10FC4000001E7528FFE5C73867C07738FFF0F72733
+:10FC50002800872E0000F6046E50C73857C077384A
+:10FC6000FFF0F71B28002314003405AC0002872E98
+:10FC7000000076AD001E76B4FFE5C7386FC0773858
+:10FC8000FFF0F71B28002314003205AC0002872E7A
+:10FC9000000076AD001E76B4FFE5C7386FC0773838
+:10FCA000FFF0F71B28002314003005AC0002872E5C
+:10FCB000000076AD001E76B4FFE5C7386FC0773818
+:10FCC000FFF0F71B28002314002E05AC0002872E3E
+:10FCD000000076AD001E76B4FFE5C7386FC07738F8
+:10FCE000FFF0F71B28002314002C05AC0002872E20
+:10FCF000000076AD001E76B4FFE5C7386FC07738D8
+:10FD0000FFF0F71B28002314002A05AC0002872E01
+:10FD1000000076AD001E76B4FFE5C7386FC07738B7
+:10FD2000FFF0F71B280005AC0002872E000023140B
+:10FD3000002875AD001E75ACFFE5C7385FC0773889
+:10FD4000FFF0F71B28008716FFC8F6820003C738AC
+:10FD50003FC096B2000806B01DD8F4372800F386DD
+:10FD600014D89393FFFCF3821DE09393FFFC96134A
+:10FD7000FFFC7739FFF09713FFFC8396FFC4000068
+:10FD800000019393FFFC07880008E000EAB897930E
+:10FD9000FFFCE000FDB800000001F704429476B1DA
+:10FDA000001E76B4FFE5F4020000C7386FC0773953
+:10FDB000FFF007380001F73328008796FFFC829692
+:10FDC000FFF802140004013C0000000000019293BF
+:10FDD000FFFC029000088616000000000001873238
+:10FDE000000076B1001E76B4FFE5C7386FC07739E2
+:10FDF000FFF0203A0006E600FE21F582001EF7041F
+:10FE000042A8F60642A876B1001E76B4FFE5C738D0
+:10FE10006FC07739FFF007380001E000FE34F73398
+:10FE20002800F6056F349593FFFC07880008E00072
+:10FE300015849793FFFC8796FFFC8296FFF80214C7
+:10FE40000004013C0000000000019293FFFC0290BE
+:10FE5000000886160000859600048732000076B1FF
+:10FE6000001E76B4FFE5C7386FC07739FFF0203A3F
+:10FE70000007E600FE9DF4020000F70442A8F60623
+:10FE800042AA76B1001E76B4FFE5C7386FC0773955
+:10FE9000FFF007380001E000FF1CF73328000730AF
+:10FEA000000286BA00007739001E7738FFE5C6B435
+:10FEB00077C076B5FFF020360001E600FED5F605E6
+:10FEC0006F3420360002E600FEE5F5020020E00077
+:10FED000FEFCF60642AC202E000CE600FF1CF402ED
+:10FEE0000000F502001F9513FFFC07880008E000E2
+:10FEF00015849793FFFCE000FF1CF4020001F70457
+:10FF000042AC76B1001E76B4FFE5C7386FC07739D2
+:10FF1000FFF007380001F7332800F402000187964C
+:10FF2000FFFC8296FFF802140008013C000000006C
+:10FF300000019293FFFC02900008869600000000EA
+:10FF4000000187360004F6020000073800089736E3
+:10FF500000048736000800000001203A0000EC0091
+:10FF6000FF7DF6856F348736000800000001203AD7
+:10FF70000003EE00FF8020320000F6020001203274
+:10FF80000000E600FFBDF60642AEF7046F34000045
+:10FF90000001873A0008F682FFEC77390002A73AA1
+:10FFA0006802000000019713FFFC07880008E000CA
+:10FFB00015849793FFFCE000FFD800000001F704D0
+:10FFC00042AC76B1001E76B4FFE5C7386FC0773912
+:10FFD000FFF007380001F73328008796FFFC829670
+:10FFE000FFF802140004013C0000000000010000C2
+:10FFF00000170000001A0000001D0000001800009B
+:020000021000EC
+:10000000000056657273696F6E537472696E673A59
+:10001000206D63702D6C34763320332E303863209E
+:1000200044656320313120313939362031333A305B
+:10003000363A313600009293FFFC02900008F70434
+:10004000E00CFF0200009702FF84F7060C3ECFFC95
+:100050007580F60200029602FF8C9002FF88F7047A
+:10006000E02000000001203A0000E6010074F68262
+:100070000000F68200039682FF988796FFFC829626
+:10008000FFF802140000013C000000000001929300
+:10009000FFFC029000082210000CF5021494F505F4
+:1000A0007B00F50EF014F5057B08F706E000F686F8
+:1000B0007B68C7386A00F7057AF0F502004CF682D3
+:1000C000000020360002EE010124F5057AF8C5B4DF
+:1000D0000000C6340000F706E030C72C7000F506BB
+:1000E0006F44B73250029013FFFC9713FFFC9596B4
+:1000F000FFF49616FFF09696FFEC07880008E001E3
+:10010000031C9793FFFC8596FFF48616FFF08696F6
+:10011000FFEC05AC149406B4000120360002EE0199
+:1001200000D506300004F5020022F5056F58F005F1
+:100130006F54F0056F50F0052D408796FFFC8296B6
+:10014000FFF802140000013C00000000000192933F
+:10015000FFFC0290000807880008E00129589793E7
+:10016000FFFCF7020003F705E008F7047AD8F6026F
+:1001700000019602FF94203A0000E6010191F70683
+:100180007AE807880008E00103DC9793FFFCF70694
+:100190007AE8F6020005F63B2800F7067AE0868248
+:1001A000FF44F602000320360000E60101C9F63BD9
+:1001B0002800F7046F648682FF4407380001203668
+:1001C0000000E60101B0F7056F6407880008E00150
+:1001D00000349793FFFC07880008E001008C979398
+:1001E000FFFC07880008E00044289793FFFC07887D
+:1001F0000008E00016F09793FFFC07880008E00174
+:100200000C609793FFFC07880008E00104089793AF
+:10021000FFFC07880008E00000209793FFFC078898
+:100220000008E0000BD89793FFFC07880008E00166
+:100230001D689793FFFC07880008E0011E50979304
+:10024000FFFC07880008E0005F689793FFFC0788C1
+:100250000008E0006DEC9793FFFC07880008E001C0
+:1002600021D09793FFFC07880008E001222C979388
+:10027000FFFC9002FF9407880008E0000BFC9793B6
+:10028000FFFCF40200008796FFFC8296FFF8021440
+:100290000000013C0000000000019293FFFC02906E
+:1002A000000822100008F6020000C5B0000020324D
+:1002B0000002EE010308F5066F44A6AE50020000EE
+:1002C00000018736000000000001203A0001E6012D
+:1002D00002FCF50200029513FFFC9693FFFC959635
+:1002E000FFF49616FFF007880008E001031C9793BF
+:1002F000FFFC8616FFF08596FFF40000000105ACB8
+:100300000004E00102AC063000018796FFFC8296F3
+:10031000FFF802140000013C00000000000192936D
+:10032000FFFC0290000887160000F6020000F6822B
+:100330000008963A0008963A000C963A09D8963A80
+:1003400009DC963A0EF4963A0EF896BA1420963ACC
+:10035000142490BA148C8696000490BA149096BA1D
+:100360000000963A00048796FFFC8296FFF802147C
+:100370000008013C0000000000019293FFFC029085
+:100380000008859600008716000886160004773856
+:10039000FFFFC5307000C0325200E40103C9000005
+:1003A0000001872E000076AD001E76B4FFE5C73849
+:1003B0006FC07738FFF0F733280006300002C032F4
+:1003C0005200E40103A005AC00028796FFFC829670
+:1003D000FFF80214000C013C0000000000019293A1
+:1003E000FFFC02900008F7020001E00103E8F705B6
+:1003F0007AD88796FFFC8296FFF802140000013C31
+:10040000000000000001000000009293FFFC029039
+:100410000008F502000AF50571CCF00571D4F0056D
+:1004200071D0F00571C4F5020001F682000020369B
+:10043000000AEC010464F50571C8F58A1E00F60691
+:1004400071C4472CFFFC973200180630000406B434
+:100450000001F70471CC00000001C0367200EC010D
+:10046000044105AC214CF0057198F5066F689513B1
+:10047000FFFCF5067B189513FFFC07880008E000D9
+:1004800015489793FFFCF50605D49513FFFCF782FA
+:1004900000059793FFFCF5066F689513FFFC07882E
+:1004A0000008E000161C9793FFFCF5060B709513EF
+:1004B000FFFCF78200069793FFFCF5066F68951323
+:1004C000FFFC07880008E000161C9793FFFCF50668
+:1004D0000BA09513FFFCF78200059793FFFCF50630
+:1004E00070809513FFFC07880008E000161C9793A6
+:1004F000FFFCF5060B709513FFFCF782000697933F
+:10050000FFFCF50670809513FFFC07880008E000EB
+:10051000161C9793FFFCF50605589513FFFCF50292
+:10052000000A9513FFFCF506710C9513FFFC078874
+:100530000008E000161C9793FFFC07880008E00104
+:1005400005589793FFFC8796FFFC8296FFF80214EC
+:100550000000013C0000000000019293FFFC0290AB
+:100560000008F70471C400000001203A0000E60111
+:10057000057DF68671C4E0010594F7020000F704DA
+:1005800071D00000000177390002C7386800873A4F
+:10059000001800000001203A0000E60105ACF70554
+:1005A0007B10F606710CE00105C0F6057B18F60617
+:1005B0006F68F6057B189702FF48073821289702D5
+:1005C000FF4C8796FFFC8296FFF802140000013C66
+:1005D0000000000000019293FFFC0290000822102E
+:1005E00000108682FF48F4866F68F4857B18F50456
+:1005F0007B1026B4000285B60000872A0000762909
+:10060000001E7630FFE576B5001E76B4FFE5C5AC7A
+:100610006FC0C73867C07739FFF077B80010703EF9
+:10062000FFE1E601064575ACFFF0F70471AC000090
+:10063000000107380001F70571ACF70471ACE00167
+:1006400008C4F7020001772CFFF8203A0000E60109
+:10065000067176A9001EF70471A800000001073892
+:100660000001F70571A8F70471A8E00108C4F702BA
+:100670000001872A000076B4FFE5C7386FC07739DC
+:10068000FFF027380004203A0003E20108A400002C
+:10069000000177390002F68606A4A6B670020000B3
+:1006A0000001C1340000000106B40001077C000114
+:1006B00007EC00010844872A0004C4840000C03A03
+:1006C0004A00E60106D8000000018702FF4800004A
+:1006D0000001C7385200972A0004872A000400004E
+:1006E0000001203A2100EE01073CF602000086AA34
+:1006F00000048702FF4800000001C7385200273875
+:100700000028C0367200E601073C0000000177FCBB
+:10071000001D703EFFE1E601073C0000000177FC90
+:100720000017703EFFE1E601073D0000000177FC85
+:100730000016703EFFE1E601074420320000F60299
+:10074000000120320000E601088800000001872A2D
+:10075000001800000001203A0002EE0108C100006C
+:100760000001F70471A40000000107380001F7053B
+:1007700071A4F70471A4E00108C4F7020001872AFC
+:10078000000400000001203A2100EE0107E0F6021B
+:10079000000086AA00048702FF4800000001C73855
+:1007A00052002738000CC0367200E60107E0000056
+:1007B000000177FC001D703EFFE1E60107E000004C
+:1007C000000177FC0017703EFFE1E60107E1000041
+:1007D000000177FC0016703EFFE1E6010880203240
+:1007E0000000F6020001E0010880203200008702CC
+:1007F000FF4800000001C738520027380004203AA3
+:100800000008E6010838F682000077FC001D703E03
+:10081000FFE1E60108380000000177FC0017703E98
+:10082000FFE1E60108390000000177FC0016703E88
+:10083000FFE1E601088020360000F6820001E001B9
+:10084000088020360000F702000077FC001D703E93
+:10085000FFE1E60108780000000177FC0017703E18
+:10086000FFE1E60108790000000177FC0016703E08
+:10087000FFE1E6010880203A0000F7020001203A7B
+:100880000000E60108C100000001F70471A00000AB
+:10089000000107380001F70571A0F70471A0E0011D
+:1008A00008C4F7020001F704719C0000000107383A
+:1008B0000001F705719CF704719CE00108C4F70280
+:1008C0000001F7020000203A0000E601096800007C
+:1008D0000001F6847B10000000018736000076B529
+:1008E000001E76B4FFE5C7386FC07739FFF02738B0
+:1008F0000004203A0003E2010B5077390002F6862B
+:10090000090CA6B6700200000001C134000000010D
+:10091000091C00010AE000010AAC00010B14F704F5
+:1009200071D0F60471CC06B80001C0366200E60151
+:100930000938C7340000F7020000F58471D40000C4
+:100940000001C03A5A00470C0001203A0000E601BD
+:10095000098500000001F70471B0000000010738AC
+:100960000001F70571B0F70471B0F70471B400002D
+:10097000000107380001F70571B4F70471B4E00114
+:100980000B5000000001F48471C8F68571D0949674
+:10099000FFF4F4847B10C0366200E60109A494964B
+:1009A000FFECF00571D0F70471D0F00571C88496A2
+:1009B000FFECC03A5A00470C0001F70571C48726C6
+:1009C000000800000001703AFFE1E60109E10000C3
+:1009D0000001F70471980000000107380001F705D5
+:1009E00071988496FFF40000000120260000E601C3
+:1009F0000A710000000107880008E001055897937C
+:100A0000FFFCF602000920320014E6010A4D27001F
+:100A1000000C203A0001E2010A4DF7062DCCF684C5
+:100A20002ECC0000000175B50002B62E700206B48F
+:100A30000001F6852ECC8602FF34F7062E4C2036B8
+:100A4000001FE2010A4DB62E7002F0052ECCF7040D
+:100A50002D6800000001873A000000000001873A7D
+:100A600000280000000107880008C13800009793A3
+:100A7000FFFCF70471BC8496FFEC07380001F70512
+:100A800071BCF70471BC86A600048496FFF4F704D9
+:100A900071B820260000C7386800F70571B8E60174
+:100AA0000B5100000001E0010B5C00000001F704A5
+:100AB00071C00000000107380001F70571C0F7049C
+:100AC00071C0F4847B10000000019493FFFC078840
+:100AD0000008E000FDCC9793FFFCE0010B50000004
+:100AE0000001F70471C00000000107380001F7059C
+:100AF00071C0F70471C0F4847B100000000194936E
+:100B0000FFFC07880008E000FF309793FFFCE0013E
+:100B10000B5000000001F70471C00000000107380D
+:100B20000001F70571C0F70471C0F6847B108702DD
+:100B3000FF4800000001C7386A00273800049713F7
+:100B4000FFFC9693FFFC07880008E000FE4897939F
+:100B5000FFFC07880008E00105589793FFFC879683
+:100B6000FFFC8296FFF802140000013C0000000028
+:100B700000019293FFFC02900008F7067080F705D1
+:100B80007B1807880008E00105589793FFFC8796BB
+:100B9000FFFC8296FFF802140000013C00000000F8
+:100BA00000019293FFFC02900008F7066F68F705BA
+:100BB0007B1807880008E00105589793FFFC87968B
+:100BC000FFFC8296FFF802140000013C00000000C8
+:100BD00000019293FFFC02900008F7067B18971320
+:100BE000FFFCF7066F689713FFFC07880008E0001A
+:100BF00014F49793FFFCF7067B189713FFFCF70696
+:100C00006FF49713FFFC07880008E00014F4979333
+:100C1000FFFCF7067B189713FFFCF706708097130D
+:100C2000FFFC07880008E00014F49793FFFCF70628
+:100C30007B189713FFFCF706710C9713FFFC0788CE
+:100C40000008E00014F49793FFFC8796FFFC82965F
+:100C5000FFF802140000013C000000000001000049
+:100C600000009293FFFC02900008F5020004F505D5
+:100C70007600F0057608F0057604F00575F8F502C3
+:100C80000001F682000020360004EC010CBCF505E2
+:100C900075FCF58E6AF8F60675F8472CFFFC97325E
+:100CA00000180630000406B40001F70476000000C6
+:100CB0000001C0367200EC010C9905AC214CF50620
+:100CC00072189513FFFCF50676489513FFFC07880C
+:100CD0000008E00015489793FFFCF5060DF4951306
+:100CE000FFFCF782000E9793FFFCF5067218951330
+:100CF000FFFC07880008E000161C9793FFFCF50630
+:100D00000DF49513FFFCF782000E9793FFFCF50698
+:100D100072A49513FFFC07880008E000161C979347
+:100D2000FFFCF506132C9513FFFCF7820001979347
+:100D3000FFFCF50673309513FFFC07880008E00000
+:100D4000161C9793FFFCF50616C89513FFFCF78257
+:100D500000019793FFFCF50673BC9513FFFC078811
+:100D60000008E000161C9793FFFCF5061800951389
+:100D7000FFFCF78200109793FFFCF506744895136B
+:100D8000FFFC07880008E000161C9793FFFCF5069F
+:100D900016409513FFFCF78200109793FFFCF506B1
+:100DA00074D49513FFFC07880008E000161C979385
+:100DB000FFFCF506132C9513FFFCF50200129513AA
+:100DC000FFFCF50675609513FFFC07880008E0003E
+:100DD000161C9793FFFCF00575F0F00575ECF00517
+:100DE00075F48796FFFC8296FFF802140000013C20
+:100DF0000000000000019293FFFC02900008221006
+:100E00000038F70475EC00000001203A0000E6010C
+:100E10000E2800000001F70475F000000001203AE0
+:100E20000000E6010E3D0000000107880008E00117
+:100E300015D09793FFFCE001131800000001F704A0
+:100E400075FC00000001203A0000E6010E59F6860C
+:100E500075F8E0010E6CF6820000F70476080000D9
+:100E6000000177390002C738680086BA0018F70415
+:100E700076FC00000001203A0000E6010E90F685A5
+:100E80007660F3067648F30576FCE0010EA4F702DF
+:100E90000001F3020010F30576F8F3067648F30537
+:100EA0007700F7020000203A0000E6011315F30670
+:100EB0007448F70475EC00000001203A0000E601D8
+:100EC0000ED800000001F70475F000000001203A80
+:100ED0000000E6010EED0000000107880008E001B7
+:100EE00016409793FFFCE001131800000001F68400
+:100EF0007660000000018736000800000001703AAB
+:100F0000FFE1E6010F21F48200009693FFFC0788C1
+:100F10000008E00000BC9793FFFCE0011314F30607
+:100F20007560C3B40000841E0010F6844AA0231428
+:100F300000209316FFC49416FFE09696FFD4851EFA
+:100F40000014F7044A9C00000001C0367200EC0156
+:100F5000100C9516FFE477350001C7386800773923
+:100F60000002F3064A98C6B8300006B4000CC584E7
+:100F700000008736000000000001C03A4200E60190
+:100F80000F9CC62400008736000400000001C03A10
+:100F90005200E6010FA020320000F60200012032CC
+:100FA0000000E6010FAD00000001F582000086366A
+:100FB00000008716FFE000000001C0327200E2016D
+:100FC0000FE8F5020000C0327200E6010FF0202A9F
+:100FD000000086B600048716FFE400000001C0365A
+:100FE0007200E2010FF1202A0000F5020001202A20
+:100FF0000000E6011001202E0000F5820001202EE5
+:101000000000E601101020260000F48200012026D6
+:101010000000E6011045F60200018716FFD4F30632
+:101020004A9876B90001C6B4700076B50002C6B41D
+:10103000300006B4001486B600009716FFD8E00111
+:1010400010B89696FFDC2714002C9713FFFC83162C
+:10105000FFC4000000019313FFFCF3064A989313AA
+:10106000FFFC9396FFCC07880008E0012568979362
+:10107000FFFC8396FFCC20220000E60110B5F602AB
+:1010800000018716FFD4F3064A9876B90001C6B46A
+:10109000700076B50002C6B4300006B4001486B6FF
+:1010A00000009716FFD89696FFDCF7054AA0E001EE
+:1010B00010BC20320000F602000020320000E601E1
+:1010C00010CCF4820001E0011124F4820000869625
+:1010D000FFD80000000177350002C7386800773973
+:1010E0000002F68642C8A63A6802C7386800753919
+:1010F000001E7528FFE505B8000286AE000007381F
+:1011000000049716FFECC63057C07630FFF09616F5
+:10111000FFF475AD001E75ACFFE5C6B45FC076B4D4
+:10112000FFF09696FFF020260000E6011138F582C8
+:101130000000E00111CCF60200008696FFF00000EE
+:101140000001C7346800C49C7200C02E6A00EC0124
+:101150001198C5240000C62C00008716FFEC000083
+:101160000001A6B2700205AC0001C73070007739EB
+:10117000001E7738FFE5C6B477C076B4FFF0F6AB53
+:101180002800052800028716FFF000000001C02E8D
+:101190007200EC01115906300002F3020001F30560
+:1011A00076F4F60200018716FFF0869E0004C73829
+:1011B0007000C7384800C6B470008716FFF406B444
+:1011C00000209702FF6C9482FF509682FF582032D5
+:1011D0000000E601131000000001F704765CF584BE
+:1011E00076F807380001F705765CF704765C202E68
+:1011F0000021E2011230F6862C28F7042D38000079
+:10120000000106380001F6052D387739000220323A
+:101210000044E601121CB5BA6802F0052D38F3024D
+:101220000022F30576F8F3047700E0011234F305A9
+:1012300076FCF00576FCF70475F800000001203A12
+:101240000000E6011271F6862C28F7042D38000004
+:10125000000106380001F6052D3877390002F30247
+:10126000000F20320044E6011270B33A6802F00524
+:101270002D38F7047608F684760007380001C03A66
+:101280006A00E601128CF7057608F0057608F68408
+:101290007608F7047604F00575F8F60675F8C0369A
+:1012A0007200470C0001203A0000E60112B9F70570
+:1012B00075FCE00112C8F702000077350002C7385C
+:1012C0006000873A001800000001203A0000E601A3
+:1012D0001309F7057660F7042D38F30672A4F305B9
+:1012E0007648F6862C2806380001F6052D38773921
+:1012F0000002F302000E20320044E6011318B33A54
+:101300006802E0011318F0052D38E0011314F3060C
+:101310007218F3067330F30576488796FFFC8296C1
+:10132000FFF802140000013C00000000000192934D
+:10133000FFFC02900008F70476600000000186BA06
+:101340000004F704765400000001C7386800F70570
+:101350007654F70476580000000107380001F705BD
+:101360007658F70475F8F6847658203A0000E601BE
+:10137000139DF6862C28F7042D380000000106384E
+:101380000001F6052D3877390002F502000F2032F2
+:101390000044E601139CB53A6802F0052D38F704C5
+:1013A0007608F684760007380001C03A6A00E60144
+:1013B00013B8F7057608F0057608F7047608F68482
+:1013C0007604F00575F8F58476F8C03A6A00470CA3
+:1013D0000001202E0021E2011414F70575FCF7042A
+:1013E0002D38F6862C2806380001F6052D38773979
+:1013F000000220320044E6011400B5BA6802F0058C
+:101400002D38F5020022F50576F8F5047700E001A5
+:101410001418F50576FCF00576FCF70475ECF50676
+:101420007218203A0000E6011440F5057648F704EA
+:1014300075F000000001203A0000E601145500009C
+:10144000000107880008E00115D09793FFFCE00138
+:1014500014C400000001F70475FC00000001203AEC
+:101460000000E6011471F68675F8E0011488F702B1
+:101470000000F70476080000000177390002C73841
+:101480006800873A001800000001203A0000E601D9
+:1014900014C5F7057660F7042D38F6862C28063833
+:1014A0000001F6052D3877390002F502000E2032D2
+:1014B0000044E60114BCB53A6802F0052D38F50683
+:1014C00072A4F50576488796FFFC8296FFF8021411
+:1014D0000000013C0000000000019293FFFC02901C
+:1014E0000008F70475EC00000001203A0000E60156
+:1014F0001540F40200008696000400000001F68505
+:1015000075EC8696000800000001F6857B3886960B
+:101510000000F7047648F6857B30F6867218C03AEC
+:101520006A00470C0001203A0000E6011541F40270
+:10153000000107880008E00115D09793FFFCF40232
+:1015400000018796FFFC8296FFF80214000C013C14
+:101550000000000000019293FFFC02900008F704D5
+:1015600075F400000001203A0000E60115BCF40209
+:1015700000008696000400000001F68575F086964E
+:10158000000800000001F6857B4886960000F704FD
+:101590007648F6857B40F6867218C03A6A00470C9A
+:1015A0000001203A0000E60115BDF40200010788A1
+:1015B0000008E00115D09793FFFCF4020001879624
+:1015C000FFFC8296FFF80214000C013C00000000B2
+:1015D00000019293FFFC02900008F70476FC0000E3
+:1015E0000001203A0000E60115FCF6820010F686A4
+:1015F0007648F68576FCE001160CF7020001F685C8
+:1016000076F8F6867648F6857700F7020000203AED
+:101610000000E6011620F68674D4E001162CF6854B
+:10162000764807880008E00116409793FFFC8796EC
+:10163000FFFC8296FFF802140000013C000000004D
+:1016400000019293FFFC02900008F60475F0000080
+:10165000000120320000E6011685F7020001F705BF
+:1016600075F4F6847B48F70576F4F7047B40C6B042
+:10167000680026B400049702FF6C9602FF50E00158
+:1016800016A800000001F70475ECF6847B38F5829B
+:101690000001F58576F4F6047B30C6B8680026B400
+:1016A00000049602FF6C9702FF509682FF58F58661
+:1016B00073BCF58576488796FFFC8296FFF8021486
+:1016C0000000013C0000000000019293FFFC02902A
+:1016D0000008F7047B280000000107380001F70527
+:1016E0007B28F70475F4F6847B28203A0000E60195
+:1016F000172100000001F00575F4F70475EC0000F7
+:101700000001203A0000E6011725F00575F0078872
+:101710000008E00116409793FFFCE00117EC000081
+:101720000001F00575ECF70475FC00000001203A9B
+:101730000000E6011741F68675F8E0011758F70238
+:101740000000F70476080000000177390002C7386E
+:101750006800873A001800000001203A0000E60106
+:101760001795F7057660F7042D38F6862C2806388D
+:101770000001F6052D3877390002F502000E2032FF
+:101780000044E601178CB53A6802F0052D38E001F7
+:101790001798F50672A4F5067218F5057648F584D3
+:1017A00076F800000001202E0021E20117E8F686FD
+:1017B0002C28F7042D380000000106380001F6053A
+:1017C0002D387739000220320044E60117D4B5BA2B
+:1017D0006802F0052D38F5020022F50576F8F504CB
+:1017E0007700E00117ECF50576FCF00576FC8796AE
+:1017F000FFFC8296FFF802140000013C000000008C
+:1018000000019293FFFC0290000822100038F704B8
+:1018100075EC00000001203A0000E60118340000D9
+:101820000001F70475F000000001203A0000E60115
+:1018300018490000000107880008E001164097934E
+:10184000FFFCE0011C7400000001F68476600000DB
+:1018500000018736000800000001703AFFE1E60150
+:10186000187DF48200009693FFFC07880008E000D2
+:1018700000BC9793FFFCE0011C70F3067560C3B4D5
+:101880000000841E0010F6844AA023140020931642
+:10189000FFC49416FFE09696FFD4851E0014F7044B
+:1018A0004A9C00000001C0367200EC0119689516D0
+:1018B000FFE477350001C738680077390002F30686
+:1018C0004A98C6B8300006B4000CC58400008736BC
+:1018D000000000000001C03A4200E60118F8C624EA
+:1018E00000008736000400000001C03A5200E60103
+:1018F00018FC20320000F602000120320000E60150
+:10190000190900000001F5820000863600008716E4
+:10191000FFE000000001C0327200E2011944F5024C
+:101920000000C0327200E601194C202A000086B681
+:1019300000048716FFE400000001C0367200E201D7
+:10194000194D202A0000F5020001202A0000E601BE
+:10195000195D202E0000F5820001202E0000E60116
+:10196000196C20260000F482000120260000E60108
+:1019700019A1F60200018716FFD4F3064A9876B93A
+:101980000001C6B4700076B50002C6B4300006B4DB
+:10199000001486B600009716FFD8E0011A14969638
+:1019A000FFDC2714002C9713FFFC8316FFC40000F4
+:1019B00000019313FFFCF3064A989313FFFC9396E0
+:1019C000FFCC07880008E00125689793FFFC839609
+:1019D000FFCC20220000E6011A11F6020001871652
+:1019E000FFD4F3064A9876B90001C6B4700076B504
+:1019F0000002C6B4300006B4001486B60000971684
+:101A0000FFD89696FFDCF7054AA0E0011A182032AD
+:101A10000000F602000020320000E6011A28F482DD
+:101A20000001E0011A80F48200008696FFD80000D1
+:101A3000000177350002C738680077390002F68662
+:101A400042C8A63A6802C73868007539001E752872
+:101A5000FFE505B8000286AE0000073800049716BF
+:101A6000FFECC63057C07630FFF09616FFF475AD28
+:101A7000001E75ACFFE5C6B45FC076B4FFF0969665
+:101A8000FFF020260000E6011A94F5820000E00134
+:101A90001B28F60200008696FFF000000001C73404
+:101AA0006800C49C7200C02E6A00EC011AF4C524C0
+:101AB0000000C62C00008716FFEC00000001A6B253
+:101AC000700205AC0001C73070007739001E77380E
+:101AD000FFE5C6B477C076B4FFF0F6AB2800052862
+:101AE00000028716FFF000000001C02E7200EC011A
+:101AF0001AB506300002F3020001F30576F4F6028F
+:101B000000018716FFF0869E0004C7387000C738B2
+:101B10004800C6B470008716FFF406B40020970290
+:101B2000FF6C9482FF509682FF5820320000E6013D
+:101B30001C6C00000001F704765CF58476F8073829
+:101B40000001F705765CF704765C202E0021E201A7
+:101B50001B8CF6862C28F7042D380000000106386F
+:101B60000001F6052D387739000220320044E601E5
+:101B70001B78B5BA6802F0052D38F3020022F30590
+:101B800076F8F3047700E0011B90F30576FCF0058E
+:101B900076FCF70475F800000001203A0000E60129
+:101BA0001BCDF6862C28F7042D38000000010638DE
+:101BB0000001F6052D3877390002F302000F2032BC
+:101BC0000044E6011BCCB33A6802F0052D38F70457
+:101BD0007608F684760007380001C03A6A00E6010C
+:101BE0001BE8F7057608F0057608F6847608F70412
+:101BF0007604F00575F8F60675F8C0367200470CE5
+:101C00000001203A0000E6011C15F70575FCE00113
+:101C10001C24F702000077350002C7386000873ABD
+:101C2000001800000001203A0000E6011C65F705DD
+:101C30007660F7042D38F30672A4F3057648F6862D
+:101C40002C2806380001F6052D3877390002F302FA
+:101C5000000E20320044E6011C74B33A6802E00131
+:101C60001C74F0052D38E0011C70F3067218F306A1
+:101C70007330F30576488796FFFC8296FFF80214CE
+:101C80000000013C0000000000019293FFFC029064
+:101C90000008F70676489713FFFCF70672189713AB
+:101CA000FFFC07880008E00014F49793FFFCF70698
+:101CB00076489713FFFCF70672A49713FFFC07887A
+:101CC0000008E00014F49793FFFCF706764897139A
+:101CD000FFFCF70673309713FFFC07880008E0004D
+:101CE00014F49793FFFCF70676489713FFFCF7066A
+:101CF00073BC9713FFFC07880008E00014F4979367
+:101D0000FFFCF70676489713FFFCF7067448971315
+:101D1000FFFC07880008E00014F49793FFFCF70627
+:101D200076489713FFFCF70674D49713FFFC0788D7
+:101D30000008E00014F49793FFFCF7067648971329
+:101D4000FFFCF70675609713FFFC07880008E000AA
+:101D500014F49793FFFC8796FFFC8296FFF8021419
+:101D60000000013C0000000000019293FFFC029083
+:101D70000008F68676689693FFFCF68677049693BD
+:101D8000FFFC07880008E00015489793FFFCF686E3
+:101D90001DD49693FFFC9013FFFCF686766896930D
+:101DA000FFFC07880008E000161C9793FFFCF70271
+:101DB0000022F70576F4F70576F8F00576FCF005D5
+:101DC00077008796FFFC8296FFF802140000013C22
+:101DD0000000000000019293FFFC02900008F7044D
+:101DE00076F400000001203A0022E6011E01000006
+:101DF00000019713FFFC07880008E0001584979303
+:101E0000FFFC8796FFFC8296FFF802140000013C5D
+:101E10000000000000019293FFFC02900008F7060A
+:101E200077049713FFFCF70676689713FFFC078883
+:101E30000008E00014F49793FFFC8796FFFC82965D
+:101E4000FFF802140000013C000000000001000047
+:101E500000009293FFFC02900008F686781096939B
+:101E6000FFFCF68678A49693FFFC07880008E00044
+:101E700015489793FFFCF6861FBC9693FFFCF682ED
+:101E800000149693FFFCF68678109693FFFC078863
+:101E90000008E000161C9793FFFCF005789C900268
+:101EA000FF34F7027FFFF70578A09702FF3087968F
+:101EB000FFFC8296FFF802140000013C00000000C5
+:101EC00000019293FFFC02900008F604789C8716AC
+:101ED000000084960008F5867710873A0008F68699
+:101EE000218C7539000477390002A73A6802203244
+:101EF0000000C6A8580084160004C63075809436C9
+:101F00000004B4AA580287360008F605789C073802
+:101F10000001E6011F2D973600088702FF30000000
+:101F20000001C03A4A00EE011F3500000001F485AF
+:101F300078A09482FF308796FFFC8296FFF8021407
+:101F4000000C013C0000000000019293FFFC029095
+:101F500000088596000000000001872E0008F68624
+:101F6000218C77390002A73A6802F604789CC704EE
+:101F7000760086AE0008C6307400F7067710F605C6
+:101F8000789C76B50004C6B470008736000820320D
+:101F9000000007380001E6011FA897360008F70285
+:101FA0007FFFF70578A09702FF308796FFFC8296A7
+:101FB000FFF802140004013C0000000000019293AD
+:101FC000FFFC0290000822100008F704789C000033
+:101FD0000001203A0000E60120D1F6027FFF9616AC
+:101FE000FFF4F6842D40F606771026B4000177350D
+:101FF0000004C4B86000C338000074350002F6065F
+:102000007710C0266200EC0120C1F606218CF38413
+:10201000789CA722600200000001C01E7400E60147
+:1020200020B10000000186A60000F70478A000009F
+:102030000001C6B4720020360000EE01209896A67A
+:102040000000F7042D38F6067710C5186000F686F4
+:102050002C28862A000405B80001F5852D3877392B
+:102060000002202E0044E6012070B63A6802F00516
+:102070002D38862A000800000001962A000CF6067A
+:10208000218CA722600200000001C7047600C71C53
+:102090007400E00120B0F705789C8616FFF400007C
+:1020A0000001C0366200EC0120B0000000019696ED
+:1020B000FFF424A4001023180010E0011FFC2420CA
+:1020C00000048616FFF400000001F60578A09602D1
+:1020D000FF308796FFFC8296FFF802140000013C57
+:1020E0000000000000019293FFFC02900008871698
+:1020F000000000000001873A0008F6867710773963
+:102100000004C738680086BA000C873A000800004F
+:102110000001C0367200440C00018796FFFC8296D5
+:10212000FFF802140004013C00000000000192933B
+:10213000FFFC02900008F702000F203A0000EC01BB
+:10214000215DF68677189036000027380001C60416
+:102150000000C03A6200E601214406B40010F60611
+:1021600078A49613FFFCF60678109613FFFC0788F8
+:102170000008E00014F49793FFFC8796FFFC82961A
+:10218000FFF802140000013C000000000001000004
+:102190000001000000020000000400000008000030
+:1021A000001000000020000000400000008000003F
+:1021B0000100000002000000040000000800000010
+:1021C000100000002000000040000000800000001F
+:1021D00000009293FFFC02900008F70678B0971376
+:1021E000FFFCF70679CC9713FFFC07880008E00096
+:1021F00015489793FFFCF706222C9713FFFCF70274
+:1022000000159713FFFCF70678B09713FFFC0788BB
+:102210000008E000161C9793FFFC8796FFFC82964F
+:10222000FFF802140000013C00000000000192933E
+:10223000FFFC02900008F6846F440000000187361E
+:10224000000000000001203A0002E6012270F602C0
+:10225000000087360EF486B60EF800000001C03A82
+:102260006A00470C0001203A0000E6012278203283
+:102270000000F602000120320000E6012294000076
+:102280000001F70432E800000001203A0000E601F6
+:1022900022B1F58203E80F814000F70479C80000FD
+:1022A000000107380001F70579C8F70479C8F582FD
+:1022B00003E89593FFFCF58200159593FFFCF586E6
+:1022C00079CC9593FFFC07880008E0011EC0979326
+:1022D000FFFC8796FFFC8296FFF802140000013C89
+:1022E0000000000000019293FFFC02900008F70636
+:1022F00079CC9713FFFCF70678B09713FFFC07889B
+:102300000008E00014F49793FFFCF70679CC9713CC
+:10231000FFFCF706793C9713FFFC07880008E000F4
+:1023200014F49793FFFC8796FFFC8296FFF8021443
+:102330000000013C000000000001C13C0000021050
+:1023400000049293FFFC029000082210000C859676
+:1023500000000000000186AE0004000000012036ED
+:102360000000E60123842714000C872E00040000DF
+:10237000000107380001972E0004872E0004E001B9
+:1023800024349696FFF49713FFFC85160004000092
+:1023900000019513FFFC9593FFFC9596FFEC0788D1
+:1023A0000008E00125689793FFFC8596FFEC20224A
+:1023B0000000E60124340000000186AE0004861609
+:1023C000FFF400000001C0366200EE0124217735E1
+:1023D0000001C738680077390002C6B85800773165
+:1023E0000001C738600077390002C73858008536C9
+:1023F0000000000000019536000C85360004000046
+:102400000001953600108536000800000001953661
+:10241000001426B4000CC0367200EE0123EC00005C
+:102420000001872E00040000000107380001972EEC
+:102430000004872E00048696FFF485160004773585
+:102440000001C738680077390002C72C7000852A60
+:10245000000000000001953A000C85160004000001
+:102460000001852A000400000001953A001085163D
+:102470000008F4020001953A001496AE0008879611
+:10248000FFFC8296FFF80214000C013C00000000E3
+:1024900000019293FFFC029000082210000C859628
+:1024A000000000000001842E000400000001202232
+:1024B0000000E60125552714000C9713FFFC851634
+:1024C0000004000000019513FFFC9593FFFC959616
+:1024D000FFEC07880008E00125689793FFFC8596CC
+:1024E000FFEC20220000E6012555000000018616C1
+:1024F000FFF40000000120320000EE012545773195
+:102500000001C6AC0000C738600077390002C73848
+:10251000580085360018000000019536000C8536FD
+:10252000001C0000000195360010853600200000D8
+:1025300000019536001406B4000CC0367200EC01A0
+:10254000251100000001872E0004F4020001273845
+:102550000001972E00048796FFFC8296FFF8021474
+:102560000008013C0000000000019293FFFC029073
+:102570000008221000088396000483160000C5009E
+:102580000000841A0004C4A800009416FFF4C026BA
+:102590004200E60126D1000000018316FFF400008E
+:1025A0000001C02A3200E60126D1C7204A00951654
+:1025B000FFF476B8FFE1C73868007739FFFFC5241C
+:1025C000700077290001C738500077390002831660
+:1025D0000000869E0000C5B8300005AC000C872EB8
+:1025E0000000C6000000C0367200E601261020324E
+:1025F0000000869E0004872E000400000001C03603
+:102600007200E601261020320000F602000120329E
+:102610000000E601262500000001C7000000E001DF
+:102620002678203A0000869E0000872E00000000D9
+:102630000001C0367200E201265C00000001E601E4
+:10264000266420320000869E0004872E00040000CD
+:102650000001C0367200E201266520320000F60259
+:102660000001203200004704FFFFE6012679203AEE
+:102670000000F7020001203A0000E60126B1203AEE
+:102680000000EE0126A0203A00014304FFFFC03AFB
+:102690003200E60126C9C0264200E0012590000074
+:1026A0000001E60126C1C0264200E001259000009D
+:1026B000000183160008F4020001E00126E0951AEB
+:1026C0000000E001258CC4A80000E001258CC4288E
+:1026D00000008316000800000001941A0000C400E6
+:1026E00000008796FFFC8296FFF80214000C013C64
+:1026F000000000000001000000009293FFFC029027
+:102700000008859600048416000084960008F702ED
+:102710000003C6A04D80C6B67400E6012771C6202E
+:10272000000020360002E60127A0C5204800C7208F
+:10273000480027380002C0227200E201279CC538F9
+:102740000000872E000076AD001E76B4FFE5C73886
+:102750006FC07738FFF0F733280006300002C03230
+:102760005200E201274105AC0002E00127A0C5208C
+:102770004800C720480027380004C0227200E20148
+:1027800027A0C520480083AD00040000000193B1DC
+:102790000004C0327200E201278500000001C5205C
+:1027A0004800C0325200E40127D50000000186AE87
+:1027B0000000772D001E7738FFE5C6B477C076B5E8
+:1027C000FFE8F6B3680006300001C0325200E401B1
+:1027D00027AC05AC00018796FFFC8296FFF8021437
+:1027E000000C013C0000000000019293FFFC0290ED
+:1027F0000008841600008696000400000001C7222D
+:102800006D80E601281020360000E0012874C438ED
+:102810000000F7020001EE012841F602000076B543
+:10282000000120360000EE01281C77390001E0018C
+:10283000284420220000742100017738FFFF063071
+:10284000000120220000EE012834203A0000E601B9
+:10285000287100000001C0226A00E4012864000021
+:102860000001C4206A00773AFFFFE601285476B4DD
+:10287000FFFFD42007628796FFFC8296FFF80214C0
+:102880000008013C0000000000019293FFFC029050
+:10289000000822100004E00128CCF70629DC86BAE3
+:1028A00000000000000120360000E60128C90000F9
+:1028B00000019716FFF407880008C13400009793C1
+:1028C000FFFC8716FFF40000000127380004F6061D
+:1028D00029E0C03A6200E401289D000000018796CB
+:1028E000FFFC8296FFF802140000013C000000008B
+:1028F00000019293FFFC0290000822100004E00106
+:102900002934F706299886BA000000000001203615
+:102910000000E6012931000000019716FFF4078846
+:102920000008C13400009793FFFC8716FFF40000F5
+:10293000000107380004F60629E0C03A6200E4010D
+:102940002904000000018796FFFC8296FFF802141C
+:102950000000013C0000000000019293FFFC029087
+:102960000008F7047B5000000001203A0000E60157
+:102970002984F6820001F6857B5007880008E00173
+:1029800028F09793FFFC8796FFFC8296FFF80214CD
+:102990000000013C00000000000100000B4C0000A2
+:1029A0000000000042880000000000005E500000AF
+:1029B00000000000C7A80000000000010BD00000CC
+:1029C000000000011C880000000000011E1400002F
+:1029D00000000001212C00000000000122E40000A2
+:0229E0000000F5
+:00000001FF
+/* This is the Myrinet MCP code for LANai4.x */
+/* Generated by cat $MYRI_HOME/lib/lanai/mcp4.dat > myri_code4.h */
diff --git a/firmware/ositech/Xilinx7OD.bin.ihex b/firmware/ositech/Xilinx7OD.bin.ihex
new file mode 100644
index 0000000..03e8085
--- /dev/null
+++ b/firmware/ositech/Xilinx7OD.bin.ihex
@@ -0,0 +1,177 @@
+:10000000FF04A036F3ECFFFFFFDFFBFFF3FFFFFF72
+:10001000EF3FFFF7FFFFFFFFEF7FFEFFCEFEFEFE8D
+:10002000FEDEBDDDFDFFFDCFF7BF7FFF7F3FFEBFE3
+:10003000FFFFFFBCFFFFBDB57F7FBFBF7FFFEFFFAF
+:10004000FFFFFBFFF7F7FFFFFFFFFEDEFEFEFADE1E
+:10005000BDFDEDFDFDCFEFEFEFEFC7DFDFDFDFDF52
+:10006000FF7EFEFD7D6DEEFE7CFBF4FBCFDBDFFF54
+:10007000FFBB7FFF7FFFF7FF9EBF3BBFBF7F7F7F41
+:100080007E6FDFEFF5F6FDF6F5EDEBFFEFEFEF7EC0
+:100090007F7F6F7FFFFEFEFEFEFEEFBFFFFFFFFFD5
+:1000A000FFFFFFFFFFFFBC1F1FEEFFBCB7FFDFFF1F
+:1000B000DFEF3BE3D3FFFBFFFFDFFFFFFFBABF2D07
+:1000C000DBBDFDDBDFFAFBFFEFFBDBF3FFDFFD7FDB
+:1000D000EFFBFFFFBEBF27BAFEFBDFFFF6FFFFEF20
+:1000E000FBDBF3D99A3FFFAFBFFFFFBE3F37BD96A3
+:1000F000FFFFFFFFFFFFAEFBF3F3EBFFFFFFFFFF91
+:10010000FFF7FABCAEFEBEFEBB7FFDFF7FEFF7FB45
+:10011000BBD7F77FFFF7FFFFF7BCEDFDBD9D7D7BF4
+:10012000FB7B7BFBAFFFFEFDFDFEFEFFFFFFFFF74E
+:10013000AAB9BF8FBFDFFF7FFFFF7FCFFBEBCBEB0A
+:10014000EEFFFFD7FFFFFF3E333F1C7CFCFFFFFFAE
+:10015000FFFFCFD3F3E3F3FBFFFFFFFFFFEBFE3522
+:100160003F3DFDFDFFFFFFBFFFEF6FE3E3E3EFFF69
+:10017000FFDFFFFFF7FE3E5EFEFFFFFFFFFDFFFF1D
+:10018000AFCFF2CBCF8EFFFFFFFFFFFDFC3E1F9EE8
+:10019000ADFDFFFFBFFFFFEFFFB3F7E7F7FAFFFF8C
+:1001A000FFFFFFEEEBABAF9FE37FFFDEFF7FEEFFD6
+:1001B000FFFB3AFAFFF277FFFFF7FEFFFEBDAEDE70
+:1001C0007D7DFDFFBFEEFFFDFFDBFBFFF7EFFBFFDC
+:1001D000FFFEFF2DAFB9FD79FBFAFFBFEFFFFF91E7
+:1001E000FAFBDFF7F7FFFFFFFCCF37BFBFFF7F7FD3
+:1001F000FFFFFFAFFFFFF3FBFBFFF5EFFFFFF7FA9A
+:10020000FFFFEEFAFEFB55DDFF7FAFFEFFFBFBF5C8
+:10021000FFF7EFFFFFFFBEBDBDBDBD7D7B7B7B7BE1
+:10022000FBAEFFFDFEFFFFFFFFFFFFFFF7DAB76149
+:10023000FFB959F373F3DF7F6FDFEFF7EBEBD7FF16
+:10024000D7FFFFF7FE7FFB3E3873F67FFCFFFFCF43
+:10025000FFB7FBB3B367FFE7FDFFEFF67FB7BCF572
+:100260007BF6F7F5FFFFEFFFF7FFF7CEE7FF9FFF06
+:10027000FFF5FE7DFF5FFFFFFFFFFFFFFFEFFFF6D4
+:10028000CBDBEEFEFFDFFFFFFFFE7FBE1E3EFEFF6D
+:100290007DFEFFFFEFBFE7FFE3E3FFDFE7FFFFFFC9
+:1002A000B8EFB72FEEFFDFFFBFFF7FEFEBBFA3D3AA
+:1002B000FF7FFFFFFFFFF7BEFD3FCFFDFBFFFFFF0F
+:1002C000FFFFAFFBBFBBBFDBFDFBFFFFFFFF3EFE42
+:1002D0003FBABAFEFFFFFFEFFFEFC37FB29BFFFF06
+:1002E000FFFFFEFFFF3CFF3F3CFFFEFFFFFFFFFF66
+:1002F000AFF3FEF3E3EBFFFFFFFBFFF79AFEAF9ECA
+:10030000BEFEFFDFFFFF7BEFF7BFFBFBFBFFFF7FC7
+:10031000FFFFFFBCBDFDBDDD7D7B7B7B7BFBAEFFBF
+:10032000FFFFFEFEFFFDFFFFFFF79AFF9FFFAFEF0E
+:10033000FFFFFFFF7FCFF3FFEBFFEBFFFFBFFFFFF1
+:10034000EFFEFF37FCBFFFFFFFFFFFFFCFEFFDF327
+:10035000FFEEFEFFFFFFFFFF6EFD2FFDFFFDFFFF26
+:10036000FFFFFFEFCFFFF3BF69FFFFFFFFFFFFFEC0
+:10037000FB9FFFBFFDFFFFFFFFFFEF87FEDAEFCF21
+:10038000FFFFFFFFFFFFFEEFBFEFEFFDFFFFFFFFF0
+:10039000FFEFFDFF7BFFEBFEFFFFFFFFEBF8FFEF43
+:1003A000AFFFFFBDFFFFFF7FEE7FEFFFBBFFBFFB98
+:1003B000FFFFFFF7F6FBBDFDDDF5FFFFFFFFFFAF22
+:1003C000FF5FF5DFFF7FFFFFFFFFFFF6F3FFDEFEBE
+:1003D000EFFDFFFFFFFFEFFFDEDF5FDFFDFFFFFF52
+:1003E000FFFFFEFFFFFEFEFFFDFFFFFFFFAFFFFF72
+:1003F000EFEDFFDFFFFFFBFFFFDABDBEAEFE7FFDCF
+:10040000DFFFFF7FEFFFFBFBFB7FF7FFFFFFFFF748
+:10041000BCFDBDBDBDFD7B7B7B7BFBAEFFFFFDFF60
+:10042000FFFFFDFFFFFFFFFA9FBFBFCF7FFFFFFF73
+:10043000FFFFAFFFEBEBEBFFD7FEFFFFBFE7FEBF1A
+:100440007FFCFFFFEDFFFFFFFF4FFFFBFBFFFFDD2B
+:10045000FFFFFFFFFFFEBDDF9DFDDFB9FFFFFFFFD9
+:10046000EFFFFBEFEBFFDEFFFFFFFFFFF69FFFFC61
+:10047000FEFBFDFFFFFFFFEFDFFACDCFBF9FFFFFCA
+:10048000FFFFF7FEBFFFDFEF5FFFFFFFFF7F6FFFA5
+:10049000BBFDFFFFFFFFFFFFFFFF7EFF5FFFBFBF53
+:1004A000F9FFFFFF7F6E7BFFEFFDEBDFFFFFFFFF3D
+:1004B000F7B63EFCFDBF7EFBFFFFFFF7EFF7F3F75C
+:1004C000FFFBFFFFFFFFFFFF6E3579FFBFFCFFFF64
+:1004D000FFFFFFEFFB53DFFFEBBFFFFFFFFFFFBCA3
+:1004E000FFFFFFBFFFFDFFFFFFFFAFF5FFF7FFFBC4
+:1004F000FFFFFFFFFFFFBAAAEEFE3F7DFDFFFFFFFC
+:100500007FAF77FBFBFFFBF7FFFFFFFFF7BEBDBD34
+:10051000BDBDFD7B7B7B7BFBAEFFEFFFFFFFFFFCE9
+:10052000FFFFFFFF9AD9B8FFFF79FFFFFFFFFFCF63
+:10053000FBFFEBFFEBD7FFFFFFFFE7DEF8FBFE3F24
+:10054000FBFDFFFFFFFFCFADBFFAFF73DFFFFFFF34
+:10055000FFFF3AF5B7FC3FF9FDFFFFFF7FEFF3FF29
+:10056000BFFEF39FFEFFFFFFF73EFFFFFFBFFFFF52
+:10057000FFFFFFFFAFD3FEDBFFDBDFFFFFFFFFFF70
+:100580003EFFBFFF7FFFFDFFFFFFFF8FF3FFEDFF8C
+:10059000F7FBFFFFFFFFEFF63CFEFFFFFFFFFFFF54
+:1005A000FF9FEFEFD1FFFFFFFFFFFFFFFFFF7EBFCA
+:1005B000FDFFFFFFFFFFFFFFBBEFDFF1FFFFFFFFCF
+:1005C000FFFFFFFFFFEE3EFEFFFFFFFFFFFFFFBF4E
+:1005D000EFFDC3FFFFFFFFFFFFFFBFFFFC3EFEFF7E
+:1005E000FFFFFFFFFFFFFF2EEFF3FFFFFFFFFFFF08
+:1005F000FFFFF7BABEFEFFFFFFFFFFFFFF7FAFFB6E
+:10060000FBFDFFFFFFFEFFFFFFF2D6EDBDBDBD7D91
+:100610007B7B7B7BFBAFDFFFFFFFFFFFFFFFFFFF6E
+:10062000FF92BFFFFFFFFFFFFFFFFF7FAFEBEBFF7F
+:10063000FFFFFFFFFFFFFFE7FE2EFEFFFFFFFFFFB5
+:10064000FFFFFF4FEFF3FFFFFFFFFFFFFFFFFFFE87
+:100650003CFEFFFFFFFFFFFFFFFFEFCEC3FDFFFFED
+:10066000FFFFFFFFFFFFFE5DFFFFFFFFFFFFFFFF3D
+:10067000FFEFCFEBFFFFFFFFFFFFFFFFF7EE3EFFB8
+:10068000FFFFFFFFFFFFFF7FEFDFE2FFFFFFFBFF4B
+:10069000FFFFFFFFF6BEFCFFFFFFFFFFFFFF7FEE48
+:1006A0005FE6FFFFFFFFFFFFFFFFFF3E7DFFFFFF56
+:1006B000FFFFFFFFFFFFEFF3FBFFFFFFFFFFFFFF6A
+:1006C000BFF736BEFEFFFFFFFFFFFFFFFFEFD3F6D2
+:1006D000FEFFFFFFFFFFFFFFFFFC7FEEFFFFFFFFBF
+:1006E000FFFFFFFFAFEFEBFFFFFFFFFFFFFFFFFF8E
+:1006F000BABEFEFFFFFFFFFFFFFFFFEEFBFAFFFFAB
+:10070000FFFFFFFFFFFFF7D6FDBDBDBD7D7B7B7B00
+:100710007BFBAEFF7EFFFFFFFFFFFFFFFFF7BABFD0
+:10072000FFFFFFFFFFFFFFFF7FEFEB6BFFFFFFFF11
+:10073000FFFFFFFFF7FEBEFEFFFFFFFFFFFFFFFF14
+:100740004FEFF7FFFFFFFFFFFFFFFFEF3E6EFCFFE6
+:10075000FFFFFFFFFFFFFFEFC3C9FFFFFFFFFFFF2B
+:10076000FFFFFF3EBFFFFFFFFFFFFFFFFFFFEFFBAE
+:10077000D5FFFFFFFFFFFFFFFFFFFEFEFEFFFFFFB6
+:10078000FFFFFFFFFF6FEFFBFFFFFFFBFFFFFFFF21
+:10079000FFF6DFFFFFFFFFFFFFFF7FFEEFFFFFFF23
+:1007A000FFFFFFFFFFFFE7FFFEFFF7FFFFFFFFFF7A
+:1007B000FF7FFAEFBFFFFFFFFFFFFFFFFFE7FFFE37
+:1007C000FFFFFFFFFFFFFFFF7FFEEFBFFFFFFFFF0A
+:1007D000FFFFFFFFA7FFFCF7FFFFFFFFFFFFFF7F0C
+:1007E000FEAEFFFFFDFFFFFFFFFFFFE7F7FAFFFD94
+:1007F000FFFFFFFFFFFFFF7FAFFFFFFFFFFFFFFFD9
+:10080000FFFFFFF7BEBDBDBDBD7D7B7B7B7BFBAF2F
+:100810007FFFFFFFFFFFFFFFFFFFFFCAFFFFFFFF9D
+:10082000FFFFFFFFFF7F6FFFFFFFFFFFFFFFFFFFE8
+:10083000FFE7FEFFFFFFFFFFFFFFFFFFFFCFFEFF12
+:10084000FFFFFFFFFFFFFFFFFFFEDFFFFFFFFFFFD9
+:10085000FFFFFFFFEFFFFEFFFFFFFFFFFFFFFFFFB9
+:10086000FEFFFFFFFFFFFFFFFFFFFFEFFFFFFFFFA9
+:10087000FFFFFFFFFFFFF7FEFFFFFFFFFFFFFFFF91
+:10088000FFFFEFFFFEFFFFFFFBFFFFFFFFE7F2FCB5
+:10089000EFFFFFFFFFFFFFFF7FAEEFFFFFFFFFFF59
+:1008A000FFFFFFFFF77EFDFFFFFFFFFFFFFFFFFFE3
+:1008B000EFFFFEFFFFFFBFFFFFFFBFFFFEFEFFFFDB
+:1008C000FFFFFFFFFFFFDFEFDDFEFFFFFFFFFFFF8B
+:1008D000FFFFFFFEFEFFFFFFFFFFFFFFFFFFAFEF8A
+:1008E000FFFFFFFFFFFFFFFFFFFFBAFEFFFFFFFF5E
+:1008F000FFFFFFFFFFEFFAFEFFFFFFFFFFFFFFFF1E
+:10090000F69CBDBDBDBD7D7B7B7B7BFBAEFFFFFF52
+:10091000FFFFFFFFFFFFFFF77AFFFFFFFFDFFFFF94
+:10092000FFFF6FEFF7FFFFFFDFFFFFFFFFFFF7FEA8
+:10093000FEFFFFFFDFFFFFFFFFFFCFEBFFFFFFFF2C
+:10094000FFFFFFFFFFEF9EFCFFFFFFFFFFFFFFFF2B
+:10095000FFEFEFFFFFFFFFFFFFFFFFFFFFFEFFFFC8
+:10096000FFFFFFFFFFFFFF7FEFCBFFFFFFFFFFFD5D
+:10097000FFFFFFFFBEFDFFFFFFFFFFFFFFFFFFEFDA
+:10098000EFFFFFFFDFFFFFFFFFFFFFF8FFFFFFFFAE
+:10099000BFFFFFFFFFFFEFFFFFFFFFFFFFFFFFFFB7
+:1009A000FFFFFEFFFFFFFFFFFFFFFFFFFBAF7FFF2C
+:1009B000FFFFDFFFFFFFFFFFFFFEEFFFFFFFFFFF78
+:1009C000FFFFFFFFEFFFFFFFFFFFFFFFFFFFBFFF87
+:1009D000FEFFFFFFFFFFFFFFFFFFFFAEFFFFFFFF79
+:1009E000FFFFFFFFFFFFF7FAFFFFFFFFFFFFFFFF24
+:1009F000FF7FEFFFFFFFFFFFFFFFFFFFFFF7BCBD24
+:100A0000BDBDBD7D7B7B7B7BFBAFFFFFFFFFFFFFA2
+:100A1000FFFFFFFFF7FAFFFFFFFFFFFFFFFFFF7F73
+:100A2000AF7FFFFFFFFFFFFFFFFFFFEFFEFFFFFFB7
+:100A3000FFFFFFFFFFFFFFCFFFFFFFFFFFFFFFFFF6
+:100A4000FFFFFFFEFFFFFFFFFFFFFBFFFFFFEFFFCB
+:100A5000FFFFFFFFFFFFFFFFFFFFFEFFFFFFFFFFA7
+:100A6000FFFFFFFFFFEFFFFFFFFFFFFFBFFFFFFFE6
+:100A7000FFFCFFFFFFFFFFFFFFFFFFFFEFFFFFFF99
+:100A8000FFFFFBFFFFFFFFEFFEFF9F9F9F3F3F3FEB
+:100A90003F3FFFEFDFDFDFDFCFB7BFBFBFBFFFBC31
+:100AA000B99DBDBD7D7B7B7B7BFBEFD7F5F3F1D1A2
+:100AB00065E3E3E3A3FFFE7FFEDEDEFFBDBDBDBD5C
+:100AC000DFEFFBF7F3F3F3E7E7E7E7E7FBFEFFFF13
+:0A0AD000FFFFFFFFFFFFFFFFFFFF26
+:00000001FF
+This file contains the firmware of Seven of Diamonds from OSITECH.
+(Special thanks to Kevin MacPherson of OSITECH)
diff --git a/firmware/qlogic/1040.bin.ihex b/firmware/qlogic/1040.bin.ihex
new file mode 100644
index 0000000..d121330
--- /dev/null
+++ b/firmware/qlogic/1040.bin.ihex
@@ -0,0 +1,2111 @@
+:1000000007410600001078003A1000005841000037
+:100010004320504F525947495448312039392035EF
+:100020004C51474F43494320524F4F50415249543E
+:100030004E4F49205053303130324920542F462002
+:100040007269776D726120655620726569736E6F93
+:1000500030202E3735362020432073756F74656D40
+:1000600020726F4E202E303050206F727564746392
+:100070004E202E6F2020313024200120FD0404204A
+:1000800082A005004800451038004B10780047104A
+:1000900028004B10B920121278004D10B9202222EE
+:1000A000C120080071201000C3700400C920FF782F
+:1000B00089208611C7705349CB702050CF70202003
+:1000C000D3700700003FD670C1200800192000003F
+:1000D0000920FFFE00210B20A5A5ECA1FF7F642DC8
+:1000E0006B200A0ADCADFF3F542B5B2050501421DB
+:1000F00086A2A5A54000BF1086A30F004000851072
+:100100006A2C5A2AC120000019200F0078006510BF
+:100110006A2C5A2AC12008000920FF7F482144295F
+:100120004B200A0ABCA9FF3F34273B205050142122
+:1001300086A20A0A4000A9104A283A26C1200400D3
+:100140000920FF3F34210B205050142186A250502B
+:100150004000AA1078008E114A283A26C09888A13B
+:1001600000102C210B20A5A5142186A2A5A54000D6
+:10017000BC100A258AA10010C1987800C1100A2578
+:100180007800C1106A2C5A2A30218AA14000282107
+:10019000A2A10052248424842484248424842484DA
+:1001A00092A1007909200000012031007810261D5D
+:1001B000182279200052A02F082411200000A92025
+:1001C0004000A4420981C000DC10F27E2885E67D53
+:1001D000EA7CEE7B8378000031203000CF7801018B
+:1001E0000B7802000F7802004F780300692040521C
+:1001F0000120FD04042082A00500480004113800FD
+:100200000011780008111B683C0078000A11A80052
+:1002100008111B683C001B682800076807000B6872
+:10022000FA000F68080013680500236800002768BB
+:100230000600176808002B6800001F681900692075
+:10024000805411202000092010000B680C080F6852
+:100250001900036800FD076818001A6A002DE8A05D
+:10026000080090A204000981C000221169200055F5
+:1002700009200200A9200001376800000B68400037
+:10028000F07B86A3FFFEC0004811176800011F68BD
+:10029000640078004C11176864001F680200E8AD24
+:1002A000100070005211780039110981C000371117
+:1002B0007810A72278103D497810B5197810334E80
+:1002C000003285A00D009020C370000090006C11DA
+:1002D000C07086A00200C0006C11781084127810E3
+:1002E0009611CC7805A0C0007A1178104F1D10002F
+:1002F000801168008011781086211000801168003C
+:1003000080117810B91AE0006C117810BA4C78009E
+:100310006C118E119011AC24AC24BE49BE49AC24A2
+:10032000AC2478008E11780090117800921178003A
+:1003300094116800011261200000186084A001007F
+:10034000C0000112147805A0C000A711100002120D
+:100350007800011209205B52042105A0C00001129F
+:10036000092064520B200000147986A14200C000CD
+:10037000CC1116780920625264210B20000018600D
+:10038000C6701460CA701C618CA100FF206084A03C
+:10039000FF0005A1CE7078109A197800FF1114782B
+:1003A00086A01800C000D3117810781617780000C6
+:1003B00009206252042165A04000EF117E0C9C6070
+:1003C00060207810171A7F0C9F60000078104E177D
+:1003D00009200C000760030178107619C000FB119A
+:1003E00078109A19092062520B20000009205C52F3
+:1003F00004210B20000005A04000FF110120054052
+:1004000078008612780084127C00C3700000C770E8
+:100410000000CB700000CF700000C070BCA0C0FF17
+:10042000C00052123820790012128412E512A9126B
+:10043000FE120D131313A012661717139812AD12A4
+:10044000AF12B112B3126B179812291365139016DD
+:100450006017B512AF15CB15E7151216681576158E
+:100460008A159E15E913981297139D13A213A713CB
+:10047000AD13B213B713BC13C113C513DA13E613CC
+:100480009812981298129812F513FE130D14511425
+:100490005B146214A814B714C614D8144815581560
+:1004A00098129812981298125D15BCA0A0FFC00077
+:1004B0009812382084A01F0079005B12A417A71798
+:1004C000B7179812981231194E199812981298125B
+:1004D00052195A199812981298129812DB12F412A3
+:1004E0001F135B1386168217961798124718601908
+:1004F0000D1917191B19291998129812CA72C67169
+:100500000120064078008612CE73CA72C67101209F
+:100510000040C27068008712612000001B6001006B
+:1005200091200050E0008F12E000911268009112BB
+:10053000912080407C00C370014078008712C37016
+:1005400006407800871299204100A1204100A9208F
+:100550000500A35378008412C470C37004007A00AD
+:100560007800841278008412780084127800841253
+:1005700091200080C3700000C7705349CB70205099
+:10058000CF702020D3700700003FD6707920000084
+:100590001B78010031203000592000102920570419
+:1005A0005120700461207204B920FFFFC1200000B7
+:1005B0009120005091208040780055047810C41B91
+:1005C000C0009C12D875DC74DA75DE747800E8120D
+:1005D000292000002025D071C873CC72C470781017
+:1005E000FE1A40008412C370024078008412781012
+:1005F000C41BC0009C12D875DC74DA75DE747800F8
+:100600000113292000002025D071C873CC72C4705A
+:1006100078105E1B40008412C37002407800841280
+:10062000C471C87014210A2078008212C471142188
+:1006300078008212C7700700CB704100CF700600AF
+:10064000780084127810C41BC0009C12D875DC7628
+:10065000DA75DE7678002C13292000003025C4706E
+:10066000C872CC73D074C670CA72CE73D27405A02F
+:10067000400055130AA440003C13C80046130180F3
+:10068000927884A000FC40004A13CC7885A0010039
+:10069000CE7801200540780086129A7A9E7BA27D52
+:1006A000A67E967CCC7884A0FCFFCE787800591387
+:1006B000CC7885A00100CE78780084127810C41B15
+:1006C000C0009C12D875DC76DA75DE767800681387
+:1006D000292000003025C470C872CC73D474C67051
+:1006E000CA72CE73D67405A0400091130AA44000CC
+:1006F0007813C80082130180AE7884A000FC40000B
+:100700008613CC7885A00001CE78012005407800C2
+:100710008612B67ABA7BBE7DC27EB27CCC7884A0CB
+:10072000FFFCCE7878009513CC7885A00001CE78B8
+:1007300078008412092061520C21EC7A7800821230
+:10074000092041520C2178008312092042520C21C9
+:1007500078008312612040520C611062780082128E
+:10076000092045520C2178008312092046520C21A1
+:1007700078008312092048520C2178008312092046
+:1007800049520C217800831208790C7A7800821281
+:10079000C471078184A00F00038003800380E8A058
+:1007A0008054006A046884A008004000D713086BD6
+:1007B0007800D8130C6B78008112C4777810C519B3
+:1007C000912000801C6B146A91200180082778001A
+:1007D00081124C7978008312C4777810C519912062
+:1007E00000800869186A106B9120018078008112DE
+:1007F000C47182A11000C8007C1278107F23780099
+:100800008112C47182A11000C8007C1211204152D3
+:1008100004227E001221781038237F017800831291
+:10082000C47119200001042382A0060048001B1493
+:1008300011204914A920080078001F141120411428
+:10084000A9200800042206A140002A14108270008A
+:10085000281478001F1478007C12042382A006005C
+:100860004800331492A249147800351492A241141E
+:100870007E0211204252042212217F017E00781054
+:1008800044237F0178008312E803FA00F401EE02AA
+:100890006400190032004B00E803FA00F401EE0294
+:1008A0000400010002000300612040520C6110624C
+:1008B000C4700E60C87012607800821261204052CD
+:1008C0001461C47016607800831261204052C471B4
+:1008D000112004001F6019001920121286A128009F
+:1008E00040008314112005001F6019001920121206
+:1008F00086A1320040008314112006001F600C0006
+:100900001920222286A13C00C0007C1218607E00C3
+:100910001A61007884A00100C0009E140120FD042B
+:10092000042082A005004800961438009A1478002C
+:100930009E1428009A1478009E1419202222780010
+:10094000A01419201212B823781055237810334EB2
+:100950007F0178008312C47184A1CFFFC0007C1294
+:1009600011204852042212217E00781077237F0143
+:1009700078008312C47182A11000C8007C1211207B
+:10098000495204227E001221781066237F017800EC
+:100990008312C471C87284A1FDFFC0007B1284A2BF
+:1009A000FDFFC0007B12002108790A7800220C7A32
+:1009B0000E7878008212C471078184A00F00038032
+:1009C00003800380E8A0805419200000C872BCD2C4
+:1009D0004000E9149DA31000B4D24000EE149DA382
+:1009E000080000687E0026A240001115026A84A457
+:1009F00000204000FA149DA3100084A400104000C1
+:100A000000159DA3080084A40040400011150F812B
+:100A100084A2004040000D1578109923780011152C
+:100A200078108B2378001115CC72086806A240005C
+:100A30004015A4A2FF0061204052186186A1280041
+:100A40004000271586A1320040002D1586A13C00EC
+:100A50004000331582A4640048003D157800371526
+:100A600082A4500048003D157800371582A4430049
+:100A700048003D15C471C6717F02CA7278007D12AC
+:100A80000A6A9DA30A00046805A306687F020C6B2E
+:100A9000C47178008112C4777810C5199120008044
+:100AA000146A1C6B91200180C8701668CC701E6897
+:100AB000082778008112C4704C794E787800831230
+:100AC000C471C872CC7382A11000C8007C12781067
+:100AD000A72378008112C4777810C519912000806F
+:100AE000086A95A202000A6A91200180082778000E
+:100AF0008212C4777810C51991200080086A94A2E8
+:100B0000F9FF0A6A046805A04000851578106F2275
+:100B100091200180082778008212C4777810C519C7
+:100B200091200080086A95A204000A6A046805A062
+:100B30004000991578106F229120018008277800D5
+:100B40008212C47741200100492005005120200075
+:100B5000912000807810D219912001800827086A1E
+:100B600078008212C477C872CC73C677CA72CE730B
+:100B70007810521AC000C715186805A04000C715A4
+:100B800008277810B723C000C715177815009120E3
+:100B900001807C009120018078008412C477C677A0
+:100BA0004120210049200500512020009120008093
+:100BB0007810D219612040526F6003008267936001
+:100BC0000F00736000001778160078106F229120D4
+:100BD00001807C00C877CA77C477C677BCA700FFBE
+:100BE00091200080612040526F600200736000001D
+:100BF000826793600F001778170078106F2291209A
+:100C00000180412021004920040051201000912042
+:100C100000807810D219C8703668388784A71F0002
+:100C2000C0000616912001807C00CC7884A00300CF
+:100C3000C000361639200000412021004920040060
+:100C4000512008007810C5199120008008680DA86F
+:100C50000A6991200180388784A71F00C0001F16F1
+:100C6000BCA700FF3F8738873F8784A7000FC000DD
+:100C70001F169120008069200001306884A0400088
+:100C800040005F164B680400A9201400486884A047
+:100C9000040040004C1670004C16780043164B6858
+:100CA0000900A9201400486884A0010040005916DA
+:100CB0007000591678005016A920FA0070005F16CF
+:100CC00078005B1679200052177818006120405296
+:100CD0006F6001007360000093600F00CC7885A006
+:100CE0000200CE78086884A0FDFF0A681B684800EF
+:100CF000912001807C00CC7884A0FDFFCE7884A078
+:100D00000100C000821678109C1AC471C6714A791D
+:100D10007C007810C41BC0009C12D875DC74DA7596
+:100D2000DE7478009316292000002025C471C87352
+:100D3000CC72C671CA73CE727920005291200080A5
+:100D4000781080199120018040004A17A9200500E1
+:100D5000A120185291200080A141912001800920FA
+:100D6000200078107B194000B61678109A19780088
+:100D70004A17046084A000FF07800980400019170B
+:100D80007E0C682C912000807810801991200180C1
+:100D90004000EA16002C9E680981C000BE169F60C4
+:100DA00000007F0C7E0C18721C7320742475682C54
+:100DB0009C6865A0400018170920200078107B1956
+:100DC000C0000117046084A0FF0086A00200C000DC
+:100DD000EA16002D02607800D0167F0C7E0C9C6015
+:100DE00060207810171A7F0C9F60000078104E1753
+:100DF00009200C00086085A000020A6078107619AE
+:100E000078109A1978004A177F0C7E0C9C6060203D
+:100E10007810171A7F0C9F60000078104E17092079
+:100E20000C00076003011B6003007810761978102E
+:100E30009A1978004A177F0CC474C873CC72146076
+:100E400091200080177812007E0E712040526F7042
+:100E500005007370000076737A727E7482708770FA
+:100E60000000002C8A708F7000002EA030251C61BD
+:100E7000A26184A1600040003C177810D3487F0E27
+:100E80009665A6659A66AA66AF600000B36000002A
+:100E900078106F22912001807C00C370054078009B
+:100EA0008712A920050099201852912000800A532A
+:100EB00091200180002110A299A30000A1A40000AC
+:100EC000A9A500007C00C471C770000006797800F5
+:100ED0008412C471C671682178006D1769200010F2
+:100EE0000C6916A0042D10A2688D0981C0006F172F
+:100EF00085A20000C0007D17C370004078007F17F6
+:100F0000C3700340CA7078008712112067520C2208
+:100F1000C470038048008F177810513C84A1FF7F74
+:100F2000780093177810443C85A100801220780047
+:100F30008312C47178103B3C006101206752042089
+:100F400084A000800DA10462086378008112E47916
+:100F500078008312C471C6719821A1204200A92093
+:100F60000400A353A02199204200A9200400A35308
+:100F700078008412C470682079200052912000808B
+:100F8000781080199120018040004318076001000B
+:100F90000B6000002B6000001B600600106A8CA232
+:100FA0000F0084A2F000038003800380038005A16A
+:100FB000166084A200084000DE171B600A0078005B
+:100FC000E41784A200104000E4171B600C0084A208
+:100FD00000034000ED172B600100048004800480B2
+:100FE00085A001001E60236000002760000084A22D
+:100FF00000044000FA172B600000A920060080AC16
+:101000000B00A02080AD05009820A35384A200030C
+:10101000C0000F1846604A604E60526096609A6049
+:10102000780019180068466004684A60086E4E66C9
+:101030000C6D526596659A66146091200080177851
+:101040004200082C612040526F6005007360000070
+:10105000776000007B6000007F60000082608A6132
+:1010600084A200048E60912001807E0E71202000F9
+:1010700007700A0007700200037000007F0E9120C5
+:10108000008078106F22912001807C00C3700540A1
+:10109000780087127E0C7E0D7E0E7E0F91200080E0
+:1010A000712040527920000161201000A0706DA0D5
+:1010B00040000319046A94A2FF0086A207004000C2
+:1010C000621886A20F00C00003191C6984A1C00029
+:1010D0004000031984A18000C000D318246884A0B4
+:1010E00000FF85A019002668B071FF8140008918B3
+:1010F0007E0D69202000076810000869086806A1B5
+:10110000C0007A180C690C6806A1C0007F1884A181
+:10111000FF00C0007F187F0DB87884A01F80C0003A
+:101120008918487885A00C004A78B071FF8140008A
+:10113000AC18B37000007E0D69202000076818000D
+:10114000046884A00800C0009D18076808000468AF
+:1011500084A00800C000A418076802007F0DC461C5
+:10116000C862CC63C661CA62CE637E0E7120005233
+:1011700066726A7380AE19007F0E487884A00C00F6
+:10118000C000BA187810E147A3780000587884A00E
+:10119000FFED5A78B47080A0DA001A787F0F7F0EC6
+:1011A0007F0D7F0C9120018078008412246884A038
+:1011B00000FF85A019002668B87884A01F80C000B1
+:1011C000D918487885A00C004A78487884A00C008B
+:1011D000C000E218B071FF8140000119B370000037
+:1011E0007E0D6920200007681800046884A00800AC
+:1011F000C000F21807680800046884A00800C00056
+:10120000F918076802007F0D7800CB187F0F7F0E5A
+:101210007F0D7F0C9120018001200540780086120F
+:101220008079C671C47182A10300C8007C128279E2
+:10123000780084128079C671780084127479C6713E
+:10124000C47176797879CA71C8717A797C79CE71EE
+:10125000CC717E79780084127479C6717879CA71FC
+:101260007C79CE71780084120079C671C4710279DC
+:101270000120FD04042082A0050048004019380028
+:10128000421978004C19A8004C198CA10100C0002B
+:101290004A19B920222278004C19B920121278007C
+:1012A00084120079C67178008412092074520421D6
+:1012B000C670C4700A207800841209207452042178
+:1012C000C67078008412C471078184A00F00038067
+:1012D00003800380E8A08054146AB4D240007119DE
+:1012E0001120010078007319112000000C6B7800A8
+:1012F000811280AC01007810801B7C0080AC010062
+:101300007810201B7C00507865A040008819042CC0
+:101310005278632000007C007E0F792000525078C4
+:101320006DA040009819042D5278036800000768EA
+:1013300000000B6800007F0F7C00912000807E0F72
+:101340007920005250786220002C05A0C000A71917
+:1013500078108C2452787F0F912001807C007E0FC2
+:101360007920005250786A20002D52787F0F7C003F
+:1013700011200079527AEC7B19834000C21980A2B7
+:101380003100122010207800B919132000007C00D1
+:1013900084A7000F0B8084A71F00038003800380B5
+:1013A000038005A1E8A000557C007810C51900292C
+:1013B0002A68002A2E68086884A0EFFF0DA80A6931
+:1013C000092052520C21046805A04000041A16A1FD
+:1013D000C000EF196020006006687E010B2000004D
+:1013E0007800F219092000007E01046865A0400021
+:1013F000011A006006687810311A7810CB1C10684A
+:1014000001801268C000F2197F01026906697C0040
+:1014100065A04000161A08209C6005A04000131A21
+:1014200062209F60000065A07800091A5078527908
+:1014300062207C0065A04000301A08209C6005A056
+:101440004000251A62209F60000065A078001B1AEA
+:101450007E0F7920005291200080507852797F0FC2
+:101460006220912001807C00076003018F600000F2
+:10147000A9201C0080AC0500A02001200000A44091
+:1014800028681A602C6822607C007E0E7120405211
+:101490004C708CA00002C000501A88A080520A2D07
+:1014A00000804E7006A07F0E7C007810C519912038
+:1014B000008004681E7865A040009B1A7800631ABB
+:1014C000002C1E78006065A040009B1A0C6006A3EB
+:1014D000C0005D1A106006A2C0005D1A282C012011
+:1014E0005252042006ACC000741A7800991A04689D
+:1014F00006ACC000811A006065A00668C0008B1AA7
+:101500000368000078008B1A00641C786020026475
+:1015100086A40000C0008B1A002C02686025781099
+:10152000311A1B600500236020007810CB1C106866
+:10153000018050108C24126885A0FFFF7C003920A8
+:101540000000412021004920040051200800912082
+:1015500000807810D219388784A71F00C000A61A0F
+:10156000BCA700FF3F8738873F8784A7000FC000D4
+:10157000A61A912001807C0061200000186084A0E0
+:101580000100C000CA1A91200080E078E3780000D2
+:101590009120018005A0C000CB1A7C008CA0F0FF38
+:1015A0004000D11A78108C247900D31AE31AE61A75
+:1015B000EC1AF01AE41AF41AFA1AE41AE41A951C4E
+:1015C000B91CBD1CE41AE41AE41AE41A7C00781071
+:1015D0008C2478109C1A012001807800C31C012003
+:1015E00003807800C31C012004807800C31C78109D
+:1015F0009C1A012006807800C31C01200780780017
+:10160000C31C3020382182A721004800061B092076
+:10161000200000267810201BC0001F1BBAA7200046
+:1016200048001E1B40001E1B0827B0A6200090A2E9
+:10163000400099A30000A1A40000A9A50000780023
+:10164000001B06A07C00FF8140005B1B992030003E
+:10165000A0200C7084A0FF004000321B0770040023
+:10166000047084A00400C0002D1BA8211770000086
+:101670000B8112711A721E73227426750C7885A064
+:1016800001000270077001000120FD04042082A007
+:101690000500C8004F1B09202200042184A000403F
+:1016A000C000411B08700B80C800411B077002007E
+:1016B0008CA0E001C0005B1BA55306A003700000D6
+:1016C0007C003020382182A721004800661B0920B9
+:1016D000200000267810801BC0007F1BBAA72000C6
+:1016E00048007E1B40007E1B0827B0A6200090A269
+:1016F000400099A30000A1A40000A9A50000780063
+:10170000601B06A07C00FF814000C11B9820A12027
+:1017100030000C7084A0FF004000921B0770040092
+:10172000047084A00400C0008D1BA8211770000065
+:101730000B8112711A721E73227426750C7885A0A3
+:1017400000000270A653077001000120FD04042070
+:1017500082A00500C800B01B09202200042184A03B
+:101760000040C000A21B107084A000F04000B91B14
+:10177000077008007800BD1B08710381C800A21B18
+:101780000770020084A1E001037000007C000120CA
+:10179000FD04042082A00400C800CD1B7800D01BEB
+:1017A00006A07800D21B85A001007C007E0E71206F
+:1017B0000052082D5870026805A0C000DD1B5E7144
+:1017C0005A717F0E7C00082C5878026005A0C0007A
+:1017D000E71B5E795A797C009120008014617810B3
+:1017E0008021006984A10001C000352084A100028D
+:1017F000C00031201C6805A0C0003D20036000002F
+:10180000082C5C7865A0C000051C5A797800061C7D
+:1018100002615E799120018078108C227C007E0E1E
+:101820007120005258706DA040001A1C00685A7058
+:1018300005A0C000191C5E70FF8D7F0E7C007E0D20
+:101840007E0C7E0F7920005280AF16006020006071
+:1018500005A04000431C6820146806A3C000331C88
+:10186000286884A0FF0006A44000361C602D780084
+:10187000241C006805A00260C000421C80AF160056
+:1018800006AC4000411C002C5E78002D7F0F7F0CC1
+:101890007F0D05A07C007E0D7E0C7E0F792000520E
+:1018A00080AF16006020006005A040006B1C68201F
+:1018B000146884A0FF0006A340005E1C602D780021
+:1018C000501C006805A00260C0006A1C80AF1600B2
+:1018D00006AC4000691C002C5E78002D7F0F7F0C49
+:1018E0007F0D05A07C007E0D7E0C7E0F79200052BE
+:1018F00080AF1600602000606DA04000901C14684E
+:1019000006A34000831C602D7800781C006805A0A9
+:101910000260C0008F1C80AF160006AC40008E1C19
+:10192000002C5E78002D7F0F7F0C7F0D05A07C00C2
+:101930009120008069204052006886A0000040008D
+:10194000A31C91200180E37809007C008068BCA082
+:1019500000FF412021004920040051201000781090
+:10196000D219388784A71F00C000AC1C91200180C9
+:1019700001200A807800C31C01200C807800C31C61
+:1019800078109C1A01200D807800C31CC270612061
+:1019900000001B600100912080407C000460082C46
+:1019A00063200000847800808678887805A08A7992
+:1019B0004000DA1C022C7800DB1C8E797C00076862
+:1019C00003017E0C61200052082D6B200000846012
+:1019D00000808660886005A08A614000EF1C022DAF
+:1019E0007800F01C8E617F0C7C007810031D400095
+:1019F000021D7E0C9C6065A04000FD1C7810171A2B
+:101A00007F0C9F60000078109A197C008C7865A08C
+:101A10004000151D91200080847801808678042C78
+:101A20008E7805A0C000131D8A7800809120018067
+:101A30007C00A920100006A0048086808E81C8004A
+:101A40001F1D00A27000231D78001A1D86808E8144
+:101A50007C007E15A920100005A04000491D1AA198
+:101A6000C800491D13828D8148003A1D1AA1C80083
+:101A70003B1D7000411D78002F1D1AA10823108204
+:101A80007000411D78002F1D7E00003284A0FFF7FA
+:101A900080207F007F157C007E00003285A000083A
+:101AA0007800451D9479D07006A14000BD1D91209D
+:101AB000008071202000047005A0C000BD1D0870CA
+:101AC000087206A2C000BD1D86A20800C000BD1D90
+:101AD00071201000781080194000BD1D9C7A987B01
+:101AE000A47CA07D84A100FF40008B1D312000005C
+:101AF0000B81B5860B81B5860B81B5860B81B586CA
+:101B00000B81B5860B81B586002110A2002619A392
+:101B1000A1A40000A9A500007800951D07810480FC
+:101B2000048010A299A30000A1A40000A9A50000B0
+:101B30000920200078107B19912001804000B41DFD
+:101B400078109A19A8780080AA7886A00200C000B0
+:101B5000BD1D91200080E3780200AB780000CC78B6
+:101B600085A00300CE78912001807800BD1DAB7860
+:101B7000000078104921046084A00F007900C21D84
+:101B800071201000912001807C00D21DF41D1A1ECE
+:101B9000D21D371EE11DC91FE41FD21DEE1D141EEC
+:101BA0007F1EEE1E571F691FE01F39200004DC78DE
+:101BB00005A7DE78086005A70A60781064209C609D
+:101BC000DA78781031217C00DC7884A000014000B4
+:101BD000E81D7800D21D1C6085A080001E60780082
+:101BE000FB1D7810C41BC000D21D78106321DC7867
+:101BF00084A000014000FB1D7800D21DDF780000AA
+:101C00000460078084A0FF00D27801809F600000FC
+:101C10004000111E781064204000111EDC7885A061
+:101C20000001DE787800131E781088207C00781080
+:101C3000C41BC000D21D78105F21DC788CA0000E80
+:101C4000C000231E84A00001C000251E7800D21D04
+:101C500078106420C000361E04618CA1FF0086A1AC
+:101C600007004000212086A10F00400021207810AD
+:101C700088207C00DC7884A0000140003E1E7800B3
+:101C8000D21DDF780000146711200100A920010097
+:101C9000186084A0FF0005A04000611E1120010013
+:101CA000BCA700FFA92020008EA001004000611EFB
+:101CB0003920000011200200A92000018EA002009E
+:101CC0004000611E78007C1E7810C51991200080AC
+:101CD0002B6800002F680000086884A0DEFF0A68F7
+:101CE000E8AD1000912001807000751E7800631E21
+:101CF000118240007C1EA92000017800631E78102C
+:101D00009A197C0001206752042084A000804000C2
+:101D10004920146178108021006984A101004000ED
+:101D2000A01E286084A0FF00C0004120006884A09D
+:101D3000010040004920036800000B6800000768AC
+:101D4000000078005120112001002060F4D04000F4
+:101D5000A81E95A20200C4D04000AD1E95A20800A6
+:101D6000CCD04000B21E95A200041C6084A00200EA
+:101D70004000B91E95A204002C608CA0FF0082A137
+:101D8000020048004D2082A11B00C8004D204000E9
+:101D90004D200E692C6007808CA0FF0082A10200FC
+:101DA00048004D2082A11B00C8004D2040004D205E
+:101DB0001269306005A0C000DC1E01201E000080FA
+:101DC0001668286084A0FF0040004920066828604B
+:101DD000078084A0FF00400049200A68026A78005A
+:101DE000512001204052042086A00700C000531F4C
+:101DF00001206752042084A0008040004920146123
+:101E0000781080210120525204201020FF824000CF
+:101E10000E1F80A00500042084A0FF0006A1C000C2
+:101E2000531F91200080046A086B186484A4030087
+:101E300040002D1F28618CA1FF000180C000231FDE
+:101E4000002110A24800531F78002D1F0180C00000
+:101E5000531F002112A24800531FFF824000531F4E
+:101E600084A40C004000471F28610F818CA1FF0053
+:101E700082A00400C0003F1F002118A34800531F88
+:101E80007800471F82A00400C000531F00211AA33E
+:101E90004800531F306005A040004D1F00801668A9
+:101EA000066A0A6B91200180780051209120018000
+:101EB00078004D2014617810802191200080086BFB
+:101EC00018834800651F0A6B91200180780060200C
+:101ED0009120018078004D202460078084A0FF00BD
+:101EE0004000871F86A08000C000C71FA9200800EF
+:101EF0006920107691200080006884A0FFFC0268B1
+:101F0000E8AD08007000831F7800791F91200180E0
+:101F100078005120286015A04000C71F1461781078
+:101F200080217E0C7E0DE8AD0700912000800068C6
+:101F30000DA04000C31F06A240009E1F682178002C
+:101F4000941F602100600268682C7810AC197F0D26
+:101F500018680DA04000BB1F602000621A6A1C6A4E
+:101F600002621E6878108919A02D9821A9203100DD
+:101F7000A353602D7810CB1C7800BE1F086800802A
+:101F80000A68912001807F0C7800602091200180F8
+:101F90007F0D7F0C78004920146178108021006843
+:101FA00084A001004000392091200080046A108242
+:101FB0004800DC1F066A9120018078006020912093
+:101FC000018078004D207810C41BC000D21D146120
+:101FD00078108021BE60BB600000006984A1080009
+:101FE0004000F31F206085A00001226084A1010051
+:101FF0004000492084A10001C000352084A10002D6
+:10200000C00031201C6805A0C0003D20046084A0F1
+:10201000FF0086A00F00C0000C2078106321DF783D
+:1020200000000460078084A0FF00D27801809F60D8
+:102030000000400021207810642040002120DC783E
+:1020400085A00001DE787C00D7780000DB780000F6
+:10205000246084A000FF26607810AC3A40004F1D39
+:102060007810E91B78004F1D0920170078005320D5
+:1020700009200E0078005320092007007800532023
+:10208000092035007800532009203E0078005320B5
+:10209000092004007800532009200600780053200E
+:1020A000092016007800532009200100246084A034
+:1020B00000FF05A12660912000807810CB1C9120A4
+:1020C000018078004F1D78109A1978004F1DD47840
+:1020D0006DA0C0006F20002CD678DA789F600000D9
+:1020E00078007B20002C9E689F600000D678002D31
+:1020F0000260D87806ADC0007B200260D0780180F5
+:10210000D278C0008720DC7884A0FFFEDE78D87803
+:10211000602006A07C002EA030251C61A26184A155
+:10212000FFE11E6084A16000400097207E0E7810C1
+:10213000D3487F0E9665A6659A66AA66AF600000D2
+:10214000B360000014677810C51991200080A0606A
+:1021500084A00080C000BE20086884A00100400068
+:10216000BE20912001807810311A912000807810D3
+:10217000CB1C91200180D7780000DB78000078002C
+:102180003021246096A00100C000C5200080266098
+:10219000106A14689120018002A24800D4204000F7
+:1021A000D420392000027810312178003021082C09
+:1021B00091200080A06084A0008040000121006880
+:1021C00065A040000621046A7E0E71204052007016
+:1021D00084A001004000FB20487006A2C000FB2044
+:1021E000046B1C2360210263002305A0C000F620BD
+:1021F0000269602202617F0E78000D216021026277
+:1022000006697F0E78000D21006865A04000062158
+:1022100002610269C0000A210669602103600000B2
+:102220006021A06084A0008040001721086884A07D
+:10223000FCFF0A681068008012689120018008681D
+:102240008CA040004000262186A040000A6878103B
+:10225000421A9120008078106F2291200180DB7853
+:102260000000D77800007C00086005A70A60912074
+:1022700000807810CB1C91200180D87865A04000A8
+:1022800044219C60DA789F60000078003421D77880
+:102290000000DB7800007C009079947800800AA12F
+:1022A000C800502106A09678D270047805A040009E
+:1022B0005E2101800678C0005E2168005E219120C9
+:1022C00080407C00392077217800652139207D21EC
+:1022D000042705A04000762100AC6820086B0C6C38
+:1022E0001069146A0A690E6A126B166C38877800D6
+:1022F00065217C00030009000F0015001B00000091
+:1023000015001B0000007E0C78103B3C682C7F0CF5
+:102310007C001000F7216800F72129200000CB780D
+:1023200000008C7865A04000F0210920745204213F
+:1023300084A001004000BE21046086A00301C0000B
+:10234000BE21186005A0C000BE21146005A0C00019
+:10235000BE217E0D69200000186884A00100C00025
+:10236000BD210C60C6701060CA70C37020801B68ED
+:102370000100912080407F0D7810F21C7800F5213B
+:102380007F0D7810F8214000F021046294A2FF0034
+:1023900096A203004000D021046296A21001C00062
+:1023A000DE21CB780100046294A200FF1782118223
+:1023B0004000DE21FF85C000F021108202A2C8008B
+:1023C000F0217E05781007227F054000EB21E078A0
+:1023D00086A003004000F0217800DE212885C8781F
+:1023E00005A040008E21FF854000F721912080400C
+:1023F000B078D6707C00AC7BB079D47002A1C000FC
+:102400000122002305A07C004800052202A37C00D5
+:1024100002807C000120FD04042082A00500C80089
+:1024200021229120008071202000047005A0C000AE
+:1024300056220870087206A2C000562286A2080022
+:10244000C00056227120100078105B220920200065
+:10245000046086A00301C0003022286005A0C000EF
+:10246000302209200C007810761940004922C478E7
+:102470000080C67886A00200C0005622912000800D
+:10248000E3780300C7780000CC7885A00003CE78FD
+:102490009120018078005622C77800007810F21C45
+:1024A000AC79B07800800AA1C800542206A0B278A6
+:1024B00006A071201000912001807C00078104801B
+:1024C0000480B87AB47BC07CBC7D10A299A30000C4
+:1024D000A1A40000A9A500007C0009205B52912066
+:1024E00000800A207E0F7E0E71204052007086A070
+:1024F0000000C000892209201252042105A0C0005A
+:10250000892279200001307884A0C000C00089228F
+:10251000180089221B784B007F0E7F0F7C007E0FF6
+:102520007E0E7120405291200080007086A0000035
+:10253000C000A22279200001307884A0C000C00031
+:10254000A2221800A2221B784D00912001807F0E4C
+:102550007F0F7C007E129120002371204052792051
+:1025600000014B780F009800B52238787800AE2231
+:10257000A9204000007882A004004800BE22A920C3
+:1025800060009B780000AF780000AF78000070001A
+:10259000C8227800C022007882A004004800D72218
+:1025A000B77093001920F04F781013232F7001801B
+:1025B0007800E322B77000001920704E78101323C2
+:1025C0001920AF4E781013232F7000800370000085
+:1025D00078102024047084A00F007E010920FD04DF
+:1025E0000C218AA105004800F8223800FE2285A0AF
+:1025F0008062780000232800FE2285A08062780097
+:10260000002385A0C0627F0106780F7804B243786A
+:10261000D800537880000B7808004770080053708A
+:102620007F524F7000007F1200207C007E137E14CA
+:102630007E157E04A1202B01042305A09A7840007A
+:1026400033231883242318839823A82484A400FF09
+:1026500040002B2382A40001A92000012020A653C2
+:1026600005A0C00022231833780019237F047F15AA
+:102670007F147F137C008CA10F0011200101042224
+:1026800084A0F0FF05A11220781020247C001120E6
+:102690000101A92009000B8170004D237800482317
+:1026A0008CA1000E042284A0FFF105A112207C0061
+:1026B00009200101A9200500138270005E23780023
+:1026C000592394A2E000042184A01FFF05A20A2040
+:1026D0007C0011200101A9200C000B8170006F23E8
+:1026E00078006A238CA100F0042284A0FF0F05A1CA
+:1026F00012207C0011200201042284A0CFFF05A13A
+:1027000012207C000381038080A020007E0C6120C9
+:1027100000019A60AC62AC637F0C7C000381038093
+:1027200080A022007E0C612000019A60A46084A039
+:10273000DFFFAE607F0C7C000381038080A022005D
+:102740007E0C612000019A60A46085A02000AE602C
+:102750007F0C7C000381038080A020007E0C612020
+:1027600000019A60A460AE621020A460AE631820DD
+:102770007F0C7C00912000807E0C7E0E186805A0E6
+:102780004000FE2361200076781006244000E823F4
+:10279000A9200000612000757E0C781006244000FE
+:1027A000D6237F0C608C7000D4237800C923780076
+:1027B000FE237F0082A000757120405286708271D6
+:1027C000012004006E7093700F0073700000781089
+:1027D0006A227800FA23C06005A0C000FE237120A1
+:1027E00040528271002C8A70012006006E70937036
+:1027F0000F007370000078106A220120000078003A
+:102800000024012001009120018005A07F0E7F0C93
+:102810007C00042C05A040001D2460200C6006A351
+:10282000C0001A24106006A2C0001A24146006A179
+:10283000C0001A2406A078001F2400607800072436
+:1028400085A001007C00112041520C228CA10F00B8
+:1028500011203B01042284A00001400036242120E5
+:1028600004FF22210B810B810B810B818DA1000FB5
+:1028700004217C007E0EE4688CA0200040008A24A5
+:1028800084A00600C0008A241460078084A00F0082
+:10289000038003800380F0A08054047084A00A00A9
+:1028A000C0008A24087194A100FF40008A248CA1F2
+:1028B000FF0001200C0006A140007124012012003D
+:1028C00006A1400075240120140006A140007924CF
+:1028D0000120190006A140007D240120320006A13C
+:1028E0004000812478008524092012007800872484
+:1028F0000920140078008724092019007800872413
+:10290000092020007800872409203F0078008724D0
+:1029100011200000002105A20A707F0E7C006800D3
+:102920008C2491200080712000007E00187084A00B
+:102930000100C00093247F0071201000CA707F0046
+:10294000C670C3700280DB704107DF700600712023
+:1029500000001B700100912080407800AA247E10A6
+:102960007E007E12912000233C7F587E307C387D93
+:10297000C277C674CA76CE7594A53F009CA40300A6
+:1029800084A40F007900C124D324D324D3240D2898
+:10299000093AD12402250C25D124D124D124D124D3
+:1029A000D124D124D124D12478108C24078584A06B
+:1029B0001F007900D82416250D28C729C42AEC2A1F
+:1029C0008C2D37309A30FB3080313832D6320225A8
+:1029D000E9280C30F824AC3DCC3D8F3F9B3F744040
+:1029E000F824F82449414D41AA3DF824FA3EF82440
+:1029F0005E3C0C25F82478108C241800B1247F123A
+:102A0000912001807F007F107C001920494F7810B1
+:102A100013232F7001001B784F007800FA2419202F
+:102A2000AF4E781013232F7000801B78CD007800F4
+:102A3000FA24427209200F520B20000084A50100E5
+:102A4000C000723C4000332578108C2403700000D5
+:102A50004B70000043700000377000007810E039C0
+:102A60001800B12409200F520B200000687005A047
+:102A7000C000FE256C7084A0070079003C25352637
+:102A8000442550256D258F25DC25B5254425781056
+:102A9000C839092048007810D82EC0004E25037090
+:102AA00004007800FA247810C839C0006B258070C3
+:102AB000078082789B781000AB780C009B786000D0
+:102AC000AB7801005B7804000920DD007810CC2E83
+:102AD000C0006B250370040093700F007800FA2487
+:102AE0007810C839C0008D258071078182789B7865
+:102AF00010008CA11F008DA1C000AA79AB78060040
+:102B00009B786000AB7802005B7804000920DD0050
+:102B10007810CC2EC0008D250370040093700F0038
+:102B20007800FA247810C839C000B3258071078175
+:102B300082789B7810008CA11F008DA1C000AA791B
+:102B4000AB7820008471AA79AB780D009B78600087
+:102B5000AB7804005B7804000920DD007810CC2EEF
+:102B6000C000B3250370040093700F007800FA24AE
+:102B70007810C839C000DA258071078182789B7887
+:102B800010008CA11F008DA1C000AA79AB780600AF
+:102B90009B786000AB7802005B7804000920DD00C0
+:102BA0007810CC2EC000DA2588708B700000682069
+:102BB0004A700370020093700F007800FA247810B6
+:102BC000C839C000FA2488706820146F7810BD38A6
+:102BD000502C78107A3A9B781000146884A01F005B
+:102BE00085A08000AA781C6E412001008C7084A012
+:102BF0000004012004004000FC25012006007800AC
+:102C00001D277810C839C000FA249B78100068701E
+:102C10006820146F7810BD38502C78107A3A08600C
+:102C200085A010000A60246805A040001C2682A030
+:102C3000060048001A2678001C2627680500146B39
+:102C40009CA31F009DA3C000587084A0008040007A
+:102C50002A2684A6010040002C269CA3BFFFAA7B45
+:102C600031202000412001000120030078001D27B1
+:102C70001800B1244C7485A4000040004F2680A0A9
+:102C800080523020507108812AA148004626092030
+:102C9000805264210465FF85C00060262184C00045
+:102CA00040265271037000004B700000407005A078
+:102CB0004000723C7800FA244C76B0A680525071E5
+:102CC000002678004B265271682558254A75502CED
+:102CD000346085A00000C0005D2608673A7784A7AD
+:102CE0003F034000962684A72100C0005D2684A7EC
+:102CF000020040007F2684A7040040005D26BCA798
+:102D0000FBFF0A6784A70800C0005D2684A71000A7
+:102D1000C0005D2684A70002C0005D2684A70001D4
+:102D200040009626186005A0C0005D26BCA7FFFEE7
+:102D30000A67236800001C6E84A60E00186140001C
+:102D4000A6261C6002A14800A9264000A9267800FA
+:102D50005926FF81C0005926C368000084A780005F
+:102D6000C000B1260C702260BCA77FFF0A677810F4
+:102D70007A3A1800B1249B78100046A07810C83920
+:102D8000C000FA24146B9CA31F009DA3C0005870C0
+:102D900084A000804000CD2684A601004000CF26FC
+:102DA0009CA3BFFF84A610004000D5269DA3200051
+:102DB000AA7B408884A60E00C000E026BDA71000B4
+:102DC0000A6778001B2758718CA100084000CB349B
+:102DD0001120200084A60800C000F126108284A6DD
+:102DE0000200C000F1261082AA7A40887810E039EB
+:102DF000146A0C6108818CA1FF00E0A10075642CAD
+:102E0000FF8C40001227146006A2C000FC26B860A8
+:102E10000180BA60C000F7267E0C602A086085A099
+:102E200000010A607F0C780035267810C839C00090
+:102E3000FA24602A0E61AA794088327101200100CB
+:102E40007E005C7184A118004000382784A1100026
+:102E500040002B277810D036C0005B2784A10800E3
+:102E600040003827A06984A10006C00038277810E8
+:102E7000BB3578005B27A06984A1000840004F277C
+:102E80007E0C7E026029006085A000200260046143
+:102E90008DA1100006617F027F0C7810D036C00033
+:102EA0005B27A06984A100024000572778100C36E8
+:102EB00078005B2784A10004C0003427A06984A1A6
+:102EC00000104000662714698CA100FF0F81781064
+:102ED0008B237F0002708CA6E00084A66000400077
+:102EE000742786A06000C00074278DA10040FF8871
+:102EF000400079278DA104005A79B6699B7860005B
+:102F00000028AA789B78610018688DA0008084A0B2
+:102F1000FF7F1A698CA680004000982797700000F8
+:102F20008AA00D00500096278AA00C0096710120FF
+:102F30000C000C809A71AA7808800C814000D13472
+:102F40008CA1F800C000D1347E157E137E14A12020
+:102F50002B019B7800000080AC8080AD0B00982096
+:102F6000A6537F147F137F15146807808278946DB1
+:102F7000D67DDE7D986ED27EDA7E7810C839C000AC
+:102F8000CF272C7003804800C8271920AF4E781037
+:102F900013232F700080307884A0C000C000CF279A
+:102FA0009800D727086084A0EFFF0A607810E03906
+:102FB00078002325007284A2070086A00100C000CB
+:102FC000E4271B784F007810E0397800F527B46AC1
+:102FD00095A200205A7A1B784F007810E0390072D1
+:102FE000002505A64000F52784A2070079100328D4
+:102FF00080AD0900367084A2070086A00100C000E1
+:10300000FA24186000801A607800FA240B284B4BD1
+:103010004B4B3A4B4B4B0B283A4B0B2878108C24DC
+:103020007810C8397E0F79200052CC787F0F84A0A9
+:103030000100400031286C7086A00100C0002028EB
+:103040006E707800C4286C7086A00500C0002F2820
+:10305000887068201B68040017680000206885A03D
+:10306000080022686F700000112004006C7186A1B6
+:1030700001004000522886A10700C0004228092014
+:1030800038520B20050078005228092013520421E1
+:10309000092012520A20092038520B2001006F70BB
+:1030A000000073700100780054286F7000007810E1
+:1030B00087487E15A9201000392000007810B0370D
+:1030C000B8A700017000632878005B287F150070A6
+:1030D0007900672895287C287C286F2895289528D2
+:1030E0009528952821205A52042405A040009528AF
+:1030F00006ADC0007C280068222078008C2820685B
+:1031000084A00100C0008828146F7810BD387810A2
+:10311000A23478008C2860706020006802601A6A0F
+:1031200017680000206885A0080022687810DC1C61
+:10313000212000767810D12821205A527810D128E9
+:103140007E15A9200000212000757810D128208448
+:103150007000A9287800A2286120005521200200D3
+:10316000A920000118601061FF814000B82802A169
+:103170005000B82812601B600000E0AC1000700026
+:10318000C0287800AF282184C000AD287F159C702E
+:1031900084A000804000CB287810CE3A0370000055
+:1031A0004B7000007800FA247E04042405A040003F
+:1031B000E528682000687E001A6A17680000206809
+:1031C00085A0080022687810DC1C7F007800D328D6
+:1031D0007F04232000007C0082A203005000EF281F
+:1031E00078108C2400237900F228F5286829852995
+:1031F00082A202004000FB2878108C246C706F7053
+:10320000000093700000790002290A290A290C297C
+:103210004029D7340A2940290A2978108C2480773C
+:103220007810B0378077BCA7000F7810BD381860D1
+:1032300005A0400037292120007609200400112034
+:1032400010007810A029400037297E15A920000021
+:10325000212000757E040920040011201000781040
+:10326000A0297F0440003629208470003629780088
+:1032700027297F15388784A71F00C00012297800EE
+:1032800023257800232580777810BD38186005A0A5
+:103290004000662921200076092005001120200029
+:1032A0007810A029400066297E15A9200000212061
+:1032B00000757E0409200500112020007810A02947
+:1032C0007F04400065292084700065297800562914
+:1032D0007F1578002325002279006B296E2970293B
+:1032E000702978108C24092012006C7086A00200CE
+:1032F0004000792909200E00186884A00080400051
+:103300007F291A696F7000007370010078005639C8
+:103310000022790088298D2970298B2978108C2426
+:1033200078108748007086A00100C00067347810CC
+:10333000B834086084A0EFFF0A6078105A34400067
+:10334000673478003526042405A04000C32968208E
+:10335000042D7E00146806A74000AF29202D7F00B1
+:103360007800A1297F0022201A69176800002068D0
+:1033700005A222687810DC1C1060018012600860D1
+:1033800084A0EFFF0A607810B8347C0085A00100AB
+:103390007800C22900237900CA29CF29CD29682ABB
+:1033A00078108C24EC7884A00100C000E329007020
+:1033B00086A00400C000DB297800062A7810B83403
+:1033C000086084A0EFFF0A6078006734E47805A005
+:1033D000D000062A1800FA24082084A03000C0007B
+:1033E000F2291B784F007800FA24EC7884A00300BF
+:1033F0004000EE29002184A007007900FC293F2A23
+:103400004A2A302A042ABB39BB39042A592A78109F
+:103410008C24007086A00400C000202A6C7086A056
+:103420000200C000162A11200200192000007800B6
+:10343000E9286C7086A006004000102A6C7086A0F7
+:1034400004004000102AE47984A1300040002A2AB8
+:10345000EC7884A00300C0002C2A78000C300120F6
+:1034600003007800A02D186884A000804000372A4F
+:103470001B681D0078108F372B7808301B7856009A
+:103480007800FA24186884A000804000462A1B684F
+:103490001D0078108F3778008639186884A0008066
+:1034A0004000512A1B681D0078108F372B78083098
+:1034B0001B78CA007800FA24186884A000804000B5
+:1034C000602A1B681D0078108F372B7808301B7816
+:1034D0008F007800FA2484A50F00C000852A0070B0
+:1034E00079006F2A2325792A772A6734673467346D
+:1034F0006734772A78108C247810B834086084A058
+:10350000EFFF0A6078105A3440006734780035269F
+:10351000E47805A0D000062A1800062A082084A016
+:103520003000C000942A1B784F007800FA24EC7811
+:1035300084A003004000902A002184A107007900A4
+:103540009E2AB02AB42AA82AA62ABB39BB39A62AA1
+:10355000B13978108C24781097372B7808301B7885
+:1035600056007800FA24781097377800863978105A
+:1035700097372B7808301B78CA007800FA24781027
+:1035800097372B7808301B788F007800FA240023B7
+:103590007900C72ACC2ACA2ACE2A78108C2478002F
+:1035A00080311B680800A3780000E47984A1300012
+:1035B00040008031EC7884A003004000803184A179
+:1035C00007007900E02AE82AB42A302A5639BB39A4
+:1035D000BB39E82AB13978106A397800FA2482A216
+:1035E00005005000F22A78108C2400237900F52A77
+:1035F000F82A4D2D5B2D00227900FB2A152B022B7A
+:10360000152B002B322D78108C249B781800A8786D
+:1036100084A0FF0082A0200048006B378AA004002D
+:10362000C8006B377900112B6B376B376B37193745
+:103630009B781800A87984A180004000262B780090
+:103640006B37007005A0C0001C2B1120040078000F
+:10365000E93284A1FF008AA01000C8006B3779000E
+:103660002E2B402B3E2B582B5C2B172C6B376B379C
+:10367000192C6B376B372E2D2E2D6B376B376B3725
+:10368000302D78108C2484A6001040004D2B012092
+:103690000005008000803A781B788D007800FA24BD
+:1036A000186884A000804000562B1B681D0078001D
+:1036B000442B780056391B681D0078007B37206941
+:1036C000226984A60018C0009D2B206884A00100F8
+:1036D000C000A52B186886A00800C0006E2B1B68D0
+:1036E000000084A600044000132C84A68000400043
+:1036F000992B97700000186884A03F008AA00D00E5
+:103700005000992B8AA00C00967101200C000C80AF
+:103710009A719B786100AA787E157E137E14A12091
+:103720002B019B7800000080AC8080AD0B009820BE
+:10373000A6537F147F137F151B7858007800FA2456
+:1037400084A600104000A52B1B7865007800FA24A1
+:1037500084A6600040000F2C84A6000840000F2CB7
+:1037600084A60080C000B32B7800CB2BB4A6FF7FCB
+:103770005A7EB66EDC7AD879D0781B80C800BE2B12
+:10378000008084A03F0008A191A20000986B002156
+:1037900002A3B268946B002203A3AE6884A6004023
+:1037A0004000D32BB4A6FFBF5A7EB66E007086A031
+:1037B0000300C000E02B78103D4978103A4B1B788D
+:1037C00064007800FA2406A07810414CB06AAC6915
+:1037D000986C946B002205A14000EF2B002222A4DC
+:1037E00000211BA3AA6CD27CDA7CA66BD67BDE7B85
+:1037F000002305A4C000012CB5A600405A7EB66E79
+:103800001B7864007800FA241B786400002215A15C
+:10381000C0000B2C78104B4B7800FA247810964B94
+:103820007800FA241B7865007800FA241B78580089
+:103830007800FA2478108C2478007A2C206984A1EE
+:1038400000014000312C8CA1FFFE22697E0C5470D7
+:103850006020006084A0FFEF0260046084A0F5FF98
+:1038600006607F0C7800692C84A100024000692C5E
+:103870008CA1FFFD22697E0C54706020006084A042
+:10388000FFDF0260046084A0EFFF06600820482C80
+:103890007F0C84A108004000692C7810B93878109A
+:1038A000BB35FF884000692C9B7860000028AA780F
+:1038B000587EB5A604005A7E84A60004C000632C7E
+:1038C0002B7808301B7856007800FA242B780830C3
+:1038D0001B7865007800FA24587E84A60004C00096
+:1038E000722C1B7858007800FA241B786500780049
+:1038F000FA24780073377800733719200000907924
+:103900008CA10700C000882C206884A00001400022
+:10391000782C092008009B781000A87894A0FF005C
+:1039200086A20100C000BF2C0023A87C00A41820A0
+:1039300002A14000B72C48009C2C7800B92C80A331
+:10394000020002A1C800B72C20698CA1FFFC2269EB
+:103950007E0C54706020006084A0EFEF0260046071
+:1039600084A0E5FF06607F0C587EB4A6FBFF5A7E5C
+:1039700078006A2C78001B2CA824A87AF000B92CB7
+:1039800078008A2C84A2F00086A02000C0001F2DA1
+:1039900018831883002302A14000CF2C4800CF2CAD
+:1039A00078001C2D86A223004000782C1C6884A07F
+:1039B000F1FF1E68587E84A6F1FF85A0100030201C
+:1039C0005A7E086085A010000A607E0C547060204A
+:1039D00004600820482C7F0C84A110004000F32CC8
+:1039E0007810B9387810D0367800022D7E0C5470DB
+:1039F000602004600820482C7F0C84A1080040004F
+:103A0000692C7810B9387810BB35FF884000692CD4
+:103A10009B7860000028AA78B5A604005A7E84A688
+:103A20000004C000162D2B7808301B785600780053
+:103A3000FA242B7808301B7865007800FA24A87ADD
+:103A400078008A2C1883002302A14000282D48000A
+:103A5000282D78008A2C84A28000C0007B37780053
+:103A6000733778007B3778006B379B781800A8781D
+:103A700084A0FF008EA0010040003D2D78108C2412
+:103A8000A87A94A2FF00A87884A0FF008AA004006E
+:103A9000C8006B377900492D6B3708356B376536B1
+:103AA00082A20000C000532D78108C2478108F372C
+:103AB0002B7808301B7865007800FA2482A2030076
+:103AC000C000612D78108C2484A40080C000842D57
+:103AD0006C7005A040006B2D78108C24146F8277D9
+:103AE000BCA7000F7810BD38086085A021000A60CF
+:103AF000388784A71F00C0006F2D781093376F7030
+:103B00000200092038520B2009007800862D781019
+:103B10009F372B7808301B7865007800FA2482A242
+:103B200004005000922D78108C2400237900952DEC
+:103B3000982D812EB42E86A2030040009E2D781071
+:103B40008C24012000007E00C06805A04000A72D45
+:103B500003700300A06884A000204000B02D08601E
+:103B600085A002000A607F003E70007084A00700FC
+:103B70007900B72D2325C12DC12DB62FF22F232576
+:103B8000F22FBF2D78108C2484A60010C000C92D00
+:103B90007810874840005B2E68788CA0FF004000BA
+:103BA000112E86A10800C000E02D7810B8340860FE
+:103BB00084A0EFFF0A6078105A344000112E78106C
+:103BC00087487800F82D86A12800C000112E7810B3
+:103BD0008748086084A0EFFF0A60186005A04000D5
+:103BE000F82D01801A6005A04000F82D018005A085
+:103BF0004000F82D1E60206884A0010040002325AD
+:103C0000206884A0FEFF226860707E0C602000683F
+:103C100002607F0C0460026805A0002DC0000E2E1B
+:103C200002600660780023257E017810E52E7F0172
+:103C300084A600DF1E682B680000146FFF8140001F
+:103C40005B2E86A10200C0005B2E84A60008C00087
+:103C50002E2E84A6600040002E2ED878DC7A2E68A6
+:103C6000326A206884A00008C0005B2E178794A2E7
+:103C70000F0013821382138290A2805490A200003E
+:103C80001C2284A30001C000442E78004A2E10821A
+:103C9000042285A018001220118284A30004400091
+:103CA000572EA06884A00001C000572E7810692FFD
+:103CB00078002325086085A002000A60166918684C
+:103CC00084A000804000632E3C701A688CA600DF40
+:103CD0001E697810A9347810B834C000702E0860BE
+:103CE00084A0EFFF0A60206884A00100C000792E44
+:103CF0007810A23478007D2E607060200068026029
+:103D00007810DC1C7800232582A204004800872E4E
+:103D100078108C24002279008A2E852E8E2E9B2EE0
+:103D20008E2E007086A005004000972E78108F37E9
+:103D30002B7808301B7865007800FA24907807808B
+:103D4000018084A0070080A018009A78A8798CA12F
+:103D5000FF0086A103004000B02E86A100004000B5
+:103D6000B02E78006B371B7865007800FA24206845
+:103D700085A004002268FF82C000BF2E78108F3714
+:103D80007800C62E11824000C42E78108C24781042
+:103D90009F372B7808301B7865007800FA242C7048
+:103DA00003804800D62E1920AF4E781013232F70B1
+:103DB00000807810E039307884A0C000C000E22E86
+:103DC0001800E22E1A7906A07C0085A001007C0074
+:103DD00084A66000C000EF2E2F680000336800004A
+:103DE0007800682F84A60008C000112FB46884A052
+:103DF000004835A684A60008C000112F9869946A6F
+:103E00002E69326A3C7005A0C000092F002205A16E
+:103E10004000102F3F701500007086A00600400083
+:103E2000102F781087487C0084A620004000332F94
+:103E300084A6004040001F2F2F6800003368000058
+:103E40007800092FB46884A0004835A684A60040F5
+:103E5000C000192F3C7005A0C0002D2F3F70150029
+:103E6000D879DC7A2E69326A7800092F84A600405E
+:103E700040003D2F2F680000336800007800092FB4
+:103E8000B46884A0004835A684A60040C000372F3F
+:103E90003C7005A0C0004B2F3F701500D879DC7A2C
+:103EA000D078FB80C800522F008084A03F0008A17A
+:103EB00091A200002E69326A002105A2C0005F2F86
+:103EC0007800092F007086A006004000682F781047
+:103ED000414C7800092F7C00086085A000020A6030
+:103EE00084A300024000752F086085A002000A60CC
+:103EF0001B6806008F68000093680000306A2C6918
+:103F00003E6A42692F68030033680000376820006A
+:103F1000976800009B682000B3680000AF6800004D
+:103F200000707900902F23259A2FA32F982F982F78
+:103F3000982F982F982F78108C24206884A0010047
+:103F4000C000A32F7810A2347800A92F6070502CE5
+:103F5000602000680260602A21205A52042405A0D3
+:103F60004000B22F20207800AB2F222D6B200000C4
+:103F70007C007810A9347810B834086084A0FFFD64
+:103F80000A602B6800009B780E00146F176802000F
+:103F90007810894C84A600084000CF2F1C698DA1A1
+:103FA00000201E69186884A000804000DF2F687818
+:103FB0008CA0FF004000DD2F1B681E007800DF2F63
+:103FC0001B68000021205A52042406AD4000E62F51
+:103FD000607400682220C36800003C6A4069326A4D
+:103FE0002E697810DC1C780023257810E52E2B68CC
+:103FF000000001200E00146F7810E6398CA0FF003D
+:104000001669186884A00080400005303C701A686A
+:104010008CA600DF1E696F700000780023250070F9
+:1040200005A0C00012307800232506A0781087482C
+:10403000176800001B6814008CA600DF1E692B683F
+:104040000000206885A0FF002268007079002530FC
+:1040500023252F302F3031303130313031302D3079
+:1040600078108C247810B834086084A0EFFF0A60C0
+:1040700078007234002379003A303D303F30983078
+:1040800078108C2484A60080C0007D3000707900F8
+:1040900046302325503050306C30503079306C3001
+:1040A0004E3078108C2484A6600086A06000C0008A
+:1040B0006830B4A6DFFFB4A6FFBFB5A600205A7EC5
+:1040C000B66E1C6884A0DFFF1E68781087487810E1
+:1040D0004B4B7800563984A6002040005A301868AF
+:1040E00084A00080400079301B68150084A6004041
+:1040F000400079301B68070078106A397800FA248C
+:10410000B4A6FF7F5A7EDC7AD879D078D2791B802A
+:10411000C8008830008084A03F0008A191A2000060
+:10412000986B002102A3B268946B002203A3AE68CF
+:104130001B7865007C0078108C24002379009D306A
+:10414000A030A230EB3078108C2484A60080C00010
+:10415000DA3000707900A9302325B330B330CF3086
+:10416000B330D630CF30B13078108C2484A66000C4
+:1041700086A06000C000CB30B4A6BFFFB4A6FFBFCE
+:10418000B5A600205A7EB66E1C6884A0BFFF1E68CC
+:104190007810874878104B4B7800563984A6002059
+:1041A0004000BD30186884A000804000D6301B68F5
+:1041B00007001B78CA007800FA24B4A6FF7F5A7E55
+:1041C000DC7AD879986B002102A3B268946B002244
+:1041D00003A3AE68D2791B7865007C00206885A0B7
+:1041E0000400226878102139B5A6000878108F37AE
+:1041F0002B7808301B7865007800FA2400237900BA
+:10420000FE3001310331053178108C2478007B3782
+:1042100084A60004C0002E31E47984A1200040006F
+:104220001531EC7884A00300400015312B7809305B
+:104230009B786000AB78000084A6FBFF5A78E47995
+:1042400084A1200040002631EC7884A00300C00047
+:104250002A31012014007800A02D84A107007900E4
+:104260006631907A94A207009B786000A879FF815C
+:10427000400064319B781000A87B84A30100C0003B
+:104280005531A87BA87B86A30100C00048310920D6
+:10429000F7FF78004E3186A30300C0005531092096
+:1042A000EFFF7E0C54706020046004A106607F0C58
+:1042B0009B786000AB78000084A6FBFF5A782B78CF
+:1042C000093020698CA1FFFD8CA1FFFE22697800D6
+:1042D00056393F2A4A2A703178316E316E3156395B
+:1042E000563978108C2420698CA1FFFD8CA1FFFE2B
+:1042F00022697800603920698CA1FFFD8CA1FFFE46
+:10430000226978005639E47984A1300040008A316E
+:10431000EC7884A00300C000B131007086A00400D6
+:10432000C000A4316C7086A00200C0009A31112038
+:104330000200192000007800E9286C7086A00600B1
+:10434000400094316C7086A00400400094310070ED
+:1043500086A000004000FA24186885A000801A6832
+:10436000012014007800A02D84A107007900B53148
+:1043700056395639BD315639BB39BB39563956399C
+:1043800084A680004000EC319471FF814000EC3144
+:1043900082A10D00D000CD31977000007800D2319D
+:1043A00082A10C00967009200C009B786100AA790C
+:1043B0007E157E137E149870148110A29A7280A0CC
+:1043C0000B0000AD9820A1202B019B7800000881F4
+:1043D000AC81A6537F147F137F157800603984A6C3
+:1043E0000004C0002D32206884A001004000603924
+:1043F0008CA6600084A660004000013286A06000A8
+:10440000C00001328DA100408CA1FBFF5A79B66932
+:104410009B786000AB7800009B786100186885A0ED
+:1044200000801A68AA7808800C814000D1348CA1E1
+:10443000F800C000D1347E157E137E14A1202B011C
+:104440009B7800000080AC8080AD0B009820A653C4
+:104450007F147F137F151468078082787800603995
+:10446000186884A00080400034321B6808001B7864
+:10447000C0007800FA24002379003B324032D43265
+:104480003E3278108C24007084A0070079004532F9
+:1044900023254F3284325A324D3223254D324D324C
+:1044A00078108C241C6884A00020400068320860CA
+:1044B00085A002000A6078006832C06805A0C000CC
+:1044C000843220698DA101002269C368010000685F
+:1044D0006A7078007E3220698DA10100226900682F
+:1044E000066005A0C000723202601C6884A00E0045
+:1044F00040007E321470BA68307188A1007578006F
+:10450000803209200076042102680A2D6271B66E9D
+:1045100084A660004000D23284A60008C000983211
+:1045200084A6FF7FB6689468A6689868AA68781021
+:1045300087487800D23284A620004000AD32C0689F
+:1045400005A04000A4327810894C7800A73206A05C
+:104550007810414CD879DC7AAA69A66A7800B3321F
+:104560007810CA38AA69A66A7810414C84A60080DF
+:104570004000D23284A6FF7FB668DC7AD87984A660
+:104580002000C000CA32D0781B80C800C53200802D
+:1045900084A03F0008A191A20000986B002102A313
+:1045A000B268946B002203A3AE68780023257800DC
+:1045B0007B373770000082A206005000DE32781090
+:1045C0008C24007084A00700C0108C3A002379006E
+:1045D000E632E9321233263300227900EC3210330E
+:1045E0007B37F232103342338433037005000120ED
+:1045F000107768204A707E15A92031000320000042
+:104600000080700002337800FB327F1580AD090016
+:10461000367017680000B76800072368000827682D
+:10462000030078006B3778108C240370050001209C
+:10463000107768204A7080AD09003670002279003A
+:104640001E337B3724332433423324337B377810B3
+:104650008C24037005000120107768204A7080AD1B
+:10466000090036700022790032333A333833383358
+:104670003A3338333A3378108C2478109F372B78BC
+:1046800008301B7865007800FA2403700200807AF5
+:1046900094A2000F9B781800A87C84A41F0015A288
+:1046A00069200076042D082D6271682005A0400065
+:1046B0005D33146806A240007933006878005033F7
+:1046C000037005000120107768204A7036707E154F
+:1046D000A920310003200000008070006E337800B4
+:1046E00067337F1580AD09003670166AB76800071A
+:1046F0002368000827680300B46E5A7E206884A0EF
+:10470000000C4000D333781097377800D333037010
+:104710000200807A94A2000F9B781800A87C84A4E1
+:104720001F0015A2A879A8798CA1FF00E8A1007547
+:10473000042D082D6271682005A04000A333146881
+:1047400006A24000BE33006878009633037005006F
+:104750000120107768204A707E15A92031000320BF
+:10476000000000807000B3337800AC337F1580AD5B
+:1047700009003670166AB7680007236800082768C2
+:104780000300B46E5A7E206884A0000C4000D3332E
+:1047900084A000084000CD3378109B377800D333D5
+:1047A000781097378B7000007800D3337E02078231
+:1047B00084A00F0003800380038080A080546020C9
+:1047C000567000605A7004605E7084A660004000FD
+:1047D0002B34986B946CAC69B06805A1C0000D34A3
+:1047E000D27BDA7BD67CDE7CB4A6FFB75A7E84A669
+:1047F000600086A0600040002B34C06805A0400027
+:104800000634037003002B68000078103A4B7800E0
+:10481000083478104B4BB5A600205A7E78002B3414
+:10482000B0681AA3002123A4002405A340002B3460
+:10483000D27BDA7BD67CDE7CB068B4A6FFBF5A7E22
+:104840007E00C06805A07F0040002934037003008B
+:1048500078103A4B78002B347810964B7F077810FD
+:10486000BD380920650084A6040040004C34E4787B
+:1048700084A0300040004434EC7884A00300400061
+:1048800044342B7808300920650078004C347E0FC2
+:1048900079200052781087487F0F400023251A792D
+:1048A000002D4A70078284A00F00038003800380DC
+:1048B00080A0805448207800FA24206005A04000A1
+:1048C000663401802260086085A008000A601070CC
+:1048D00026607C0006A078108748176800001B68D7
+:1048E0000100236840001F680001007084A00700D9
+:1048F000790077342325813481349E348934873498
+:1049000089347F3478108C247810A9347810A2343C
+:104910007810DC1C780023256C706F700000937099
+:104920000000790090349A349A349834983498344A
+:104930009A3498349A34790002296F700000780014
+:1049400023251B6800007800B62F006805A0C00072
+:10495000A734026006607C00106005A04000B234FD
+:104960000180D000B23478108C241260086084A0DA
+:10497000EFFF0A607C00186005A04000BE34018093
+:104980001A607C007810E0391B6818007800F53454
+:104990007810E0391B6819007800F5347810E03998
+:1049A0001B681A007800F5347810E0391B680300A2
+:1049B0007800F53480777810BD3884718CA1FF00C1
+:1049C000E8A10075042D082D682005A0C000E7347B
+:1049D000780023251468807206A24000EF34006836
+:1049E0007800E03400680A201B6805008B70000026
+:1049F0007810A934206884A00100C000FE3478102B
+:104A0000A2347810B8341F680000236820007810A2
+:104A1000DC1C7800232582A20300C0006B37A87D30
+:104A2000ACA5FF00A87CA4A4FF0020698DA1800094
+:104A3000226984A1000140006C358CA1FFFE22692F
+:104A4000A4A4FF004000563582A40C00480029357C
+:104A50004000293521200C002B852B8578102E381D
+:104A6000400033357810273678005F357810E93705
+:104A70007E0C6029046084A0F5FF06607810523631
+:104A80007F0C20698DA100012269587EB5A6040023
+:104A90005A7E84A60004C00050352B7808301B785D
+:104AA00056007800FA242B7808301B7865007800CF
+:104AB000FA247E0C6029046084A0F5FF066078105B
+:104AC00052367F0C587E84A60004C00068351B78DF
+:104AD00058007800FA241B7865007800FA247E0CD0
+:104AE0005470602000618CA100104000AC35086259
+:104AF000178294A2FF0082A20C004800803540007B
+:104B0000803511200C00002402A2C8008535202227
+:104B1000086294A2FF00187086A02800C000953596
+:104B200082A21900C8009B351120190078009B351E
+:104B300082A20C00C8009B3511200C00002202A5A7
+:104B4000C800A03528227810ED372B852B857810EA
+:104B50002E384000AC35781027367800B035781004
+:104B6000E93778105236587885A004005A787F0CBF
+:104B70002B7808301B7865007800FA247E0C6029B9
+:104B80000060E4D0C000D535B4D0C000CF3510608F
+:104B900084A00F00C000CF3504618CA1F5FF066131
+:104BA0007F0C7C0011203200192000007800FC35B9
+:104BB000A06884A00002C000CF35086294A2FF0064
+:104BC000187086A02800C000EA3582A21900C8002B
+:104BD000F035112019007800F03582A20C00C800D1
+:104BE000F03511200C0008631F839CA3FF0082A3F3
+:104BF0000C004800FC354000FC3519200C00AB7857
+:104C00000100AB780300AB780100AA7AAA7BC0A8A8
+:104C10000500206885A0000122687F0C7C007E0CC6
+:104C200060298CA1F5FF06611120320019200000D7
+:104C300078001736AB780100AB780300AB78010041
+:104C4000AA7AAA7BC0A80500206885A00001226876
+:104C50007F0C7C007E0C5471602178102E367F0C06
+:104C60007C00082084A0F0FF25A4867C18609A7838
+:104C7000AE7C1264A47884A0F8FF8CA1070005A183
+:104C8000A67816608A78A4A40F0027840482048082
+:104C900084A0FF0005A40E60EC788CD0C0005136D3
+:104CA000046084A0F5FF06607C007E0C54706020D8
+:104CB000781059367F0C7C0018609A78A47884A00C
+:104CC000F0FFA6781260847884A0F0FF86787C00DC
+:104CD00082A20200C0006B37A87A20698DA18000F3
+:104CE000226984A100024000AE368CA1FFFD22693A
+:104CF00094A2FF0082A20200C8006B377810F93638
+:104D00007810523680A901000C207810B93878103C
+:104D1000BB35FF884000A1369B7860000028AA7848
+:104D2000587EB5A604005A7E84A60004C0009B36B7
+:104D30002B7808301B7856007800FA242B7808303E
+:104D40001B7865007800FA24587E84A60004C00011
+:104D5000AA361B7858007800FA241B786500780082
+:104D6000FA2482A20200C800B63684A201004000E4
+:104D7000C036547188A100000C218CA10020C00015
+:104D8000C036112000007810DB377810F936781023
+:104D90005236587885A004005A782B7808301B7852
+:104DA00065007800FA247E0C7E02602900601120E4
+:104DB0000100ECD0C000E936BCD0C000E73614607A
+:104DC00084A04000C000E7368CA1EFFF066106A07A
+:104DD0007800F63611200000AB780100AB780200B5
+:104DE000AB780300AA7AC0A80400206885A000025E
+:104DF00022687F027F0C7C007E0C5470602078104B
+:104E000000377F0C7C00FF824000053711204000F6
+:104E1000186080A002009A78A47884A0BFFF05A241
+:104E2000A6788A781660EC788CD0C00018370460B9
+:104E300084A0EFFF06607C007E00007086A0030067
+:104E4000400022377F00780025377F0078006737E1
+:104E500084A6200040006737887884A04000400086
+:104E60006737B87B84A33F001B83C80035370080B9
+:104E700005A040004B371B83C8003E37018040002F
+:104E8000633784A6004040004B37B8781B80C800C9
+:104E90004737008084A03F00C0006337B4A6FFBF3F
+:104EA0005A7ED879DC7A0120010008A1C800573762
+:104EB00091A20000D279DA79D67ADE7A7810414C64
+:104EC0001B7864007810C64A7800FA241B786400C6
+:104ED0007800FA241B7865007800FA247810A3374C
+:104EE0002B7808301B7865007800FA2478108F370B
+:104EF0002B7808301B7865007800FA2427680200B8
+:104F000078109737E47884A0300040002325EC78AF
+:104F100084A00300400023252B7808301B7865000F
+:104F20007800FA24012005007800A53701200C0044
+:104F30007800A537012006007800A53701200D0074
+:104F40007800A537012009007800A5370120070067
+:104F50009B781000AA789B786000AB780100B5A61A
+:104F600004005A7E7C007E073F87BCA70F003B876A
+:104F70003B870387E0A08054B8A720009A7FA479DC
+:104F800084A10F004000C93784A1F0FFA678126009
+:104F9000046085A008000660388738879A7FA47966
+:104FA00084A140004000D93784A1BFFFA6781660D5
+:104FB000046085A0100006607F077C009B781000CD
+:104FC000AB780100AB780200AB780300AA7A9B783B
+:104FD0006000AB7804007C00212000002920320012
+:104FE0009B781000AB780100AB780300AB78010030
+:104FF000AA7DAA7C9B786000AB7805007C007E15BA
+:10500000078084A0FF000380038080A020009A789E
+:10501000A4798CA1F0FF01204652042082A0280030
+:10502000400017382120A03819201400A9200C00B6
+:1050300078001D382120AC3819201900A9200D0056
+:1050400011206400042484A0F0FF06A140002C3845
+:105050002084002310A270002C3878001F387F15A0
+:105060007C007E15092046520C2182A132004800A6
+:105070004238400046380920923819201100A920F2
+:105080000E00112032007800583882A1280040001C
+:1050900050380920A03819201400A9200C00112034
+:1050A0006400780058380920AC3819201900A9206C
+:1050B0000D0011206400002202A54000683848005D
+:1050C00068380881002310A27000653878005838CD
+:1050D0007F1506A07C007F1582A56400C800773884
+:1050E000087885A070000A78447085A0700046702A
+:1050F00078007738EC7884A0000340007F380421E2
+:105100007800903804219EA00211C0009038012040
+:10511000FD04042082A0050048008F380120011200
+:1051200078009038042105A07C0002110230023280
+:105130000342034404540456056605680678067A5B
+:10514000070C070C070E0232024202520262027280
+:10515000056605760578057A057C057E057F0222C1
+:105160000232024202520454046404740476047845
+:10517000047A047C047E047F9B78100046A07C00A7
+:1051800084A7000F0B8084A71F0003800380038087
+:10519000038005A1E0A000557C00D879DC7AD078A6
+:1051A0001B80C800D138008084A03F0008A191A2D4
+:1051B00000007C007E0F79200001092040529120E0
+:1051C000008004217900E1381739EB38EB38EB38EF
+:1051D000EB38EB38EB381B3978108C244B78040013
+:1051E000487884A00400C000ED384B780800487867
+:1051F00084A00800C000F438B46885A00040B668F8
+:10520000587885A000405A78307884A08000C0008B
+:105210001739180017391C6884A02000C000153900
+:105220007E0E7120405278106A397F0E780017394F
+:105230001B78CA00912001807F0F7C00B3700000B2
+:105240007810443B780017397E0C1468078084A0DE
+:105250000F00038003800380E0A08054046084A0DA
+:105260000A00C0005439086194A100FF400054397D
+:105270008CA1FF000120190006A140004339012044
+:10528000320006A14000473978004B390920200040
+:1052900078004D3909203F0078004D391120000079
+:1052A000002105A20A60046085A0020006607F0C50
+:1052B0007C001B7865007800FA242B7808301B7876
+:1052C00065007800FA241B7858007800FA242B78BF
+:1052D00008301B7856007800FA24092020520C214F
+:1052E00086A1000040007E3986A10100400081397E
+:1052F000092038520B200B006F7001001B7848000A
+:105300007C001B78C4007C00092038520B200A0066
+:105310007C00092020520C2186A100004000A13908
+:1053200086A1010040009B39092038520B200B0058
+:105330006F7001001B7848007800FA240920385269
+:105340000B200A007800FA242B7808301B78C40060
+:105350007800FA241B78CA007800FA242B780830E9
+:105360001B78CA007800FA241B788F007800FA2492
+:105370002B7808301B788F007800FA24186884A0F6
+:1053800000804000C2391B681D006F7001001B784F
+:1053900048007800FA247E00307884A0C000C00065
+:1053A000DE39087884A0FCFF0A78050005000500B6
+:1053B0000500EC7884A021004000DE3944700A78B2
+:1053C00005A07F007C00447085A0020046700A782A
+:1053D0007C007E00307884A04000C000E73998004F
+:1053E000F2397F009A78AC787C00087884A0FDFFC1
+:1053F0000A780500050005000500EC7884A021006E
+:105400004000013A9800FF397F009A78AC787E001E
+:1054100044700A787F007C00EC7884A00200C00011
+:10542000714884A77D00C000153A002778108C24AD
+:1054300084A70100C0000C3084A770004000253A0A
+:105440007E0C602D682F78103724782D682C7F0C07
+:1054500084A708004000323A4B780800EC7884A01A
+:105460000300400023257800563984A7040040003B
+:10547000653AB87884A001404000653A4B7808004E
+:10548000EC7884A0030040002325E47884A0070082
+:1054900086A00100C000653AC07885A00048302091
+:1054A0005A7E1B78CA007800FA244B7808001868E6
+:1054B00084A000804000613A1B68150084A600406B
+:1054C0004000613A1B68070078106A397800FA24B6
+:1054D0001B680300587884A0003F1E682F680000F6
+:1054E000336800004B780800EC7884A0030040008B
+:1054F000062A1800FA2478007337146B078384A0F7
+:105500000F0003800380038080A080546020482027
+:10551000567000605A7004605E70602A7C007900EA
+:105520008E3A963A973A963A993A963A963A963AFF
+:105530009E3A7C007810B8347810874838700A603A
+:105540007C00A07005A04000AB3A68207810D31B07
+:105550007810FE4778100548A37000007C007E0E8E
+:105560009120008071204052007086A00700C0008A
+:10557000C23A1061BC7006A1C000C23A7F0E78101A
+:10558000E01B7810C83A06A07C00912001807F0EB5
+:1055900085A001007C007E0F7E0E712040527800B5
+:1055A00097225B780000AF700E00092000017E0199
+:1055B000A0706DA04000DD3AA37000007800E33ACF
+:1055C000B370000078100C1C4000E93AAC702668FB
+:1055D0007810C63B7800DD3A7F017E157E0C7E0D8B
+:1055E000A920080061201076006005A102601C60FF
+:1055F0006DA04000013B00681E607810AC19086087
+:1056000000800A607800F43A18606DA040000B3BFF
+:1056100000681A607810AC197800013BE0AC080013
+:105620007000113B7800F13A9C7084A0008040002B
+:10563000183B7810443C7F0D7F0C7F157C007E1258
+:1056400091200023046884A00F007900243B343BA0
+:10565000343B343B343B343B343B363B3C3B343BC8
+:10566000343B343B343B343B3E3B343B363B78109D
+:105670008C247810D3457810AC197800423B276809
+:105680000B007810D3457810C63B7F127C007E1249
+:10569000912000239800603B307884A0C000C000B7
+:1056A000603B7E0D78101248002D2E680920040002
+:1056B00001200000276884007810C7477810C63B97
+:1056C0007F0D7800943B487985A100404A78980086
+:1056D000693B4A7978004E3B287886A03418C00090
+:1056E000723B85A104007800793B287886A01418C5
+:1056F000C000663B85A10C004A789B780E00AB7811
+:105700000200587884A0FF0085A000045A78B47085
+:1057100080A091001A78276884022C683668306867
+:105720003A6809200400012000007810C7477F1262
+:105730007C007E0D146B7810701C4000A33B682029
+:10574000276802007810C63B7800983B7F0D7C00EC
+:105750007E0D146B286CA4A4FF0078101C1C400064
+:10576000B33B6820276802007810C63B7F0D7C00A1
+:105770007E0D146B9CA3FF007810481C4000C43BB6
+:105780006820276802007810C63B7800B93B7F0D7F
+:105790007C007E0C146978103B3C04698CA1FF00EE
+:1057A00086A106004000E13B86A10D004000003CC0
+:1057B00086A11700C000DD3B7810AC197800DF3BF4
+:1057C0007810DE1C7F0C7C00046001804800FE3BEA
+:1057D00006600920000084A60100C000EE3B8DA1F8
+:1057E000008084A604004000F43B8DA102001E69E5
+:1057F0002368000004710F81186805A11A687800F9
+:10580000DD3B78108C24186005A0C0000F3C0860B8
+:10581000018048000F3C0A601C600268002D1E6079
+:105820007800253C88AC0600042105A04000183C07
+:1058300008207800113C02680A2D086001804800A9
+:10584000DF3B0A601860682000681A607800093C35
+:105850007E157E137E147E0C7E0D78108919C00093
+:10586000303C78108C24A02D7F13A9203100A35345
+:105870007F0C7F147F137F157800DD3B84A11F0010
+:1058800003800380038080A0107660207C001920B4
+:105890005152042385A001001A201920020104237B
+:1058A00085A001001A207C0019205152042384A0F5
+:1058B000FEFF1A2019200201042384A0FEFF1A20F3
+:1058C0007C0090798CA1F8FF9279B47080A0D80008
+:1058D0001A787800FA24A370000003700000437067
+:1058E0000100377000001800B12478100C1C400033
+:1058F000993C09200F520B200000BC686020006119
+:1059000084A1000340008D3C27680E0084A00002A3
+:105910004000893C276817007810C63B7800683C37
+:10592000007086A00700C0000D3D002DA27080AD64
+:105930000F0036707800A03C407086A00100400047
+:1059400033257800FA24312000001C6984A102006C
+:105950004000A93CB5A6040084A1C00003800380D8
+:10596000078080A0A63D042035A6206884A00004FE
+:105970004000C13C9B781800AB7803009B78810005
+:10598000AB780100B5A60050206884A000804000DC
+:10599000CF3CB5A600049B780E0024680780AA7847
+:1059A0007800EF3C1C68FCD0C000DD3CB5A60008C8
+:1059B0002068C4D04000EF3CB5A600407800EF3C22
+:1059C0002068C4D04000E53CB5A600407800EF3C1C
+:1059D0009B781800AB7802009B788100AB780100BF
+:1059E000B5A6001084A600024000093D2C68D278BC
+:1059F0003068D67884A600014000073D2C6884A05A
+:105A000001004000073D887884A040004000073D29
+:105A1000B5A600807810F6475A7EB66E78003548F5
+:105A20007810C839C000A03D2C70048048001B3D90
+:105A30001920494F781013232F70010041200100D5
+:105A4000312000109B781800146884A01F0085A0E6
+:105A50008000AA781C6984A102004000343DB5A6EC
+:105A60000400AB7820002868AA78C0A802001C684F
+:105A7000F4D040003D3D502C78107A3A781002471F
+:105A8000206884A0008040004B3DB5A600049B78B0
+:105A90000E0024680780AA787800523D1C6884A014
+:105AA0000080C000523DB5A60008206884A0000117
+:105AB0004000593DB5A600401C6884A0C00003808A
+:105AC0000380078080A0A63D042035A684A600019F
+:105AD0004000733D2C6884A001004000733D88782D
+:105AE00084A040004000733DB5A600809B787E00F6
+:105AF000AE7EB66E14680780AA7882781028AA7ADB
+:105B0000307884A0C000C000A03D1800A03DB47053
+:105B100080A0DD001A787810E03984A600024000E9
+:105B2000943D2C68D2783068D6787810F647002DEE
+:105B3000A2704A701068BE700370070080AD0F003D
+:105B400036707800FA247810D31B7810E03978008A
+:105B5000FA24000000030002000078108C240023C7
+:105B60007900AF3DB23DB23DB43D78108C24781041
+:105B70000548246984A1FF0086A00A004000C63DB4
+:105B800084A100FF85A00A0026687810D31B780046
+:105B9000683C01200A00781097477800683C82A290
+:105BA00005005000D23D78108C24007084A00700BE
+:105BB000C0108C3A78108919C000F43D6920FFFFAD
+:105BC00084A604004000E53D012000287800E73D60
+:105BD00001200008B47188A191009B780E00078015
+:105BE000AA78312000045A7E1A797800FA240768CE
+:105BF00006010B6800009F6800002768000086A36C
+:105C00000200C000153E86A20200C000153EA0782A
+:105C100005A0C000153E84A40080C000153EE478B5
+:105C200084A008004000153EB5A608001920000019
+:105C300078101742002DA2704A7003700700377069
+:105C40000000246884A080004000273E7810CD42E8
+:105C50007800FA24002379002A3E2D3EAE3EC73E4E
+:105C600000227900303E353E453E6B3E773E9A3EFF
+:105C70002920010026A0112000007810F3437900AC
+:105C80003E3E433EFA24683C433E433E78108C241B
+:105C900090798CA10700C0004C3E0920080011201B
+:105CA000010084A604004000543E1120030020227D
+:105CB0002AA1112001007810F34379005C3E613E77
+:105CC000FA24683C693E633E78003B48AB70673E0F
+:105CD0007800FA247800613E78108C2484A61000A5
+:105CE0004000753E78109C424000753E7800FA24D2
+:105CF00078000D43006084A002004000943EB47020
+:105D000080A0CD001A787E0D78101248002D2E68E4
+:105D1000276800007810C63B7F0D7810AC1903701F
+:105D20000000377000004B7000007800683C84A6CB
+:105D30000400C0009A3E78003B48006084A0040044
+:105D4000C000AC3E006084A001004000AC3EAB70DF
+:105D5000AC3E0120070078108F477800414878005A
+:105D60003B4800227900B13EB63EB83EB63EB63E54
+:105D7000B63E78108C24A770BC3E78004748E47883
+:105D800084A00800C000B83E78108147AB70C53EC3
+:105D900078003B4800227900CA3ECF3ED13ED13E3A
+:105DA000CF3ECF3E78108C24E47884A008004000D9
+:105DB000E63EA770DA3E78004748112004007810CC
+:105DC000ED437900E03EE63EFA24683CE63EF03ED4
+:105DD000F43EAB70EE3E0120030078108F47780050
+:105DE000414878003B48AB70E63E7800FA24AB703F
+:105DF000F83E7800FA247800EE3E82A203005000BC
+:105E0000003F78108C2486A30200C000193F86A2B0
+:105E10000200C0001F3FA07805A0C0001F3F84A45F
+:105E20000080C0001F3FE47884A008004000193FB4
+:105E3000B5A608001920000084A6080040001F3FF6
+:105E4000781079421068BE70037007000023790053
+:105E5000263F293F563F5E3F002279002C3F313FCD
+:105E60002F3F4A3F78108C249079ACA1070026A0E0
+:105E7000112001007810F34379003B3F403FFA24A2
+:105E8000683C483F423F78003B48AB70463F780053
+:105E9000FA247800403F78108C2484A6100040003B
+:105EA000543F78109C424000543F7800FA24780018
+:105EB0000D4300227900593F5C3F5C3F5C3F781006
+:105EC0008C2400227900613F643F663F663F781072
+:105ED0008C24E47884A0080040007B3FA7706F3FCB
+:105EE00078004748112004007810ED437900753F91
+:105EF0007B3FFA24683C7B3F853F893FAB70833F03
+:105F00000120030078108F477800414878003B4813
+:105F1000AB707B3F7800FA24AB708D3F7800FA2499
+:105F20007800833F00237900923F973F993F953F48
+:105F300078108C24A4707A00A4707A0082A20200E7
+:105F40005000A13F78108C2484A600024000AB3F93
+:105F50007810FE477810D543781005480023790063
+:105F6000AE3FB13FD93F3F4086ADFFFF4000683CA8
+:105F700086A201004000BB3F78108C2484A600025A
+:105F80004000C33F7810FE4778100548012001000B
+:105F900078109747B87884A001C04000D53F487872
+:105FA00085A008004A78487884A00800C000D03F47
+:105FB000037000007800683C00227900DC3FDE3F7F
+:105FC0000F40A770E23F7800474811200D0078107D
+:105FD000ED437900E83FEF3FFA24683CF73FFF3F8D
+:105FE00005400740B4A6FF00B5A60004B66E5A7E71
+:105FF00078003548B4A6FF00B5A60004B66E5A7EF8
+:1060000078003548AB7003407800FA247800EF3F01
+:1060100078108C24AB700B407800FA2478104D482F
+:106020007800FA24A7701340780047481120120026
+:106030007810ED43790019401F40FA24683C2B404A
+:1060400033403940B4A6FF00B5A60004B66E5A7EB0
+:10605000B47080A0AA001A787800FA24B4A6FF00D1
+:10606000B5A60004B66E5A7E78003548AB7037404E
+:106070007800FA2478001F40AB703D407800FA2485
+:1060800078002B4086A201004000454078108C2407
+:10609000A770494078004748112015007810ED435B
+:1060A00079004F405440FA24683C62406E40B4A6E8
+:1060B000FF00B5A60004B66E5A7E3B780113B4709B
+:1060C00080A0B5001A787800FA24B4A6FF00B5A61F
+:1060D0000004B66E5A7EB47080A0AA001A787800C8
+:1060E000FA24AB7072407800FA247800544082A2FF
+:1060F000030050007A4078108C24002379007D4002
+:106100008040B740144186A20100400086407810CC
+:106110008C24046884A0FF0086A00600C000934081
+:106120007810C63B037000007800683C3B680000B4
+:106130003768000084A600024000A1407810FE47A6
+:106140007810D54378100548012001007810974752
+:10615000B87884A001C04000B340487885A008000A
+:106160004A78487884A00800C000AE400370000060
+:106170007800683C00227900BA40BC40EF40A7702C
+:10618000C0407800474811200D007810ED43790099
+:10619000C640CD40FA24683CD540DD40E340E540B0
+:1061A000B4A6FF00B5A60008B66E5A7E7800354842
+:1061B000B4A6FF00B5A60008B66E5A7E7800354832
+:1061C000AB70E1407800FA247800CD4078108C2440
+:1061D000AB70EB40781005487800FA2478104D48F1
+:1061E0007800FA24A770F340780047481120050092
+:1061F0007810ED437900F940FE40FA24683C0641EE
+:106200000E41B4A6FF00B5A60008B66E5A7E78000F
+:106210003548B4A6FF00B5A60008B66E5A7E7800D1
+:106220003548AB7012417800FA247800FE4086A20F
+:10623000010040001A4178108C24A7701E4178009C
+:106240004748112006007810ED4379002441294188
+:10625000FA24683C2F413941B5A60008B66E5A7E33
+:1062600078003548B4A6FF00B5A60008B66EB5A6FE
+:1062700000405A7E78003548AB703D417800FA24E2
+:106280007800294100237900424147414541454179
+:1062900078108C2478108C240023A87105A07A0132
+:1062A0001068BE7082A203005000554178108C2403
+:1062B0000023790058415B4169418B4184A600026B
+:1062C000400063417810FE47781005480120010026
+:1062D000781097477800FA2496A202004000724195
+:1062E000FF824000724178108C24A77076417800BC
+:1062F0004748112018007810ED4379007C41814116
+:10630000FA24683C83418541780035487800354857
+:10631000AB7089417800FA2478008141002279002D
+:106320008E419041A941A7709441780047481120BF
+:1063300017007810ED4379009A419F41FA24683C98
+:10634000A141A3417800354878003548AB70A7419A
+:106350007800FA2478009F4184A40080C0000542A0
+:1063600084A600014000B5417810FE477810D5435F
+:10637000D878D278DC78D678B4A6FFEF5A7EA770AA
+:10638000C0417800474811200D007810ED43790096
+:10639000C641CD41FA24683CCD41F341F941FB416E
+:1063A000D878DC7905A1C000DF41B87884A01F004F
+:1063B000C000DF41B3700000587884A0FFFD5A7818
+:1063C0007800354884A600014000F141487885A056
+:1063D00008004A787810BC472C68D2783068D678A4
+:1063E000B37000007810F64778003548AB70F7417D
+:1063F0007800FA247800CD4178108C24AB700142EB
+:10640000781005487800FA2478104D487800FA246E
+:1064100078100548AB700F420120030078108F47B9
+:10642000780041487810F6472C68D2783068D678E2
+:1064300078003548B8701268BE700080BA701B686A
+:10644000000084A6080040003A427E157E137E14A8
+:106450009078048004800480048084A00F001A686F
+:10646000AC809B78000080AF2B00982080AD0B00A3
+:10647000A020A5537F147F137F15C4A6000F84A608
+:106480000200C00049422C690D810D810D8184A15B
+:106490000700082078005C429B781000AC7984A14A
+:1064A000200040005C427E01092005000120003DE3
+:1064B0007810C747246885A03B0026687F0184A127
+:1064C0001F0005A8166878103B3CBE6884A604002F
+:1064D00040006D428CA100FFA87884A0FF0005A1B8
+:1064E0002A68B4A6FF00006084A00800400077423C
+:1064F000B5A60040B66E7C007E157E137E1418692A
+:106500009078048004800480048084A00F007E00C2
+:1065100000A11A687F000080048040009842A820F3
+:10652000048180A00B0000ADA0209B78000080AF0C
+:106530002B009820A5537F147F137F157C002C68B7
+:1065400084A02000C000A4420C627800A542106222
+:10655000186B002302A24000C542182082A30E003F
+:106560004800B5424000B54219200E007800B942FB
+:10657000587884A0EFFF5A783B78011B937800008D
+:10658000A27BB47080A08E001A7885A001007C00E8
+:10659000587884A0EFFF5A789378000006A07C001A
+:1065A00004698CA1FF0096A107004000DA4296A181
+:1065B0000F004000DA4207681701146978103B3C6D
+:1065C00000610481C800F5421C6005A04000E9425A
+:1065D000012000087800F7427E0D24687E007810C4
+:1065E00012487F002668002D2E687810C63B7F0D6C
+:1065F0000120000224698CA1FF000DA126690780FB
+:106600009B780E00AA78206885A00080226831203F
+:106610000004B66E5A7EB47188A191001A797C008C
+:10662000C4A6000F84A60200C00021432C690D817E
+:106630000D810D8184A10700082005A81668781037
+:106640003B3CBE6878002443146978103B3C0061F1
+:106650000481C800824384A10003400030430768DE
+:10666000170178004E43046005A0C0005743076837
+:1066700017011C6005A0C00044437E0D781012482D
+:1066800027683400002D2E687810C63B7F0D84A645
+:10669000040040004E43312000040120002878000F
+:1066A00052433120000401200008B47188A19100F8
+:1066B0007800B043186005A0C00044431C6005A0EA
+:1066C000C00044439F68000027683D0084A6010085
+:1066D0004000BE4394D6C0007B430061D4D140004B
+:1066E0007B432C698CA1FF004000BE4386A10300C0
+:1066F0004000BE4386A112004000BE43B5A600087C
+:10670000B47188A1AE007800B94307681701312041
+:1067100000042C698CA1FF0086A11200C0009343E5
+:106720000120CB43092001007800A44386A1030087
+:10673000C0009D430120CC43092012007800A443EF
+:1067400001200002B47188A191007800B043781054
+:10675000E147A37800001C6885A040001E68B47162
+:1067600088A1DA0006A0266807809B780E00AA7828
+:10677000206885A000802268B66E5A7E1A7978005B
+:10678000FA24B66E7810C63B1068BE70037007001E
+:10679000A37000004B7000007800FA242300700002
+:1067A00005000000000A00000000250000000000B5
+:1067B0003B6800003768000084A600024000EC43FC
+:1067C000B8788CA01F0084A000804000E5430881B9
+:1067D000D87800A13668DC7881A000003A687C0097
+:1067E00090790F81ACA507002120000080A4100043
+:1067F0009A78A8798CA1FF0084A18000C0001B4476
+:1068000082A12000C800394482A11200C80081473B
+:106810000021791009447C008147EB45814781477D
+:10682000464449448344B944ED44F0448147814798
+:10683000A44414454E4581478147744584A12000F6
+:10684000C000A8458CA11F00146884A01F0006A1E9
+:1068500040003644B47080A0CD001A7801201400A6
+:106860007810974778100548037000000120020057
+:106870007C00012000007C0082A12400C800814728
+:1068800084A10300791009447C0081478147814736
+:106890008147781081477C00002279004C4477457D
+:1068A0007745704470447044704470447044704440
+:1068B00070446E4470446544704470447044704445
+:1068C000704478447B4477457B4470447044704402
+:1068D0007E0C7E07146F7810B0377F077F0C78002E
+:1068E000704478108E462768B30209200B000120FF
+:1068F00000487800AB45781073477C002768930008
+:1069000009200B000120004878009345582D0468A9
+:1069100084A0FF0086A00600C0008D440768170110
+:106920002768020078101248276836003269002D67
+:106930002E687E0D7810963B7810D345682B781022
+:10694000C63B7F0D7810C63B012002007C0078100A
+:10695000D3450120170078109747A3700000092045
+:1069600038520B200600AF70170009200002781083
+:10697000D43A012001007C0000227900BC44774514
+:10698000A845A845A845DD44BA45E544BA45BA45F9
+:10699000BD45BD45C245C245D544D544A845A845D9
+:1069A000BA45A845E5447745E544E544E544E54472
+:1069B0002768840009200B00012000437800CC45A3
+:1069C00027680D0009200B00012000437800AB452B
+:1069D0002768930009200B000120004378009345AD
+:1069E000012000007C0000227900F34477450C452B
+:1069F0000C450C450C45BA45BA45BA45BA45BA45A9
+:106A0000BA45BA45BA450C450C450C450C45BA4546
+:106A10000C450C45BA45BA45BA45BA45774527688D
+:106A2000930009200B00012000437800934584A6C1
+:106A30000400C0002845046884A0FF0086A006006A
+:106A4000C00081477810D345076817017810C63B0E
+:106A5000012002007C00006084A004004000814707
+:106A6000582D046884A0FF0086A00600C0003745AA
+:106A70000768170127680200781012482768360057
+:106A80003269002D2E687E0D7810A53B7810D34515
+:106A9000682B7810C63B7F0D7810C63B01200200A2
+:106AA0007C00006084A0040040008147046A94A236
+:106AB000FF0086A20600C0005C4507681701276832
+:106AC0000200582D78101248276836003269002DD0
+:106AD0002E687E0D7810B53B7810D345682B781062
+:106AE000C63B7F0D7810C63B012002007C00781069
+:106AF00081477C00B47080A0CD001A78012001008D
+:106B000078109747781005480370000001200200B4
+:106B10007C007810C7477810FE477810D54378106E
+:106B2000CD4278100548012001007C007810C7474D
+:106B30007810FE477810D543B47080A0CD001A7845
+:106B40000120130078109747781005480370000063
+:106B5000012002007C00781081477C007810C74734
+:106B60007810FE477810D5437810CD42781005484C
+:106B700078104D48012001007C00012003007C00BA
+:106B800078108E46012000007C007E0C7E07146F7A
+:106B90007810B0377F077F0C012000007C00781050
+:106BA000C74778108147012006007C0004698CA14A
+:106BB000FF0086A107004000DE4586A10F00C0004F
+:106BC000E2457810FE477810D543B47080A0CD0020
+:106BD0001A7878100548037000007C00A87A94A207
+:106BE000FF00A87884A0FF008AA00400C8008147A5
+:106BF0007910F8457C008147FC458147954682A283
+:106C0000030040000346781081477C00A87DACA5B6
+:106C1000FF00A87CA4A4FF00B86984A10001400083
+:106C200042468CA1FFFEBA69A07805A0C00042468A
+:106C3000A4A4FF004000364682A40C0040001F467A
+:106C4000C80029462B852B8578102E384000294610
+:106C5000781027367800384678106047781052361A
+:106C6000B8698DA10001BA69B5A600105A7E7800F6
+:106C70003B4678105236B4A6FFEF5A7EB47080A01F
+:106C800091001A78012001007C007E0C7810824669
+:106C90000062E4D2400073460862178294A2FF00AB
+:106CA00082A20C00480055464000554611200C00B9
+:106CB000002402A2C8005A462022086294A2FF00C3
+:106CC0001C7002A2C80062461C72002202A5C80005
+:106CD00067462822781064472B852B8578102E383C
+:106CE0004000734678102E3678007746781060475B
+:106CF00078105936B5A600105A7EB47080A0B9003D
+:106D00001A78012004007F0C7C007E001468078044
+:106D100084A00F00038003800380E0A080547F00E4
+:106D20007C007E0C78108246781059367F0C7C00EF
+:106D300082A20200C0008147A87A94A2FF00B8692D
+:106D400084A100024000CC468CA1FFFDBA69A07866
+:106D500005A0C000CC4682A20200C8006B377810A4
+:106D60002A477810F9367810523684A60001400080
+:106D7000C2462C6884A001004000C246FCC6887848
+:106D800084A040004000C246FDC6B5A600105A7E51
+:106D9000B47080A091001A78012001007C007E0C64
+:106DA0007810824684A2FEFF4000D74611200100E1
+:106DB0007800DB4684A201004000E1460061ECD18E
+:106DC000C000E1461120000078101C477810003701
+:106DD0007810593684A600014000F7462C6884A03C
+:106DE00001004000F746FCC6887884A040004000BF
+:106DF000F746FDC6B5A600105A7EB47080A0B90053
+:106E00001A78012004007F0C7C007E0C6029006051
+:106E10001120010084A00020C0000D4711200000B7
+:106E2000AB780100AB780200AB780300AA7AC0A867
+:106E30000400B86885A00002BA687F0C7C009B78CB
+:106E40001800AB780100AB780200AB780300AA7A97
+:106E50009B788100AB7804007C007E0C547060202D
+:106E6000006084A00010C000384729203200212093
+:106E70000000780058470865ACA5FF00187086A090
+:106E80002800C000484782A51900C8004E472920A5
+:106E9000190078004E4782A50C00C8004E472920F3
+:106EA0000C0008642784A4A4FF0082A40C004800FE
+:106EB000584721200C0078106447B86885A000016D
+:106EC000BA687F0C7C0021200000292032009B78CA
+:106ED0001800AB780100AB780300AB780100AA7D05
+:106EE000AA7C9B788100AB7805007C000120030020
+:106EF00078108F47B5A600105A7EB47080A0B900F4
+:106F00001A78012005007C000120070078108F47C7
+:106F1000B5A600105A7EB47080A0B9001A7801207E
+:106F200004007C009B781800AA789B788100AB78DD
+:106F300001007C0004698CA1FF0096A107004000BD
+:106F4000A54796A10F004000A5477810AC197C001A
+:106F5000246994A13F00C000AE478CA1C0FF05A1E9
+:106F600026687810C63B1C6984A100014000BB471D
+:106F7000146978103B3C0462108206627C002C6924
+:106F800034682E6812A13069386832681BA100A2EB
+:106F900001A37C007E0CE0AD180003607000066168
+:106FA0000B6000000F60000A136000001760000013
+:106FB00007801A601F600000236000007F0C2468B7
+:106FC00085A0800026687C007E157E137E149820A4
+:106FD00080AF2D00A020AC814000EC47A65384A1D7
+:106FE00001004000F2470433BE787F147F137F1501
+:106FF0007C00B07005A0C0108C24B37000807800B5
+:107000004B4BB071FF81400004487810414C7C002C
+:10701000B071FF8140001148487885A008004A7887
+:10702000B3700000781087487C007E0C7E0D7810CD
+:107030008919C0001A4878108C247F0C7E157E13A5
+:107040007E14A02D982CA9203100A3537F147F1308
+:107050007F1507680D010B680000047007801A682F
+:10706000236800001F6800009F6800007F0C7C0000
+:10707000B47080A091001A787800FA24B47080A0CF
+:1070800081001A787800FA24B47080A0B9001A78C8
+:107090007800FA24B47080A0C3001A787800FA242B
+:1070A00004698CA1FF0096A1070040005A4896A1F0
+:1070B0000F0040005A4807681701246884A0FF00A9
+:1070C00085A00002266807809B780E00AA782068B9
+:1070D00085A00080226831200004B66E5A7EB4710B
+:1070E00088A191001A797C0078100548487885A01D
+:1070F0000C004A78B47080A0CD001A7809200B00EB
+:10710000012000447810C7470120130078109747EA
+:107110007800683C7E129120002249208748007048
+:10712000047205A20C7215A2087084A0F7FF05A2D4
+:107130004000994878009E48037000007F120020AC
+:107140007C00007084A00100C000CC48087103815D
+:10715000C800AB487810CE497800A3480C708CA0CA
+:10716000FF004000CC4804700480C800C34814707D
+:1071700005A0C000BF48107005A04000C34802A190
+:10718000C800A348077010007800CC48FF8A400070
+:10719000CC487810184CC000C6484000A34878106E
+:1071A0005749037000007F1200207C007E010461BB
+:1071B0008CA1FF0086A107004000DF488EA10F00D0
+:1071C000C000E24840607800E34828647F01FF8403
+:1071D00040000D49702C0470BCA00F00B8A71D49D9
+:1071E0003C27FB87C000FB484800F54878108C24FA
+:1071F0009C6075A040000D497800E848042768AEFF
+:10720000086830A60C6829A5218440000D493887FC
+:10721000042705A0C000FC489C7075A0C000E84889
+:107220007C000000050009000D0011001500190088
+:107230001D000000030009000F0015001B000000E6
+:10724000000012490F4900000000008000001249B0
+:1072500000001A49174900000000000000001A4908
+:107260000000154915490000000000800000154984
+:1072700000001B491B4900000000000000001B49E2
+:107280007E12912000227920005271201000077098
+:107290000A000770020003700000712020000770D0
+:1072A0000A000770020003700000492000007F12EE
+:1072B00000207C00492057491920000004700480F8
+:1072C000C800AA49077012000871087006A1C00022
+:1072D000614984A1E00140006C4978108C240120B0
+:1072E000FD04042082A00500C800774984A1004065
+:1072F000C00061499CA10C3086A304204000854950
+:1073000086A308004000904986A30C20C000614974
+:1073100000720482480090490C7384A3FF0040006F
+:10732000904978108C2407701200007084A001002E
+:10733000C000AA49087084A0E001C000AA491073E7
+:10734000147005A34000AA490C7184A10003C00079
+:10735000AA4984A1FF00C0005749077012000770B6
+:107360000800047084A00800C000AE490770120035
+:10737000087103814800B3490370000049200000F0
+:107380007C007E107E007E127E1591200022087106
+:107390007810CE497F157F12912001807F007F10E9
+:1073A0007C00047200750C7384A30003C000F549CF
+:1073B00084A1E001C000194A087184A1E001C00065
+:1073C000194A0120FD04042082A00500C800E949F3
+:1073D00084A10040C000D94984A107007900ED498B
+:1073E000F749094AF549094AF549554AF549534AC1
+:1073F00078108C24047084A0100085A00200067010
+:10740000FF8AC000044A492000007800084A78102A
+:10741000184CC000044A7C00047084A0100085A0B1
+:1074200002000670FF8AC000144A7800184A7810DB
+:10743000184CC000144A7C00077012000871E0006C
+:107440001C4A91200060E000204A912000600770F3
+:10745000120007700800047084A00800C000284AC9
+:10746000077012000871038148002D4A0370000064
+:10747000007005A0C000414A047005A0C000414A48
+:107480000C7005A04000434A7800244A49200000BF
+:107490007810D738186884A0008040004E4A1B68D6
+:1074A00002007C0078108C2478108C247810B14A6B
+:1074B000107214710C709CA0FF00002800A311A290
+:1074C00089A100007810B14A0427582C60AC0863E9
+:1074D000002222A30C6300211BA3002405A340006B
+:1074E000784AC800784A128410820A8389A1000071
+:1074F000602B78005F4A602B078A7E00046084A0BE
+:1075000008004000844ABAA717497800864ABAA7FB
+:107510000F497F003DA7002C86688A6F926C8E6BA6
+:1075200007701200781057497C003887042705A09F
+:10753000C000A54A9C6005A04000AE4A60200460DF
+:1075400084A00F0080A01D493C20FB8740108C24A4
+:10755000518A4000AD4A087084A0030086A0030051
+:107560007C00512000007C00508A3987042704A049
+:10757000C000C54A006064A0C000BC4A602D046021
+:1075800084A00F0080A02D493C20FB8740108C2454
+:107590007C007E127E0D912000227F0D8468602089
+:1075A00088688C6B906C5780D4AAFF0084A0FF0081
+:1075B0007E00046884A008007F004000E04AB8A074
+:1075C00017497800E24AB8A00F49087EB5A60C001A
+:1075D00004698CA1FF0086A107004000F04A8EA13B
+:1075E0000F00C000F94A1C6884A040004000004B16
+:1075F000B5A601007800004B1C6884A04000400044
+:10760000004BB5A6010007700400047084A00400BC
+:10761000C000024B002405A3C0000D4B7800334B83
+:10762000582C0427046160AC006000A41A70046048
+:1076300001A31E7084A108004000234B106081A0AC
+:1076400000002270146081A00000267008620024EF
+:1076500002A212700C62002303A216700276077059
+:107660000100602B7810924A7800354B7810184C46
+:10767000C000334B7F1200207C007E127E0D9120D3
+:1076800000227F0D07700400047084A00400C00075
+:10769000414B037008007F1200207C007E127E0D9B
+:1076A000912000227F0D49204B4B0770040004708D
+:1076B00084A00400C000544B087EB5A60C000469E9
+:1076C0008CA1FF0086A107004000674B8EA10F0030
+:1076D000C000724B1C6884A0400040006E4BB5A6F1
+:1076E00001004068502078007B4B1C6884A020007B
+:1076F000C000794BB5A6010028685020602D0460B9
+:10770000BCA00F00B8A71D493C27FB87C0008F4BCA
+:107710004800894B78108C249C6865A04000934BEE
+:1077200078007C4B7810184CC0008F4B7F120020E3
+:107730007C007E127E007E017E0D912000227F0D56
+:107740007F037F04087EB5A60C0004698CA1FF00AE
+:1077500086A107004000AD4B8EA10F00C000B64BC4
+:107760001C6884A040004000BD4BB5A60100780015
+:10777000BD4B1C6884A040004000BD4BB5A6010075
+:107780004920964B7E0104698CA1FF0086A1070069
+:107790004000CB4B8EA10F00C000CE4B406878005C
+:1077A000CF4B28687F0155A04000154C702D602EEE
+:1077B0000470BCA00F00B8A71D493C27FB87C00080
+:1077C000E94B4800E24B78108C249C7075A0602037
+:1077D0004000154C7800D54B042768AE086822A4F9
+:1077E0000C681BA34800024C518AC000F64B78106D
+:1077F0008C243887042705A0C000EA4B9C7075A034
+:1078000060204000154C7800D54B228420841A83D8
+:1078100099A300000869002422A10C6900231BA180
+:10782000C800114C78108C24712020007800004B87
+:107830007F1200207C00087084A0030086A0030053
+:107840004000404C042708AC04211A700881042130
+:107850001E700881042112700881042116700460D2
+:1078600084A008004000374C088104212270088160
+:10787000042126700276047084A0100085A0010007
+:1078800006707810924A7C007E127E007E0D912058
+:1078900000224920414C7F0D7F08087184A103001C
+:1078A000C0006B4C7E0104698CA1FF0086A107001B
+:1078B00040005B4C8EA10F00C0005E4C4068780019
+:1078C0005F4C28687F0105A04000794C78009E48F5
+:1078D00020006B4C7810554A7800794CA000724C0F
+:1078E00008717810CE4978004A4C07701000A0004B
+:1078F000744C08717810CE49087086A00800C0004A
+:107900004A4C007005A0C0004A4C0370000049209A
+:1079100000007F1200207C007E127E147E137E15F4
+:107920007E0C7E0D912000227F0D4920894C80AD78
+:107930001100A020992031000C7084A0FF002A685B
+:107940000770080007700200037001004000A84C97
+:107950000080AC80A55307700400047084A004006C
+:10796000C000AA4C7F0C49200000037000007F1566
+:107970007F137F147F1200207C00912000609120F3
+:107980000080CC7805A04000D14C9479D07006A13D
+:10799000C000D14C047805A04000D14C077800000D
+:1079A0006800D14C91208040207801802278C0006E
+:1079B0002C4D2478227869204052006884A007006A
+:1079C0004000EF4C86A002004000EF4C34680DA050
+:1079D0004000EF4C042105A04000EF4C01800A203C
+:1079E0004000D44D487805A04000FD4C01804A7805
+:1079F000C000FD4C0920020144680A2078106F2263
+:107A0000906805A04000094D01809268C000094DB2
+:107A10006F6800007368010061200055A920000113
+:107A200009200200346005A040001F4D018036602F
+:107A3000C0001F4D106005A040001F4D7E01781052
+:107A40006F227F01E0AC10007000254D78000F4DD3
+:107A5000098140002C4DA920000178000F4D7810BD
+:107A6000394D78105E4D0920515204210920020140
+:107A70000A20912001807C00347801803678C00093
+:107A80005D4D3878367891200080447805A0C0009C
+:107A9000484D012001010180467880A000754020FA
+:107AA000042065A040005D4D246005A04000594DB4
+:107AB0000180266040008D4D0060402C78004E4DC6
+:107AC0007C00287801802A78C0008C4D2C782A7898
+:107AD000307805A0C0006B4D012000020180327893
+:107AE000038003800380038090A0005598A20200C9
+:107AF000042384A0080040008C4D90A209000422B9
+:107B000005A04000844D01801220C0008C4D04234C
+:107B100084A0F7FF85A080001A2078106F227C00D7
+:107B200069204052006805A04000974D486806ACA7
+:107B30004000D44D1B600600B46084A0003F1E606E
+:107B4000206084A0FF0085A06000226000604220C9
+:107B50001467826F7810C519186805A04000AF4DF2
+:107B600001801A68086884A0EFFF0A681068018025
+:107B7000D000B94D78108C2412682F60000033605B
+:107B80000000682C7810DC1C69204052447984A1E4
+:107B90000001012006006E68C000CF4D86690120FB
+:107BA00004006E6878106A22912001807C006920B0
+:107BB000000109204052042184A007004000304EFB
+:107BC00086A00700C000EA4D7E0D092052526C21AC
+:107BD00078101C3B7F0D7800304E092052526421F2
+:107BE000781037241B600600586884A0003F1E6090
+:107BF000206084A0FF0085A0480022602F60000064
+:107C000033600000306884A040004000244E4B6880
+:107C10000400A9201400486884A004004000114E0C
+:107C20007000114E7800084E4B680900A92014001E
+:107C3000486884A0010040001E4E70001E4E78006F
+:107C4000154EA920FA007000244E7800204E0868D6
+:107C500084A0FDFF0A681B68480009205B520B20C6
+:107C600007004C784A78912001807C0079200052EE
+:107C700078105E4E7810424E7810504E33780000E7
+:107C8000477800004B7800007C0019200300112089
+:107C90004652042286A03C0040004D4E19200200AE
+:107CA0002A7B2E7B7C0019203900112046520422A9
+:107CB00086A03C0040005B4E19202700367B3A7BB3
+:107CC0007C001920713911204652042286A03C0004
+:107CD0004000694E19202626227B267B3F78000033
+:107CE00043780A007C0020002B00000020000000E8
+:107CF0002000000020000000200000002000000004
+:107D000020000000200000002000000020000000F3
+:107D100020000000200000002000000020000000E3
+:107D200020000000200000002000000014001400CB
+:107D300049981400140014001400140014001400D6
+:107D400080000F00000001020406080C20212240E0
+:107D500080F818000B3001A2140000A2140000A249
+:107D6000140200006C0002001400CD989E009300E5
+:107D700002A2388806383988C32064088598C1284B
+:107D8000AE9C03A20C30462861816A840083561899
+:107D90003A886598F228919C58980C30E128919C7B
+:107DA000022806A2C3642E2807A2A064E06DA06783
+:107DB000C06F14183B882478C16864783E8879982D
+:107DC000768577866B20C128AE9C44200321A220B3
+:107DD0008120659809A201298D98140005A200A3AD
+:107DE00072189A873C88E21F01C60AA26E850407B2
+:107DF000919C140004A200A30930E21964F86E8576
+:107E00003F88E608919881F88C9801C81400C1F861
+:107E10001600B285F080329502FBE21D1400328517
+:107E200041F21400E21DA884A0D7E61F140008A2A6
+:107E300043600880C11D1600008360812A8441F0E0
+:107E40000830A884D6114270DD201100D520228888
+:107E50001600008047281110C098008000A002285A
+:107E60001110C69865983E281110CA980BA21700E9
+:107E70000C3000A3E21D81DB14001002D79814001F
+:107E8000E0263A8702FBF219E21F14000DA2063821
+:107E90001002B39C040700006C0002004F9814000D
+:107EA0009E00A0001700FF600C30208711A2D09C1C
+:107EB0007287378801217A98D210E278D39C59983A
+:107EC00084D9E2F0A1F0CD981400318866D13088D1
+:107ED0000F80019420B502C820887A9801237A98EF
+:107EE000D210E478D39C21882088599823F142F15C
+:107EF00001F1C698D210F670328803820C879ED9A1
+:107F000001601400456814021BA2D09C0120C59892
+:107F10000182521884D163D1348801808D98273032
+:107F2000A884561A3388140018A28169BC9C26695B
+:107F30000269341A9998141A2170140000A341613F
+:107F40006469108092852680B984E4692380E116F3
+:107F50000180F110466913A2621413A20080E11699
+:107F6000B598696914A2C2610280E1140480E11627
+:107F700001010A302788140017A2BC9C140000A33A
+:107F800081812A84A884E61C2C88160012A2D09C29
+:107F9000D210E4700400078024941ACCD39CC598B6
+:107FA00027880A3013000080A4841600C2111E2105
+:107FB0000E871DA214008E8716001CA23510919802
+:107FC00010A200A0108092853B8544D02280073803
+:107FD000BB84EA9821800738B9840C307E812B87D6
+:107FE00072879198000020002B0000002000000004
+:107FF0002000000020000000200000002000000001
+:1080000020000000200000002000000020000000F0
+:1080100020000000200000002000000020000000E0
+:1080200020000000200000002000000014001400C8
+:10803000499814001400E298CD981400140014001C
+:1080400080003701000001020406080C20212240B4
+:1080500080F818000B3001A2140000A2140000A246
+:10806000140202A2388806383988C32064082FA871
+:10807000C128AE9C03A20C30462861816A8400832B
+:1080800056183A8804A8F228919CF4A80C30E128EC
+:10809000919C022806A2C3642E2807A2A064E06D6A
+:1080A000A067C06F14183B882478C16864783E8844
+:1080B00002A8768577866B20C128AE9C44200321D8
+:1080C000A2208120E4A809A2012909A8140005A280
+:1080D00000A372189A873C88E21F01C60AA26E8527
+:1080E0000407919C140004A200A30930E21964F86B
+:1080F0006E853F88E608F7A881F8F0A801C814004B
+:10810000C1F81600B285F080329502FBE21D140022
+:10811000328541F21400E21DA884A0D7E61F1400A6
+:1081200008A243600880C11D1600008360812A8474
+:1081300041F00830A884D6114270DD201100D5200E
+:1081400022881600008047281110FCA8008000A09B
+:1081500002281110FDA89BA83E281110FDA80BA213
+:1081600017000C3000A3E21D81DB1400100201A8EF
+:108170001400E0263A8702FBF219E21F14000DA258
+:1081800006381002B39C04071700FF600C302087EC
+:1081900011A2639D72873788012121A8D210E2784D
+:1081A000669DFCA884D9E2F0A1F06CA81400318887
+:1081B00066D130880F80019420B502C820880FA8AE
+:1081C00001230DA8D210E478669D21882088E6A8B6
+:1081D00023F142F101F14FA8D210F67032880382E8
+:1081E0000C879ED901601400456814021BA2639D90
+:1081F000012040A80182521884D163D134880180C3
+:1082000001A82730A884561A3388140018A281695F
+:108210004F9D26690269341A01A8141A21701400AE
+:1082200000A341616469108092852680B984E46965
+:108230002380E1160180F110466913A2621413A293
+:108240000080E11607A8696914A2C2610280E114E6
+:108250000480E11601010A302788140017A24F9DFF
+:10826000140000A381812A84A884E61C2C881600AF
+:1082700012A2639DD210E4700400078024941ACCEB
+:10828000669DF8A827880A3013000080A484160091
+:10829000C2111E210E871DA214008E8716001CA27B
+:1082A0003510B4A810A207380C307E812B87728756
+:0682B000ADA800000C0D5A
+:00000001FF
+/**************************************************************************
+ * QLOGIC LINUX SOFTWARE
+ *
+ * Copyright (C) 2004 QLogic Corporation
+ * (www.qlogic.com)
+ *
+ *************************************************************************/
+
+/************************************************************************
+ * *
+ * --- ISP1040 Initiator/Target Firmware --- *
+ * 32 LUN Support *
+ * *
+ ************************************************************************/
+
+/*
+ * Firmware Version 7.65.06 (14:38 Jan 07, 2002)
+ */
diff --git a/firmware/qlogic/12160.bin.ihex b/firmware/qlogic/12160.bin.ihex
new file mode 100644
index 0000000..dc800ec
--- /dev/null
+++ b/firmware/qlogic/12160.bin.ihex
@@ -0,0 +1,1771 @@
+:100000000A042A000010040841100000C93600004C
+:100010004320504F525947495448312039392C31E7
+:1000200039313239312C39392C3339313439512085
+:100030004F4C494720434F435052524F54414F4930
+:10004000004E492050533231363146207269776D67
+:10005000726120655620726569736E6F31202E3093
+:1000600034302020432073756F74656D20726F4E9D
+:10007000202E303050206F72756474634E202E6FC6
+:100080002020303020200024C920FF907120000261
+:10009000A070A2700120FF010420FCD0201171206B
+:1000A0000001A070A270C1202000892021127120BF
+:1000B0001000C3700400C7705349CB702050CF703C
+:1000C0002020D3700A000120FD040420D6700920EE
+:1000D000FFFE30212821A2A1004724842484248407
+:1000E00024842484248492A100910920000001200A
+:1000F00032000C08051E182279200047A02F082482
+:1001000011200000A9204000A4420981D81D092027
+:1001100000FF003402A118021001A820A4421B789D
+:1001200064001478CDC0D5C0167871200002D600C6
+:10013000692040470C085C460120FF010420FCD0E8
+:10014000301169208047712000010C085C4614784A
+:10015000D4C01678DE00CA7EC27CC67B67780000F9
+:1001600000788DC0027831203000AF78010123780B
+:1001700002002778020009200200692040471B681E
+:100180000300236807002768FA002B6808002F681F
+:10019000280037680600336808003B6800000981C2
+:1001A0000005CF680A00BF68C04779200047D368C0
+:1001B0002D76C368C04CC768C04BCB68C08CA7689D
+:1001C000448FAB68498FAF68448FB368448FA3688E
+:1001D00001000120FF010420FCD0C81169208047E4
+:1001E0007008CF680A00BF68C049D3683978C3680F
+:1001F000C06CC768404CCB68D08DA768498FAB688E
+:100200004E8FAF68498FB368498FA3680100E6003D
+:100210006920C04B71200002EC70E4D0192009184D
+:1002200021200900201119200C1821200C000C0895
+:10023000751D0120FF010420FCD088116920404C6D
+:1002400071200001EC70E4D0192009182120090068
+:10025000201119200C1821200C000C08751DEE002F
+:10026000112002006920C04C09200200A9200001D1
+:10027000376800000B684000C87B86A3FFFE28118A
+:10028000176800011F6864002000176864001F6879
+:100290000200E8AD1000041F35110981381D01204E
+:1002A000FF010420FCD02811118218016920C06CC4
+:1002B000D8080C08F6220C083D400C088C1B0C08D2
+:1002C000154691200022792000477120500091208E
+:1002D00000247920004771202000912000267920F9
+:1002E00000027120404791200028792000017120F0
+:1002F00080479120002079200047712010000032B3
+:1003000085A03D30902071201000C37000000410C3
+:100310008C11C07086A0020010110C08BA1339208D
+:1003200000000C08AB12AC7805A08011040E9A11E5
+:100330006C7865A010010C08A1200C08261E040E84
+:10034000AF116C7865A010010C08A120040EAF114C
+:10035000092047471120874704210C2205A11001DD
+:100360000C089B1C71204047A07005A0E8014C744C
+:1003700085A40000C8017920000291200080D0727D
+:100380008CA23D3090210C084C27912000809120B8
+:100390003D30040ED111792000476C7865A0200112
+:1003A000712010000C08A120041DD91179200047EC
+:1003B000712010000C08294471208047A07005A00E
+:1003C00088014C7025A070017920000191200080E7
+:1003D000D0728CA23D3090210C084C2791200080D7
+:1003E00091203D307920004771201000040EFA1151
+:1003F0006C7865A010010C08A120041D8E110C085A
+:10040000294404088E11003C84A0070002000C124D
+:100410000C120E120E1213121312181218120C08CC
+:100420007525912000240C08D5400500912000225C
+:100430000C08D5400500912000220C08D5409120E1
+:1004400000240C08D540050041124112421242120C
+:100450004D124D124D124D1256125612611261126A
+:100460004D124D124D124D12701270127012701208
+:10047000701270127012701270127012701270126C
+:100480007012701270127012F80C0600060126012C
+:10049000912000280C0892252E010E010E000D005F
+:1004A0000600060126010C0800122E010E010E00A6
+:1004B0000D00060006012601912000260C08922559
+:1004C0002E010E010E000D000600060126019120EE
+:1004D00000260C089225912000280C0892252E0158
+:1004E0000E010E000D00060006012601D600E600F2
+:1004F000F600792000477120000269204047003D46
+:100500008CD03001EC7084A0001CE2780C085C46B2
+:10051000003D84D050016920804771200001EC70BB
+:1005200084A0001CE6780C085C460C082625FE001A
+:10053000EE00DE002E010E010E000D0008700B8093
+:100540004012077002008CA0E00120119CD008012D
+:1005500087089708C37002400408BD13040E1E13D9
+:1005600061200000186084D004191E13287805A0AB
+:10057000201104001F1304081E13FCD030010600D4
+:100580000C08291B0E005001280006000C081E1B39
+:100590000E002001012007400408BC131079FCD094
+:1005A0002811612040479CC1FCC720006120804782
+:1005B0009DC1FDC7606005A004191E1312797E60FD
+:1005C0002878FCC086A018002011C6000C08261947
+:1005D000CE002B780000786065A0E001C6009C602A
+:1005E0000C08F31BCE009F6000000C08601A092065
+:1005F00018008760030110780600FF841011FF8542
+:100600001001C5C012780C08341B0E001278981126
+:100610000C087F1B10789CD01811612040472000E7
+:10062000612080479CC012787B600000D060C4D0FD
+:100630003001C4C0D260012005400408BC13040886
+:10064000BA13050006A0C270C670CA70CE70DA7008
+:10065000C0703DA08AA04000041A6C130200BA13B7
+:100660000814D6133C1470147014CE13781A7A142C
+:10067000C813DA13DB13DC13DD137C1AC8138714D9
+:10068000DB144119721ADE13C817FE1730187618DA
+:1006900085179217A517B717BF15C8130D1518158D
+:1006A000261534154B1559155C156E157C158615D8
+:1006B000A515B115C813C813C813C813CC15DD157B
+:1006C000F7152B165416661669169316CC16DE16F9
+:1006D00053176317C813C813C813C813751700211D
+:1006E0008AA04000041AC8130200C813C813C81314
+:1006F000C813C8139E1AA41AC813C813C813A81A7B
+:10070000E81AC813C813C813C81303146B1482144F
+:10071000D6143C19C813C8130B19C813EC1A901A35
+:100720009A1AC813C813C813C813C813C813C81318
+:10073000C813C813C813C813C813C813C813C813E1
+:10074000C813C813C813C813C813C813C813C813D1
+:10075000C813C813C813C813C813C813C813C813C1
+:10076000C813C813C813C813C813CA72C6710120AE
+:1007700006402800CE73CA72C67101200040C270C4
+:10078000040EBD13612000001B6001009120005089
+:10079000912080400500C3700140900CC37006405A
+:1007A000780C99204100A1204100A9200500A35305
+:1007B000200CC470C37004000708F808F008E808AB
+:1007C000E00891200080C3700400C7705349CB70CB
+:1007D0002050CF702020D3700A0001200400D67072
+:1007E000792000001B7801003120300059200010D2
+:1007F00029201A045120450461204704C12020000B
+:10080000912000509120804004081804D875DC74B1
+:10081000DA75DE741800292000002025D071C87216
+:10082000CC73C470A02099203000037001000770C1
+:1008300006001A731E722274267521204000FF8163
+:100840000409BA1382A140001012202106A008203A
+:100850000384127007700400077001000870FCD058
+:10086000E80D0770020084A0E0012001C37002407F
+:100870000408BD13A824A553100C0408BA1329209A
+:1008800000002025D071C872CC73C4709820A120BC
+:10089000300003700000077006001A731E72227485
+:1008A00026752120400007700600FF810409BA1355
+:1008B00082A140001012202106A00820038412709B
+:1008C000A824A653077001000870FCD0E80D84A08E
+:1008D000E001480DC37002400408BD13D875DC74F4
+:1008E000DA75DE747808C471C87014219EA70400FC
+:1008F00008110A20CA720408B913C7700A00CB7025
+:100900000400CF702A000408BA13D875DC76DA75B3
+:10091000DE761800292000003025C470C872CC7320
+:10092000D074C670CA72CE73D27405A0E8050AA44A
+:10093000080140120180727884A000FC3801AC7874
+:1009400085C0AE78012005400408BC137E7B7A7A0E
+:10095000867E827D767C8CA400FF700107840480F3
+:1009600004800C810C810F8118A191A20000B1A616
+:10097000000081A50000500007840480048018A3B3
+:1009800091A20000B1A6000081A500001A731E729A
+:100990002276267005A61801107AC5C2127AAC78A4
+:1009A00084A0FCFFAE781800AC7885C0AE7804084F
+:1009B000BA13D875DC76DA75DE76180029200000C7
+:1009C0003025C470C872CC73D474C670CA72CE732A
+:1009D000D67405A000050AA41001041ABC130180F6
+:1009E000927884A000FC3801AC78C5C0AE780120B4
+:1009F00005400408BC139A7A9E7BA27DA67E002641
+:100A000005A51801107AC5C2127A967CAC7884A02C
+:100A1000FFFCAE781800AC78C5C0AE780408BA13F5
+:100A2000092000006C7865A0180108810060D80CCE
+:100A3000C47A0408B813092048470C210120FF019B
+:100A40000420FCD00419B91311208847142204088B
+:100A5000B813092049470C210120FF010420FCD0D4
+:100A60000419B9131120894714220408B81361200E
+:100A7000404728612C621482148214820120FF01F5
+:100A80000420FCD04811612080472863DA732C636E
+:100A90001C831C831C83DE730408B81309204C4795
+:100AA0000C210120FF010420FCD00419B9131120EE
+:100AB0008C4714220408B81318790408B9130920C4
+:100AC00002020C218CA1300F0120FF010420FCD078
+:100AD0000419B91311200201142294A2300F040842
+:100AE000B81309204D470C210120FF010420FCD040
+:100AF0000419B91311208D4714220408B813207962
+:100B00000120FF010420FCD00419B913247A040841
+:100B1000B8131120404CC471FCD110111120C04BEE
+:100B2000078184A00F0003800380038068A2006A0D
+:100B3000086B1C6CDA74FCD1181121203B021000E8
+:100B400021203B012424A4A4001CDE740408B71354
+:100B5000C4770C089A1B912000801C6B146A9120AA
+:100B6000018008270408B71361204047186101205D
+:100B7000FF010420FCD00419B913612080471862DA
+:100B80000408B813C4770C089A1B912000800869E8
+:100B9000186A106BDA77912001800408B713C471CA
+:100BA000102194A20F0082A21000041AB3130C08A3
+:100BB000B42384A30040100195A220000408B713B9
+:100BC000C4710021BCC082A01000041AB313BCD1B0
+:100BD00020111120484704222000112088470422B8
+:100BE000BDC006000021BCC012200C0858231E0006
+:100BF0000408B913C471212049470424C670192080
+:100C000000003000C871212089470424CA70FDC348
+:100C100011202316A9200800042206A13801108201
+:100C2000041F0916C471C8720408B21392A22316D5
+:100C3000260022211E000C086A230120FF01042047
+:100C4000FCD01011FCD3F0090408BA13E803FA0031
+:100C5000F401EE020400010002000300612040479D
+:100C600028612C62148214821482C4702A60C870B5
+:100C70000380038003802E600120FF010420FCD04C
+:100C8000A011260016006120804728612C62148282
+:100C900014821482D8702A60DC7003800380038081
+:100CA0002E60DA71DE721E002E000408B813612077
+:100CB00040473061C47032600120FF010420FCD045
+:100CC0000419B913612080473062C870326004088B
+:100CD000B81318790408B913C47184A1CFF048017E
+:100CE0000120FF010420FCD00419B313C8720408CA
+:100CF000B213192000000C08A62336000120FF01C2
+:100D00000420FCD018011E000408B913C87184A186
+:100D1000CFF028010E001021C4710408B213FDC3E6
+:100D20000C08A62310231E000408B813C47182A166
+:100D3000100048020120FF010420FCD00419B31365
+:100D4000C8720408B21311204D4704220600048122
+:100D5000081208811221192000000C089323012099
+:100D6000FF010420FCD018011E000408B913C8714B
+:100D700082A11000280206001021C4710408B213D9
+:100D800011208D47042206000481081208811221D7
+:100D9000FDC30C0893232E001E000408B813C47171
+:100DA000C87284A1FDFF0419B21384A2FDFF0419C7
+:100DB000B2130021207922780022247A26780408B0
+:100DC000B8131120404CC471FCD110111120C04B3C
+:100DD000078184A00F0003800380038068A2C8728B
+:100DE000CC73D874C6710068CA70CE73DA7491205F
+:100DF0000080026AACD2181121200000900084A467
+:100E0000FF0082A00200041A4F173F84BCA7FF0016
+:100E1000400186A7020004194F1784A4FF000409AB
+:100E20004F1761200002FCD1100161200001292030
+:100E30000900312062003F84BCA7FF003001078316
+:100E400084A0FF0010113DA738114120190084A390
+:100E5000FF0082A01A001002A4A4FF00078384A050
+:100E6000FF00880142A8F00286A0100020119CA378
+:100E7000FF009DA3000FBCA3FF00002502A7900266
+:100E8000002602A7781239203A00046805A70668F0
+:100E90000A6B0C6BCE731C68DA701E6C912001809B
+:100EA0000408BA13912001800408B413C4770C0815
+:100EB0009A1B91200080146A1C6B91200180C870DD
+:100EC0001668CC701E6808270408B713C470612028
+:100ED000404718611A600120FF010420FCD004196A
+:100EE000B913C8706120804718621A600408B813EB
+:100EF000C471C872CC7382A11000041AB3130C0819
+:100F0000D82384A30040100195A220000408B71341
+:100F1000C4770C089A1B91200080086A8DC20A6A67
+:100F20009120018008270408B813C4770C089A1B85
+:100F300091200080086A94A2F9FF0A6A046805A05B
+:100F400010010C08D5229120018008270408B8134D
+:100F5000C4770C089A1B91200080086A95C20A6A1F
+:100F6000046805A010010C08D522912001800827F3
+:100F70000408B813C477412001004920050051201E
+:100F80002000912000800C08B21B912001800827CE
+:100F9000086A0408B813C477FCD728010C08291B79
+:100FA00038010408BC130C081E1B10010408BC13F4
+:100FB000C873CC72C677CA73CE720C082A1CE811AB
+:100FC000186805A0A001082776000C08F7237E000A
+:100FD000701101201500FCD718116120404718003E
+:100FE000FDC0612080472A78912001800500912072
+:100FF0000180012005400408BC13912001800408F1
+:10100000BA13C477FCD728010C08291B380104083F
+:10101000BC130C081E1B10010408BC13C67741202A
+:1010200021004920050051202000912000800C085B
+:10103000B21B09201600FCD7181161204047180088
+:1010400061208047FDC1636003007B600000726720
+:101050007F600F002A79D061C4C1D2610C08D5220B
+:10106000912001800500C877CA77C477C677FCD77E
+:1010700028010C08291B38010408BC130C081E1B8E
+:1010800010010408BC13BCA700FF912000800920B8
+:101090001700FCD7181161204047180061208047D5
+:1010A000FDC17B6000006360020072677F600F001B
+:1010B0002A79D061C4C1D2610C08D5229120018067
+:1010C000412021004920050051201000912000807E
+:1010D000C87005A01801D060FDC0D2600C08B21B1A
+:1010E000C8703668388784A71F00C01D9120018012
+:1010F000050019200000C87284D228010C08291BA1
+:1011000038010408BC130C081E1B10010408BC1392
+:10111000C872CA72AC7884A0030018153920000088
+:1011200084D20801FDC7412021004920040051203C
+:1011300008000C089A1B912000800868D4C00DA8F4
+:101140000A693768000091200180388784A71F0052
+:10115000801DBCA700FF3F8738873F8784A7000F0B
+:10116000401D91200080C8726920000184D21011B6
+:1011700069200002086884A0FDFF0A683068B4D0C6
+:10118000B0014B680400A9201400486894D01001F5
+:10119000041FC2184B680900A9201400486884D0B5
+:1011A0001001041FCB18A920FA00041FD2187920BF
+:1011B000004709201800C87284D2181161204047E6
+:1011C000180061208047FDC17B6000002A796360C0
+:1011D00001007F600F00A3600000A460AE60B260F9
+:1011E000D060B4D06001B4C0D260C600B46065A065
+:1011F0000860D4C00A60186001801A60CE00D06018
+:1012000084A0FF7ED260AC788DC0AE78FF830801E9
+:1012100005001B685400912001800500CC730C0868
+:101220007818EC69486A85A100184A6885A14000D1
+:10123000EE68CC7321200400A920FF09041F1B19AC
+:101240002184D01D1983B01DEE694A6A9120018066
+:101250000500FCD71811692040471000692080471D
+:10126000C471C6711669FF811011A3680100AC78C2
+:101270008CC0AE7884D010110C087A1C0500D8758B
+:10128000DC74DA75DE7410002EA02025C471C873DA
+:10129000CC72C671CA73CE7279200047DE7DDA7CCB
+:1012A000D67BD27A0C08771B04095C1AA9200500AA
+:1012B000A120144791200080A141912001800920A4
+:1012C00040000C08411D20010C087F1B04085C1A1B
+:1012D00004608CA0FF008EA10900201106000C08FC
+:1012E00086200E0084A000FF078009800409F11900
+:1012F000C600682C0C08771BA805002C9E68098185
+:10130000C01D9F600000CE00C600DC7DD87CD47B71
+:10131000D07A90A2400099A30000A1A40000A9A542
+:101320000000DE7DDA7CD67BD27A682C9C6865A0D2
+:101330000409F019092040000C08411DA0150460A3
+:1013400084A0FF0086A002005001046084A0FF007A
+:1013500086A00A00381116000C0883201E00002DFC
+:1013600002609808CE00C6009C600C08F31BCE00FB
+:101370009F6000000C08601A092018000860CDC0AA
+:101380000A600460866010780600FF841011FF85F3
+:101390001001C5C012780C08341B0E0012780C081E
+:1013A0007F1B04085C1ACE00C6009C600C08F31B6F
+:1013B000CE009F6000000C08601A092018008760AA
+:1013C00003011B60030010780600FF841011FF85E5
+:1013D0001001C5C012780C08341B0E0012780C08DE
+:1013E0007F1B04085C1ACE001461FCD120010C089C
+:1013F000291BF00118000C081E1BD0010C08601AF4
+:1014000009201800876003011B6021001078060086
+:10141000FF841011FF851001C5C012780C08341B21
+:101420000E0012780C087F1B012007400408BC1333
+:1014300004618CA1FF0086A1050018111C60BDC0CD
+:101440001E60C474C873CC72146091200080E600E2
+:1014500009201200FCD0181171204047180071209B
+:101460008047FDC12A7963700500D071C4C1D27173
+:1014700066736A726E74727077700000002C7A70F6
+:101480002EA030251C6184A1600010010C08E93FEA
+:10149000EE009665A6659A66AA66AF600000B36026
+:1014A0000000146723600000246096A00100101162
+:1014B000008026600C08D522912001800500C370B1
+:1014C00005400408BD13A920050099201447912068
+:1014D00000800A5391200180002110A299A30000EE
+:1014E000A1A40000A9A500000500C471C7700000F8
+:1014F0001E790408BA13C471C671682110006920EE
+:1015000000100C6916A0042D10A2688D0981D81D49
+:1015100085A200001811C37000401000C370034082
+:10152000CA700408BD136479C671C47182A1030036
+:10153000041AB31366790408BA136479C6710408EF
+:10154000BA130079C671C47102790408BA1300791C
+:10155000C6710408BA13C470112000008CA00D00DD
+:1015600060010C81300210820C810C81100210820B
+:101570000C81FF810419B41310820E7A8CD23805C5
+:101580001079CDC11279092021001920030084D2DD
+:10159000C00108811920410011204E8F122319200B
+:1015A00042001082122319204300108212231920B6
+:1015B000460010821223192047001082122319209E
+:1015C00006001120538F12211120738F12230479EA
+:1015D00006780408B9130478C6700408BA13C471F5
+:1015E000FCD118111120C04B10001120404C078174
+:1015F00084A00F0003800380038068A211200000F4
+:101600001468FCD0100195A20002B4D0100195A27C
+:1016100001000C6B0068DA700408B7131478F4D07A
+:10162000300101200740DB70000005A04800FCD01D
+:10163000300101200740DB70010005A0080006A072
+:1016400005001478F4D0300101200740DB70000061
+:1016500005A0080006A005001478FCD03001012088
+:101660000740DB70010005A0080006A0050012710C
+:101670001A721E731078C4D010012274267580ACC3
+:10168000010008810C81A9819880A120300003709D
+:1016900000008460A220A65307700100747984A121
+:1016A00000FF40010F810C810C81048004800780C1
+:1016B00000A118000781048004807C7908A1787A51
+:1016C00006A011A2107DC4D52001847B19A3807CC3
+:1016D00021A40870FCD0E80D03700100077006001B
+:1016E0001A711E72107DC4D510012273267484A055
+:1016F000E0010500487865A02001042C4A786320A9
+:1017000000000500F6007920004748786220002C90
+:1017100005A010110C0875254A78FE00050011205F
+:1017200000914A7AC47B1983280180A232001220DA
+:101730001020C80C13200000050016002600FCD75E
+:1017400018111120C04C10001120C06C84A7000F8C
+:101750000B8084A71F002001038003800380038087
+:1017600005A168A22E001E000500390C00292A6878
+:10177000002A2E68086884A0EFF90DA80A69E6001F
+:10178000FCD728110920524771204047200009202A
+:101790009247712080470C21046805A0480116A1DA
+:1017A000381160200060066816000B200000180049
+:1017B000092000001600046865A078010060066832
+:1017C00021040C08B21D1068087909810A7901808A
+:1017D0001268881D1079A5C112791E000269066978
+:1017E000002D60200C08BF26EE00050065A06001FA
+:1017F00008209C6005A0280162209F60000065A071
+:10180000C00C48784A7962200500076003018F60A8
+:101810000000A9201C0080AC0500A02001200000D1
+:10182000A44028681A602C6822600500E600FCD7F6
+:101830002811712040473120C04720007120804787
+:101840003120C0494C708CA00002281108A60A2D36
+:1018500000804E7006A0EE000500F600FCD71811BF
+:10186000792040471000792080470C089A1B91206E
+:10187000008004680A7865A0F0053000002C0A7822
+:101880006020006065A0B805106006A3B81D0C605C
+:1018900006A2A01D282C487806AC0811480404684C
+:1018A00006AC401100606020066805A018110368AE
+:1018B00000004800006408786020026486A40000EC
+:1018C0001011002C026860250C08021C1B6005002A
+:1018D00023602000FE000C08B21DF6000879098183
+:1018E0000A7910680180126818111078A5C0127862
+:1018F0000120FFFF05A0FE0005007600002739202B
+:101900000000FCD00801FDC741202100492004004F
+:1019100051200800912000800C08B21B388784A752
+:101920001F00D01DBCA700FF3F8738873F8784A7D3
+:10193000000F901D912001807E0005006C78092029
+:10194000748F0C210DA1180165A00408A12061204D
+:101950000000186084D0B81110788CD030018CC091
+:101960001278FCC76920404728008DC01278692092
+:101970008047FDC7912000801C681F6800009120EF
+:10198000018005A0081105008CA0F0FF10010C08D3
+:1019900075250200D71CDA1CE01CE41CD81CE81CCE
+:1019A000D81CD81CD81CEE1C181D1B1D201D291D61
+:1019B000D81CD81C05000C0875250C087A1C0120C1
+:1019C00001800408321D012003800408321D01201B
+:1019D00004800408321D0C087A1C012006800408CB
+:1019E000321D11200A8091200080FCD71811692037
+:1019F00040471000692080473820006886A000001A
+:101A000020011E6F91200180050026007068BCA097
+:101A100000FF4120210049200400512010000C0843
+:101A2000B21B388784A71F00D01D912001800E00B3
+:101A30007069C671D00001200C80B8000C087A1CB7
+:101A400001200D809000FCD71001E4780800E078B8
+:101A5000C67001200E804800FCD71001EC78080009
+:101A6000E878C67001200F800000C270FCD7181102
+:101A7000DB7000001000DB700100612000001B60C3
+:101A8000010091208040050080AC0100FF81180515
+:101A900099203000A0200C7084A0FF07000118706E
+:101AA00006001C70060020700600247006001271EB
+:101AB000AC811A721E7322742675037001000770C0
+:101AC000010008700B80E81E077002008CA0E00186
+:101AD0001011A55306A003700000077004000E004B
+:101AE00026700E0022700E001E700E001A70050087
+:101AF00011202000092010000A6B0E6C1F680102E3
+:101B0000036820FD076838001A6A002DE8A0080065
+:101B100090A204000981801D0500EC70DCD0201526
+:101B2000292001001478CCD06011EC70E4D0192089
+:101B30000A0C21200A00201119200C0C21200C0075
+:101B40007000EC70E4D0281119200C1821200C0032
+:101B500030001920091821200900ADA500020A6BE8
+:101B60000E6C1E6D07683800050004608660082C46
+:101B700063200000687805A06A791001022C080033
+:101B80006E790500C6006120004787680301082DB3
+:101B90006B200000686005A06A611001022D08003A
+:101BA0006E61CE00050091200080042C6E7805A0A7
+:101BB00008116A78912001809C6005A08801C60008
+:101BC000602008209C6005A0380162209F60000012
+:101BD00065A09C6005A0C81D48784A796220CE00A7
+:101BE000487862209F60000085AC000010110C084E
+:101BF00075254A780500A920100006A0048086807B
+:101C00008E81081200A2041FFC1D86808E810500B3
+:101C10005601A920100005A0B8011AA1A81213822C
+:101C20008D8128021AA12012041F0C1E28001AA15F
+:101C300008231082041F0C1E0600003284A0FFEF50
+:101C400080200E005E0105000600003285A0001015
+:101C5000B80C747DD07006A50409DA1E10785020E7
+:101C60000C08771B0409DA1E46A070790025008055
+:101C700012A10920400008123000D07206A21801FB
+:101C8000408809208000C600127107700100992069
+:101C90003000A920200080AC0100A02061200000BD
+:101CA000FF8810010C08771B0870FCD0E80D077046
+:101CB0000200912001808CA0E0013815A553FF8C13
+:101CC0002011FF880409C71E5000002C8E78A9201F
+:101CD000200080AC0100A020A5530408C71E46A028
+:101CE00018721C73C4DA10012074247592A240008B
+:101CF0009BA30000A3A40000ABA500001A721E73F2
+:101D0000C4DA18012274267506A0077004000409BD
+:101D1000C71EFF8C10010C087F1BCE000C087F1B18
+:101D200046A0887800808A7886A00200C0017C7A6C
+:101D3000787BC4DA1001847C807D7479078104800B
+:101D4000048010A299A30000A1A40000A9A500008E
+:101D50001A721E73C4DA8805227426757004146022
+:101D6000FCD018116920404710006920804791205D
+:101D700000801F680200FF88200146A08C78602048
+:101D8000700C8B780000AC7885A00300AE789120B1
+:101D900001809800CE008B7800000C085C20046065
+:101DA00084A00F005900FF8830018C786020046007
+:101DB00084A00F0019000408261E05000200EC1E76
+:101DC000071F201FEC1E2D1FFD1EEC1EEC1EEC1E1F
+:101DD000051F1E1FEC1EEC1EEC1EEC1EEC1E392017
+:101DE0000004BC7805A7BE78086005A70A600C0847
+:101DF000691F9C60BA789F6000000C0848200500AD
+:101E0000BC78C4D00801580C1C60BDC01E603000F6
+:101E10000C088620BC78C4D00801080CBF780000EC
+:101E20000460078084A0FF00B278018038010C08AC
+:101E3000691F2001BC78C5C0BE7810000408841F4B
+:101E400005000C088320BC788CA0000E1011C4D0B3
+:101E5000081128080C08691F10110408841F0500C8
+:101E6000BC78C4D010010408EC1EBF7800001467D1
+:101E700011200100A822186084A0FF0005A088019D
+:101E8000BCA700FFA92020008EA001005001BCA724
+:101E9000008011200200A92000018EA0020008018C
+:101EA000C0000C089A1B002D912000802B680000B8
+:101EB0002F680000086884A0DEFF0A68E8AD100003
+:101EC00091200180041F511F11821801A9200001D7
+:101ED000580C0C087F1B05009F600000B4786DA0B3
+:101EE000002CB6781011BA7838009E68002D026078
+:101EF000B87806AD08110260B0780180B278301170
+:101F0000BC78C4C0BE78B878602006A00500E600A2
+:101F10002EA03025BA7DB67DAE65B2651C60A2608C
+:101F2000482084A9FFE11E6084A9600060010C08BC
+:101F3000E93FFF864011FF853011392000080C0869
+:101F40004820040846209665A6659A66AA66146726
+:101F500071208047FCD710117120404784A7000FE3
+:101F60000B8084A71F00200103800380038003806F
+:101F700005A1C07168A10027078084A00F0003801D
+:101F800003800380C47100A1C26091200080147896
+:101F9000C4D03801FCD71811F4D040111000FCD087
+:101FA0002811086E84D6F001FCD9E011912001803F
+:101FB0000C08021C912000800C08B21D91200180A9
+:101FC0001478C4D004094620FCD72011F4D0301175
+:101FD00004084620FCD01011040846201B60210094
+:101FE00004084620246096A001001011008026609D
+:101FF000106A146802A268026001912001803920F1
+:1020000000029C60BA789F6000000C084820040819
+:102010004620082CFCD9F001006865A0D801046AAC
+:10202000007084A002006801487006A25011046B81
+:1020300060210423026005A0081102696022026188
+:102040009800002D60200C08BF26086E60210262F7
+:10205000066950000068026965A01001026108006D
+:1020600006696021036000006021FCD91801B4A654
+:10207000FCFF0A6E1068087D28850A7D00801268C2
+:1020800091200180B4D62801B6A640000A6E0C0843
+:10209000131CEE000500086005A70A60912000806F
+:1020A0000C08B21D91200180B87865A028019C60C1
+:1020B000BA789F600000780CB678BA78050070791D
+:1020C0007478182884D31801008012A1200200809F
+:1020D00012A1781284C37C7A1A72787A1E72C4DADA
+:1020E0002001847A2272807A267206A084D30801A5
+:1020F00000807678D2701C7805A0380101801E78A7
+:102100002011040E82209120804005003920982063
+:10211000100039209E20042705A0600100AC682033
+:102120000869106812690A680C69146816690E68F3
+:102130003887880C0500030009000F0015001B00FC
+:10214000000015001B000000412000000C78020078
+:102150004A222522A92019213920748F3427107D85
+:10216000C000846086A00301041903211461186073
+:1021700005A12001FF86D81104080321038680A051
+:10218000558F0C6202220080106202220C08D01DC2
+:1021900030868EA60F00040984216C7865A0081D86
+:1021A000087802A62012ACD510113A26050082A6A6
+:1021B0000300041A8421912000806920000018681F
+:1021C00084D0F8111120558F0422C6701082042289
+:1021D000CA7084D6301110820422DA701082042270
+:1021E000DE7085A62080C2701B68010091208040AF
+:1021F000107884A0CFFF1278912001803B2000004E
+:1022000005001078ADC01278040884213A260C0825
+:10221000502204196C226C7865A00419AE2091201C
+:102220000080107884A0CFFFFF860801ADC012782F
+:102230009120018004086C223920748F3427107D8E
+:10224000A000846086A0030104196E211461186047
+:1022500005A12001FF86B81104086E2180A6558FC4
+:102260000C6202220C08D01D30868EA61E000409C6
+:1022700084216C7865A0281D087802A62012ACD5B0
+:1022800010113A26050082A60600041A8421912026
+:10229000008069200000186884D0F8111120558F43
+:1022A00009204E8FA8261C2104221A2008811082A2
+:1022B000041F502185A63080C2701B680100912048
+:1022C0008040107884A0CFFF12789120018006A072
+:1022D0000920758F0A203A2005001078ADC01278C9
+:1022E000B0003A260C08502204196C226C7865A0C4
+:1022F00004191E2191200080107884A0CFFFFF8652
+:102300000801ADC012789120018004086C22912050
+:102310000080077004009479D47002A1280268013B
+:10232000907B02A350111000028038113A261078D9
+:10233000ADC0127891200180050084A100FF40010A
+:102340000F810C810C8104800480078000A118009B
+:102350000781048004809C7A10A21A72987A06A0E1
+:1023600011A21E72C4D43001A47A11A22272A07AE2
+:1023700011A22672A1203000037000000920548FA2
+:102380000A2609819821042184D008013386B0A649
+:102390000200A826A65303861270077001009079E8
+:1023A000947800800AA1081206A02820747984A1DC
+:1023B00000FF40010F810C810C81048004800780A4
+:1023C00000A118000781048004807C7908A1787A34
+:1023D00006A011A2C4D42001847B19A3807C21A46F
+:1023E0000870FCD0E80D84A0E001D001107D312000
+:1023F000548F3426A8780080AA788CD038110770C2
+:102400000600047094D0E81D04088621692047471F
+:102410006B200300AC7885A00003AE7806A04800CE
+:102420003020D67591208040967D107DACA5CFFFE1
+:10243000127D91200180AA78077006003A26037069
+:1024400001001A711E72C4D5100122732674050092
+:10245000846086A00301D8111461186005A1B81129
+:1024600069200000186884D090110C60C67010605C
+:10247000CA70C37020801B680100912080400C0846
+:10248000D01D040E43226C7865A0101D0500590074
+:1024900030156C7865A0E0191004290000156C78DF
+:1024A00065A0D81DE000846086A003016811186053
+:1024B000FCC01A6086A0040038110478A4D0200162
+:1024C0000C08D01D06A005007900181185A0010098
+:1024D0000500B900101141200100107D0500FF88A2
+:1024E0001001912080400500907B9479D47002A166
+:1024F000181185A300000500100202A30500028048
+:10250000050084A100FF40010F810C810C81048033
+:102510000480078000A118000781048004809C7A51
+:10252000987BA47CA07D10A206A019A321A429A5B4
+:1025300009201800286005A01001092040000C089F
+:10254000341BD001A8780080AA788CD010151460B4
+:10255000FCD0181169204047100069208047912065
+:1025600000801F680300AB780000AC7885A00003F2
+:10257000AE78912001806800AB7800000C08D01D77
+:102580009079947800800AA1081206A09678D670F7
+:1025900006A071201000912001800500FCD71811C1
+:1025A00009205847100009209847912000800A20F0
+:1025B000F6000920804779200001FCD7201109206E
+:1025C000404779200002042186A000008011FCD73A
+:1025D000181109204547100009208547042105A04E
+:1025E0003011307884A0C00010111B785200FE001A
+:1025F000050009200200692000470120FF01042096
+:10260000FCD004194B237120804779200001212040
+:10261000BF494B780F000120FF010420FCD01801B6
+:102620001920373E3000A1202B011920373E84D1DC
+:102630001001A1202B02042305A040019A781883E1
+:10264000AC2318839823A6531833A80C9B7800005A
+:102650009B782000A9201000AF780000AF782020E0
+:10266000041F29230370000016008CD109200000EC
+:102670000801BDC10C086C241E00207084A00F004E
+:1026800085A0006306780F7800904378D8005378CF
+:1026900090000B78082F52744F70000009814001A0
+:1026A00071204047792000022120BF4704080623FB
+:1026B0000C0826250500160011200101BCD11011BF
+:1026C000112001028CA10F00042284A0F0FF05A1BB
+:1026D00012201E000C086C24050011200101FCD3FF
+:1026E000101111200102A92009000B81041F72237F
+:1026F0008CA1000E042284A0FFF105A11220050088
+:102700001920020009200101A92005001382041FDD
+:10271000832394A2E000042184A01FFF05A20A20C5
+:102720001983180109200102780C0500112001010C
+:10273000FCD3101111200102A9200C000B81041FF1
+:102740009B238CA100F0042284A0FF0F05A112207E
+:10275000050011200201FCD31011112002020422F5
+:102760009CA0300F84A0CFF005A112200500C60068
+:1027700061200001BCD1101161200002BCC10381A5
+:10278000038080A020009A60AC62AC63CE0005009C
+:10279000C60061200001BCD1101161200002BCC143
+:1027A0000381038080A022009A60A46084A0DFFFE0
+:1027B000AE60CE000500C60061200001BCD1101142
+:1027C00061200002BCC10381038080A020009A60C8
+:1027D000A4608CA220001801ACC29DA30040ECC3F1
+:1027E000B4D30811EDC3AE621020A460AE6318200C
+:1027F000CE00050091200080C600E600186805A004
+:1028000004095024FCD118016120D08E10006120F1
+:10281000C08D0C0858246005A9200101FCD11801C5
+:102820006120D08D10006120C08CC6000C08582497
+:102830002801CE00608C041F1224A8040E00FCD1D5
+:10284000280182A0D08D71208047200082A0C08CFA
+:102850007120404776707271382101200400627047
+:102860007F700F00D071C4C1D2710C08CB22C000A0
+:10287000FCD1181171204047100071208047206062
+:10288000DDC0226072713821002C7A7001200600B0
+:1028900062707F700F00D071C4C1D2710C08CB225E
+:1028A000012000001000012001009120018005A0FE
+:1028B000EE00CE000500042C05A070016020106021
+:1028C00006A340110C6006A22811146006A1101185
+:1028D00006A020000060800C85A001000500F60025
+:1028E000E60016007920804771200001BCD120113C
+:1028F000792040477120000220798CA10F00EC70F4
+:10290000C4D010111E0060000B810B810B810B8164
+:102910000E008DA10008BCD010118DA1000F042164
+:10292000EE00FE000500012001470420ACD0381164
+:10293000E468ACD0200184A006000811090005005D
+:102940001460E600360018207120404CFCD01011B5
+:102950007120C04B078084A00F0003800380038098
+:1029600070AE047084A00A0004192325087194A194
+:1029700000FF040923258CA1FF001C7084A000FF28
+:10298000C001047085A03A0006700120090002A170
+:10299000D81601200A0002A1D01601200C0002A1C5
+:1029A000C8161C7084A0FF001E70047084A0DFFF96
+:1029B000067001200A0006A1A80101200C0006A152
+:1029C000A0010120120006A198010120140006A117
+:1029D00090010120190006A188010120320006A102
+:1029E0008001D80009200C00D00009201200B80096
+:1029F00009201400A00009201900880009202000E7
+:102A0000700009203F00580009200A0040000920FA
+:102A10000C002800092019001000112000000021DE
+:102A200005A20A70047085A00A0006707120004794
+:102A30000470BCD05801FCD32011EA7371204047C8
+:102A40001800EE73712080471F700D003E00EE00ED
+:102A500005000120FF010420FCD0D0110120FD045D
+:102A6000042082A00500A01271200002EC718CA14C
+:102A7000001C0F810C810C8179200001EC7884A06E
+:102A8000001C07800480048005A18AA007000802BA
+:102A90000500020074255B2574255B254E256825FD
+:102AA0004E25087084A0FFC385A000300A70087806
+:102AB00084A0FFC385A000300A780500087084A0B8
+:102AC000FFC385A000200A70087884A0FFC385A0FA
+:102AD00000200A780500087084A0FFC385A0000CC0
+:102AE0000A70087884A0FFC385A0000C0A7805004E
+:102AF000040E7525912000807120000006001870DA
+:102B000084D0E81D0E0071201000CA700E00C6703F
+:102B1000C3700280DB70040ADF702A00712000009D
+:102B20001B70010091208040F80C3C7F587E307C67
+:102B3000387DA0788A708E75927496769A7794A56F
+:102B40003F00F4D43801BCD7281184A77D000419B4
+:102B50009C3C71089CA40F0082A304002003A6A340
+:102B6000070030191824078584A00F0002006C2B81
+:102B7000572C952CFB2E7932D03276330534D9344C
+:102B8000AB35C725C4259E29852A4D32C4250C089E
+:102B90007525050006A0380008788DC00A7806A0C3
+:102BA00002704A704270CE705C7005A0041918273C
+:102BB000607084A007000200E12552265A26632691
+:102BC0006C26FE26752652263078BCD0101DD0719A
+:102BD000BCD1F819B4D104192F26A07086A0010029
+:102BE000C009147005A0A819B0706DA0006865A098
+:102BF00055A09B7880000C6BAA7B086845A0106DDF
+:102C000004686DA05DA086A801001801BC69AA7DBA
+:102C1000AA79C0684DA01C6E0120100004084B2842
+:102C20005C7005A00419C625C600D600B0706DA062
+:102C3000006865A055A09B7880000C6BAA7B086893
+:102C400045A0106D04686DA05DA086A80100180164
+:102C5000BC69AA7DAA79C0684DA01C6E0120200025
+:102C600004084B280C085B3C0419C6251B78680037
+:102C7000B8706DA0B4685A789468D678DE78986891
+:102C8000D278DA7808788DC00A78BC683E70B4C112
+:102C9000D271B47065A0C068567003700200002D38
+:102CA0004A7080AD0900427005000C085B3C2011A1
+:102CB0001B7854000370040005000C085B3C2811CD
+:102CC00011200C0019040370040005000C085B3C83
+:102CD000281111200600D1000370040005000C0823
+:102CE0005B3C281111200D008900037004000500D1
+:102CF0000C085B3C501111200600410078707B707D
+:102D0000000068204A700370040005007071FCC167
+:102D1000078182789B78800086A20C002011AA7A15
+:102D20000120010098008CA11F008DA1C000AA798C
+:102D300086A20D002001AA7A012002003800AB789B
+:102D400020007471AA79AA7A012004009B7860009F
+:102D5000AA785B7804001B7813010C086E3C7F7026
+:102D60000F00D070B4D06801B4C0D270C600B47087
+:102D700065A0086084A0EFFB0A60186001801A60FB
+:102D8000CE000500147005A03811D070B4D0280111
+:102D9000B47006AC1011290C05001600A07186A1B4
+:102DA00001002805D600260000211120010012A2F2
+:102DB000B0706820006806AC20011182B001C90023
+:102DC000C80CC60000211120010012A2B0706820BA
+:102DD00000686020086084A0EFFB0A601182100187
+:102DE0004100B00CA3700100CE002E00DE001E00DA
+:102DF0000500E8AD0500A87006AD1011A4706820AC
+:102E000005000C085B3C0419C625787068207077B3
+:102E10000C08953B502C0C08F63C9B7880001468FD
+:102E200084A01F00BDC0AA781C6E412001000120B3
+:102E30000400040850280C085B3C0419C6259B7844
+:102E400080005C706820146FD070B4D06801B4C08A
+:102E5000D270C600B47065A0086084A0EFFB0A6061
+:102E6000186001801A60CE000C08953B502C0C08AD
+:102E7000F63C246805A0300182A00600080210007C
+:102E800027680500146884A01F00BDC0AA783120FF
+:102E900020004120010001200300040850288DC2B9
+:102EA000D272BC7200A215A0507108812AA108023A
+:102EB000BC7164210465FF85701152712184A81DC5
+:102EC000D0708CD02801CC7005A01011CF700A00F2
+:102ED00005000022900CD0708CC0D270CF70000022
+:102EE000346005A0B01D086784A73F07D001D4D780
+:102EF000801D84A72100681D84A70200300184A7DB
+:102F00000400380DBCA7FBFF0A6784A71802081D40
+:102F100084A700013001186005A0D819BCA7FFFEE6
+:102F20000A676825236800001C6E84A60E001863DB
+:102F300028011C6002A3200218015808FF834819C9
+:102F4000582D502C5271BCD72011287022603A6045
+:102F50001000BCC70A67C06865A04DA00061602A68
+:102F600041200100146B9CA31F009DA3C000FCD155
+:102F7000100184D610019CA3BFFFA4D610019DA30D
+:102F8000200084A60E0004190228A5C70A67002C99
+:102F9000C668A07786A701007811D070B4D0601100
+:102FA000007082A0020040123078BCD028119B78BB
+:102FB0008000AA7B040849283987A2775027AC777C
+:102FC000B0A70500A87006A60811A476AE763A2C24
+:102FD00038873A2D38873A2838873A2338873A2570
+:102FE0003078BCD050019120008091203D30D070CD
+:102FF00084A03D30912000809020D5AA00002001BF
+:1030000021840022041951270500DCD10409F1377D
+:10301000292020009CD6201128858CD608112885CF
+:103020004088146F0C6108818CA1FF00C87060A1FA
+:10303000642CFF8C8801146006A7D01DB860018045
+:10304000BA60881D602A086085A000010A6000221D
+:103050002184041951270500602A0E61BE69002CE5
+:10306000C66840880860D5C00A60A07786A70100BE
+:103070000419D927D070B4D00419D927007082A0C0
+:103080000200041AD9273078BCD00419D9279B78BC
+:103090008000AA7BAA7DAA790120020006001860A0
+:1030A00000801A600800060060290461602A0C088C
+:1030B000093D901584A11800800184A11000180119
+:1030C0000C089A39481584A108003801A06984A128
+:1030D000000618110C08B838F800A06984A1001E79
+:1030E000280584A100087801C6006029006085A039
+:1030F0000020026004618DA110000661CE000C0862
+:103100009A395011A06984A1000218010C08FD38F9
+:10311000180084A10004F019A06984A100103001F6
+:1031200014698CA100FF0F810C08C5232E008CA60A
+:10313000E00084A66000280186A0600010118DA127
+:1031400000408DA10401B6699B7860000028AA7830
+:103150001868FDC01A68BCD66801FCC08370000006
+:103160008AA00D0028038AA00C00827101200C00A7
+:103170000C808671AA781835403328340080AC80E2
+:1031800080AF2B00A0209B78000080AD0B00982022
+:10319000A653A8239828A02586A220000815D07041
+:1031A000B5C0D270002CB670002DBA701468FCC087
+:1031B0000780827886A2020004092129A07000807D
+:1031C000A270B07498A40500A87006A30811A47397
+:1031D000B27386A210000409C625DE00CE000500E9
+:1031E000007005A0E01986A20200041938290C0815
+:1031F0005B3CA8191468FCC007808278912000808D
+:103200001B786800B4685A789468D678DE78986835
+:10321000D278DA789120018008788DC00A7826016A
+:10322000D600C600D07084A0002E9020CE00DE0014
+:103230002E0100295670BC683E7003700200002DFC
+:103240004A7080AD090042703078BCD040019120B6
+:103250003D30D07084A03D30912000809020A0703F
+:1032600005A0081105002184E80D4C72BC7000A275
+:1032700015A00408512786A2100060150C085B3CBD
+:103280000419CC281468FCC0078082781B78680079
+:10329000B4685A789468D678DE789868D278DA7804
+:1032A00008788DC00A78A0700080A270B07490A4D5
+:1032B0000500A87006A20811A472B2720029567007
+:1032C000BC683E7003700200002D4A7080AD09009A
+:1032D00042700500B46B9DA300205A7B1468FCC0AB
+:1032E00007808278946BD67BDE7B986ED27EDA7E06
+:1032F0001B78680000295670027208788DC00A7821
+:10330000002305A67001D07084A0002E86A00026A0
+:1033100018110920000010000920010084A20F00EC
+:10332000330080AD09004270002D4A7005009C29D1
+:1033300008420842F64108429C299C299C290C0815
+:103340007525087884A0FDFF0A78F60079200047EB
+:10335000AC78FE0084D0C001607186A10100040930
+:10336000612A86A10700700186A1050058117870B6
+:1033700068201B68040017680000206884A0FF0014
+:103380009DC0226863700000A3700000A470AE703E
+:10339000B2700C08AE26560111200400607186A19F
+:1033A0000100580186A1070018111F7005001000C8
+:1033B0001F700100D070C5C0D27001200A470420E0
+:1033C00084A0FF0086A0180030011870167005A0B8
+:1033D0001011A370010066000C084E3FA9201000D8
+:1033E000392000000C088B3AB8A70001041FEF2910
+:1033F0006E00007002002C2A0A2A0A2A022A2C2AAD
+:103400002C2A2C2A002A0C0875255C7005A038058A
+:1034100006AD181100685E708000206884D04811E5
+:10342000146F0C08953B0860D4C00A600C08C737BD
+:103430002000587060200068026084A6005F1E684B
+:103440001868FCD008011A6A176800002B68000091
+:10345000206884A0FF009DC022680C08BF1D1120B9
+:103460000400C874A0A40001B104A0AE1700990420
+:10347000A9200101C87479042084041F382AC0706F
+:10348000602021200200A92000011061FF81980125
+:10349000186016000600112002470C2202A112201B
+:1034A0000E001E0002A138031260281111200447EB
+:1034B0000422A5C012201B600000E0AC1000041F15
+:1034C000422A2184001D5E01637000000370000029
+:1034D0004B70000005004600042405A0A8016820E8
+:1034E000006806001A6A176800002B680000B468BC
+:1034F00084A0005F1E68206884A0FF009DC0226831
+:103500000C08BF1D0E00480C4E00232000000500D3
+:1035100082A2030010030C087525002302008F2AE5
+:103520000C2B1A2B82A2020010010C08752560706A
+:10353000637000007F7000002200D077C5C7D2778B
+:103540000200A62AA62AA82AE02AFB37A62AE02AF1
+:10355000A62A0C08752570770C088B3A7077BCA7E3
+:10356000008F0C08953B186005A02805FCD71811A2
+:103570002120C08D10002120D08E092005001120AF
+:1035800010000C08342BB8015601A9200101FCD70A
+:1035900018112120C08C10002120D08D4600092058
+:1035A0000500112010000C08342B4E001801208457
+:1035B000041FCB2A5E01388784A71F0090190408D6
+:1035C000C9250408C92570770C08953B186005A02B
+:1035D0002005FCD718112120C08D10002120D08E8D
+:1035E00009200500112020000C08342BB0015601E1
+:1035F000A9200101FCD718112120C08C1000212026
+:10360000D08D4600092005001120200081044E00C5
+:1036100018012084041FFE2A5E010408C925002227
+:103620000200112B132B132B0C087525637000005F
+:10363000D070C5C0D2700408C92500220200212B19
+:10364000132B1F2B0C0875250C084E3F007086A00D
+:103650000200041980370C08E137086084A0EFFBF2
+:103660000A600C087237040980370408C92504244D
+:1036700005A090056820042D0600146806A718010F
+:10368000202D0E00A80C0E0022201A6917680000D9
+:103690002B680000B46884A0005F1E68206884A0C6
+:1036A000FF0005A222680C08BF1D212002471C2430
+:1036B0001983222310600180126028112120044701
+:1036C0000424A5C02220086084A0EFF90A600C0839
+:1036D000CA260C08E137050085A00100E00C002394
+:1036E0000200732B712BEE2B0C087525E47805A0D6
+:1036F000B01708328CA1000818010401C62510007B
+:103700000403C625082084A03000101104084D329F
+:10371000EC7884A00300D00D8478FCD0181184A12B
+:103720000700900084A1070086A004001811012062
+:103730000000500084A1070086A00500180184A1A4
+:1037400007001000012001000200D12BDA2BC72B4B
+:10375000AA2B4F3C4F3CAA2BE42B0C08752500707C
+:1037600086A004009011607086A002003011112024
+:103770000200192000000408852A607086A0060057
+:10378000B00D607086A00400900DE4790120030064
+:1037900004083B2F1868FCD010011B681D000C08A2
+:1037A000613A1B786E0005001868FCD010011B6898
+:1037B0001D000C08613A04082D3C1868FCD010016B
+:1037C0001B681D000C08613A1B78FA000500186898
+:1037D000FCD010011B681D000C08613A1B78CB005F
+:1037E000050084A50F00C01100700200C925FB2B45
+:1037F000FD2B803780378037FB2BFB2B0C08752582
+:103800000C08E137086084A0EFFB0A600C087237EF
+:10381000040980370408C925E47805A0041BAC2BF3
+:1038200008328CA1000818010401AC2B100004031D
+:10383000AC2B082084A0300018111B78680005000C
+:10384000EC7884A00300C80D8478FCD0181184A102
+:103850000700900084A1070086A004001811012031
+:103860000000500084A1070086A00500180184A173
+:1038700007001000012001000200492C4D2C442CAF
+:10388000422C4F3C4F3C422C493C0C0875250C08FF
+:10389000673A1B786E0005000C08673A04082D3C57
+:1038A0000C08673A1B78FA0005000C08673A1B7889
+:1038B000CB000500002302005E2C5C2C602C0C0861
+:1038C0007525040805341B681600A3780000E47908
+:1038D00084A1300004090534EC7884A003000409B5
+:1038E000053484A10001980D8478FCD0181184A1BE
+:1038F0000700900084A1070086A004001811012091
+:103900000000500084A1070086A00500180184A1D2
+:1039100007001000012001000200922C4D2CC72B43
+:103920000B3C4F3C4F3C0B3C493C0C08173C050002
+:1039300082A2050010030C0875259878402000230A
+:103940000200A12CCB2ED52E00220200BD2CAA2CC9
+:10395000BD2CA82CAD2E0C0875259B781800A878D6
+:10396000102084A0FF0082A02000040A303A8AA020
+:103970000400041A303A0200303A303A303AE4395E
+:103980009B781800A87984A1800048010408303A87
+:10399000007005A0D81D112004000408B73584A1CB
+:1039A000FF008AA01000041A303A0200E52CE32C34
+:1039B000F72CFB2CA92D303A303AAB2D303A303A67
+:1039C000A92EA92E303A303A303AAB2E0C08752584
+:1039D000E4D6400101200003008000803A781B7883
+:1039E000C70005001868FCD018011B681D00900C6A
+:1039F00004080B3C1B681D0004085B3A206922691F
+:103A000084A6001804194C2D206884D00419542D64
+:103A1000186886A0080010111B680000D4D668053D
+:103A2000BCD6580583700000186884A03F008AA0A7
+:103A30000D0018078AA00C00827101200C000C8078
+:103A400086719B786100AA785601360146011600FE
+:103A500008328CA100061801A1202B021000A12021
+:103A60002B011E009B7800000080AC8080AD0B0015
+:103A70009820A6534E013E015E01386005A050110A
+:103A80001C6884A00E0004095B3A0C086D3A2B7880
+:103A90000830100001803A601B7871000500E4D600
+:103AA00030011B78830005001B788300050084A685
+:103AB0006000D00DDCD6C00DFCD6A001FCC65A7E3D
+:103AC000B66EDC7AD879D078078084A07F0008A110
+:103AD00091A20000986B002102A3B268946B0022AF
+:103AE00003A3AE68F4D61801F4C65A7EB66E007011
+:103AF00086A00300481106000C084E3F0C0808423F
+:103B00000E001B788000050006A00C08E842B06A91
+:103B1000AC69986C946B002205A12001002222A4BC
+:103B200000211BA3AA6CD27CDA7CA66BD67BDE7B41
+:103B3000002305A43011F5C65A7EB66E1B788000AE
+:103B400005001B788000002215A118110C080842FE
+:103B500005000C08354205000C08752504083F2EA9
+:103B6000C6005470602020698CA1FFEC22690060BF
+:103B700084A0DFCF02600C08173906A0402038204F
+:103B80000C08BF390408332EC60054706020482C3E
+:103B9000A87A94A2FF0086A20400D8112069E4D17B
+:103BA000701139200000412000003120000006A0E3
+:103BB00010200C081A390C08BF390408332E8CA1C8
+:103BC000FFEC226904618CA1DDFF06610060ACC0DE
+:103BD000026086A20300D001046184A110004805A0
+:103BE0000C08913B0C089A39FF881805CE009B7889
+:103BF00060000028AA78587E95C65A7ED4D618113F
+:103C00001B786E0005001B78820005002069CCD16E
+:103C100030018CA1FFFD22690060ECC002603920F8
+:103C20000000412000003120000006A010200C08F8
+:103C3000BF3986A201005801046184A10800B001C7
+:103C40000C08913B0C08B838FF888019780020696F
+:103C5000C4D130018CA1FFFE22690060E4C0026083
+:103C60003120000006A010200C081A39CE00587E22
+:103C7000D4D618111B78710005001B78830005004D
+:103C80000408573A08289B78800019208000A878FB
+:103C900094A0FF0086A20100B811002302A186A013
+:103CA00001000409AD2DA87CA4A4FF0080A402009B
+:103CB00000A3182002A1040AC12D0409C12DA824C3
+:103CC000A87A041F5D2E180C84A2F00082A02000A8
+:103CD000B806002282A021009816A87A18831883BB
+:103CE000002102A3A00A86A2230050091C6884A018
+:103CF000F1FF1E68587E84A6F1FFA5C030205A7ED1
+:103D00000860A5C00A60A07805A00409342EA82088
+:103D100098799B786000AA78112080009A79A87819
+:103D200098799A7AAA78987A041F8B2E95C65A7E2B
+:103D3000D4D618111B786E0005001B788200050090
+:103D40001883002102A3040A442E84A280000419CF
+:103D50005B3AA07805A0C80804085B3A0408303A2A
+:103D600054704DA09B781800A87884A0FF008EA006
+:103D7000010010010C087525A87A94A2FF004B7869
+:103D80000800A87884A0FF008AA00500041A303A31
+:103D90000200303A2F38303A4A39593D82A20000A9
+:103DA00010110C0875250C08613A1B78820005007B
+:103DB00082A2030010110C087525FCD4D01160708C
+:103DC00005A010010C087525146F7277BCA7008F31
+:103DD0000C08953B086085A021000A60388784A7FD
+:103DE0001F00B01D0C08643A637002001F700900C8
+:103DF00010000C08703A1B788200050082A20400B3
+:103E000010030C08752500230200052F9B30D730C6
+:103E100086A2030098050072D87CDC7DD07FD0712B
+:103E2000B4D12805BCD11815012001470420C4D005
+:103E3000F011687884A0FF00D01182A20200B812AD
+:103E4000D6003B7800831B785900B8706DA0B46829
+:103E50005A789468D678DE789868D278DA78B4C1DF
+:103E6000D27103703000DE000120000058003B7862
+:103E700000131B7857000120000020000072D87C3E
+:103E8000DC7DD07F4670A068ECD0180108608DC042
+:103E90000A6084A20F0002007C30562F532FA731F6
+:103EA0003232C925512F512F0C0875250860D4C016
+:103EB0000A60E4D62001447086A01400E8110C08C2
+:103EC0004E3F092000001868FCD00801447086A00D
+:103ED00014006801186886A0080004193E3058785C
+:103EE0009CD004093E302068ACD004093E301B68E9
+:103EF000140009200200A80468788CA0FF0088053F
+:103F000086A1080058110860A4C00A600C08723726
+:103F100040050C08E1370C084E3F600086A12800E0
+:103F20000015186005A0780D0180680D0180580DFE
+:103F30001E60480C206884D00409C92584C022680A
+:103F40000C08BF265870C600602000680260CE00D2
+:103F50000460026805A0002D0811026006600408D4
+:103F6000C9251600FF81F015007086A03000D0052D
+:103F7000D071BCD1B815B4D1E8115C7005A0901512
+:103F8000A07086A001007005037000004600560076
+:103F900076006600C600D6000C08F125DE00CE00D3
+:103FA0006E007E005E004E00D071B4D1D811037057
+:103FB0004000C0000C085B3CA8111B786800D600CC
+:103FC000B8706DA0B4685A789468D678DE7898682E
+:103FD000D278DA78B4C1D2710370300008788DC01D
+:103FE0000A78DE000C08FF301E00FF8104093E3015
+:103FF00084A600DF1E682B680000146F86A10200F3
+:1040000004193F30186886A0140030110820E4D647
+:10401000180168788CA0FF000C087A3A0C08CA26B0
+:104020002068DCD07815178794A20F0013821382C2
+:10403000138284B20006180190A2C04B100090A217
+:10404000404C90A200001C22C4D370012068E4D030
+:10405000280184A0FFEF2268ACC31223108204223F
+:1040600085A0380012201182D4D33801A068C4D0B2
+:1040700020110C0867310408C92508608DC00A604A
+:1040800008002A6916691868FCD0100144701A6883
+:104090008CA600DF1E691064FF84680109200247B6
+:1040A000042101800A202184126428112120044760
+:1040B0000424A5C02220186005A0180101801A6000
+:1040C00018110860A4C00A60206884D0301100680C
+:1040D00005A008110260066020005870602000688A
+:1040E00002606120004787680301082D6B200000F3
+:1040F000686005A06A611001022D08006E610072FF
+:1041000086A23000580186A240000419C925037018
+:10411000020048706820C468602005000370020037
+:10412000B8706DA0BC683E70B47065A0C068567071
+:10413000002D4A7080AD09004270050082A2040083
+:1041400010020C08752500220200A630B530C130DF
+:10415000B53086A50013600186A50083901D03700D
+:104160000000186001801A60086084A0EFFB0A60FC
+:10417000007086A0050028010C08613A1B788200B7
+:1041800005001B788300050090780780018084A0DB
+:10419000070080A018009A78A8798CA1FF0086A15A
+:1041A0000300280186A1000010010408303A1B78A2
+:1041B00083000500206895C02268FF8218110C0852
+:1041C000613A3000118210010C0875250C08703A14
+:1041D0001B78820005000C086E3C307884A0C0007B
+:1041E0007011160008328CA100081E00180104018D
+:1041F000FC3010000403FC301A7906A0050085A0ED
+:104200000100050084A6600030112F6800003368AB
+:10421000000004086631DCD69811B468DCD0801147
+:104220009869946A2E69326A447005A030110022A0
+:1042300005A104094E3F4770150004084E3F0500D4
+:10424000ACD6F001F4D630012F68000033680000CE
+:1042500004084E3FB46884A0004035A6F4D6A01DE3
+:10426000447005A0101147701500DCD62811B46801
+:10427000DCD01001A86CA46D2E6C326D04084E3F8A
+:10428000F4D630012F6800003368000004084E3F68
+:10429000B46884A0004835A6F4D6A01D447005A0DB
+:1042A000101147701500082410250027078084A0EE
+:1042B0007F0008A191A200002E69326A002105A2A8
+:1042C000101104084E3F007086A00600100104087B
+:1042D0004E3F050046690860CDC0CCD308018DC0B3
+:1042E0000A6018683A681B6806008F6800009368C7
+:1042F0000000306A2C693E6A42692F680300336807
+:10430000000037682000976800009B68200000705C
+:104310000200C925963190318E318E318E318E3129
+:104320008E310C087525206884D018110C08C73709
+:1043300030005870502C602000680260602AA0AEE7
+:104340001700042405A010012020D80C222D6B207A
+:10435000000005000C08CD370C08E1370860CCC020
+:104360000A602B6800009B780E00146F38691A6988
+:10437000446916690920000086AE404710010920F3
+:1043800001000C081F43DCD6C8011C69EDC11E6981
+:10439000286882A00E009002486884A00F0086A0C2
+:1043A0000B0060115C6886A04700401101200147A6
+:1043B0000420ACD0181100270C089E241868FCD0EB
+:1043C00040011B68000068788CA0FF0010011B688A
+:1043D0001E00A0AE1700006822203C6A4069326AC5
+:1043E0002E69C06860200060A4D0800541202100B3
+:1043F0004920050051202000D600F6005601460154
+:10440000792000470C08B21B4E015E01FE00C87007
+:10441000102009200101260004226DA0400114682B
+:1044200006A710010068C80C2068D5C022682E00BD
+:1044300010820981801DDE00637003007B70000024
+:1044400072777F700F00D071C4C1D271186886A0D6
+:1044500002003811176800002B6800001C68ECC0CF
+:104460001E680C08BF1D0408C925D87CDC7DD07FE0
+:104470000C08FF302B6800009B780E00146F0C08AE
+:10448000723C8CA0FF0016691868FCD010014470C3
+:104490001A688CA600DF1E69637000000408C92535
+:1044A000007005A010110408C92506A00C084E3F95
+:1044B0002069ACD110111B6814008CA600DF1E69A6
+:1044C0002B680000206884A0FF00226800700200B2
+:1044D000C9256F326F327232723272326D326D3282
+:1044E0000C087525186804083B2F0860A4C00A60F2
+:1044F0001768000004089537002302007E328032DE
+:10450000CE320C087525FCD604195B2D00700DA069
+:104510000200C92590329032BA329032CB328E32BC
+:104520008E320C08752584A66000380586A06000D0
+:104530001015ACC6F4C6EDC65A7EB66E1C68ACC08B
+:104540001E6886A1020048010C084E3FAC69B068A5
+:1045500015A118010C08354210000C0808421B7800
+:104560008300D071B4D10419C625A07086A00100C3
+:1045700004190D260500ECD6F0091868FCD070016E
+:10458000F4D630111B6815001B7883000408C6257B
+:104590001B6807002F680000336800000C08173CF8
+:1045A00005000C08752500230200D732F93251337B
+:1045B0000C08752500700200E132E332EA32E13284
+:1045C000E132E132E132E1320C087525AC69B068C4
+:1045D00015A118010C08354210000C0808421C688F
+:1045E000B4C01E68D070B4D00419C625A07086A0CF
+:1045F000010004190D260500FCD604194133007092
+:104600000DA00200C9250F33093339330F333E3370
+:10461000073307330C0875259468D678DE789868D8
+:10462000D278DA7884A66000380586A0600010157C
+:10463000B4A6BFBFEDC65A7EB66E86A10200480181
+:104640000C084E3FAC69B06815A118010C08354242
+:1046500010000C0808421B7883001C68B4C01E6858
+:10466000D071B4D10419C625A07086A00100041928
+:104670000D260500ECD6F0091868FCD010011B6867
+:1046800007001B78FB000500FCC65A7EDC7AD8794F
+:10469000986B002102A3B268946B002203A3AE685A
+:1046A000D2791B7883000500DCD630012B780930E5
+:1046B0001B7883000408C6258478ACC08678E4782B
+:1046C00084A00800501184A400020801F5C6DDC6CC
+:1046D0005A7E1B7883000408C625206895C022688E
+:1046E0000C08023CDDC60C08613A1B788200040805
+:1046F000C625002302007B337D337F330C087525EC
+:1047000004085B3A987DD4D6A815E479ACD1300181
+:10471000EC7884A0030010012B7809309B786000AE
+:10472000AB78000084A6FBFF5A789A7DE479ACD17F
+:104730002001EC7884A0030020110120140004085B
+:104740003B2F8478FCD0181184A10700900084A12D
+:10475000070086A00400181101200000500084A169
+:10476000070086A00500180184A1070010000120A1
+:104770000100C204907A94A207009B786000A87997
+:10478000FF8168059B788000A87B84A30100D0117D
+:10479000A87BA87B86A3040018110920DFFF58001E
+:1047A00086A3010018110920F7FF280086A3030043
+:1047B00048110920EFFFC60054706020046004A176
+:1047C0000660CE009B786000AB78000084A6FBFFFB
+:1047D0005A782B78093020698CA1FFEC22699A7DE8
+:1047E00004080B3CD12BDA2BF933FF33F733F733C3
+:1047F0000B3C0B3C0C08752520698CA1FFFC226941
+:104800000408113C20698CA1FFFC226904080B3CC0
+:10481000E47984A130002001EC7884A003007015B5
+:10482000007086A004009011607086A00200301114
+:1048300011200200192000000408852A607086A05B
+:104840000600B00D607086A00400900D007086A078
+:1048500000000409C625206984A120042801D4C1D0
+:104860002269186804083B2F18688EA002002001F6
+:10487000FDC01A680120140004083B2F8478FCD086
+:10488000181184A10700900084A1070086A00400ED
+:10489000181101200000500084A1070086A0050027
+:1048A000180184A1070010000120010002000B3C48
+:1048B0000B3C5C340B3C4F3C4F3C0B3C0B3CBCD6A4
+:1048C00070058071FF81580582A10D001813837057
+:1048D0000000280082A10C00827009200C009B7847
+:1048E0006100AA795601360146018470148110A234
+:1048F000867280A00B0000AD982084B200061801DB
+:10490000A1202B021000A1202B019B780000088120
+:10491000AC81A6534E013E015E010408113CD4D681
+:104920000419CF34206884D00409113C8CA660009F
+:1049300084A66000200186A060000811F5C194C122
+:104940005A79B6699B786000AB7800009B7861006B
+:104950001868FDC01A68AA7808800C810409F63727
+:104960008CA1F8000419F6375601360146011600ED
+:10497000A1202B0108328CA100061001A1202B02DE
+:104980001E009B7800000080AC8080AD0B0098205A
+:10499000A6534E013E015E011468FCC00780827878
+:1049A0000408113C1868FCD010011B6808000C08B2
+:1049B000613A1B78ED00050000230200E0349D35CC
+:1049C000DE340C087525D87CDC7DD07FFF8228156D
+:1049D000007286A203000409092FD071BCD1F8111E
+:1049E000B4D1E801012001470420C4D0C011D60091
+:1049F0003B7800881B785900B8706DA0B468A5C0DA
+:104A00005A789468D678DE789868D278DA78B4C123
+:104A1000D27103703000DE003000007220003B785D
+:104A200000181B78570084A20F0002008835453516
+:104A30001D35382F1B3588351B351B350C08752562
+:104A40001C68ECD0180108608DC00A60206985C11F
+:104A500022690068066005A0081102600860D4C0E1
+:104A60000A601C6884A00E002011C87188A1000192
+:104A700028003070BA683C71C87008A1042102682F
+:104A80000A2D5A71DCD62011FCC6B66E0408883592
+:104A9000B66E84A66000201184A6FF7FB668D80495
+:104AA000DCD6501184A6FF7FB6689468A668986823
+:104AB000AA680C084E3F7804ACD6400106A00C084A
+:104AC0004E3F08241025AA69A66A6800082410250C
+:104AD0000027078084A07F0008A191A20000AA6996
+:104AE000A66A0C084E3FFCD6B00184A6FF7FB668CC
+:104AF00010250824ACD638110027078084A07F0039
+:104B000008A191A20000986B002102A3B268946BE7
+:104B1000002203A3AE68007086A030000419C925E6
+:104B200003700200B8706DA0BC683E70B47065A0E0
+:104B3000C0685670002D4A7080AD090042700500B3
+:104B400086A50088481103700000186001801A6073
+:104B5000086084A0EFFB0A6004085B3A4370000021
+:104B600082A2060010030C08752500230200B73549
+:104B7000C835D23500220200BF355B3AC135BF359A
+:104B8000033651360C087525807A94A2000F0C0864
+:104B9000A5360408303AC10002005B3AD035D03562
+:104BA0000336D0355B3A0C08752571000200DC3500
+:104BB000DA35DA35DC35DA35DC350C0875250C08E4
+:104BC000703A1B7882000500007086A00200501128
+:104BD0000C08E13710000C084E3F086084A0EFFB82
+:104BE0000A602000007086A00300A80D0370050075
+:104BF0000120E08E8EAE404710010120128F682008
+:104C00004A7080AD0900427000220500007086A045
+:104C100002005811D070B5C0D270002CB670002DB3
+:104C2000BA7038000C084E3F2000007086A00300C8
+:104C3000C80D03700100807A94A2000F9B781800C1
+:104C4000A87C84A41F0015A26920C08D84B2000630
+:104C50001811FDC26920D08E042D082D5A716DA047
+:104C60002801146806A220010068B80C0C08A536BB
+:104C7000B46E5A7E206984A1000C0409CB366070A2
+:104C800086A006002811707006A2101162707A705A
+:104C90001B680500ADC11B680500ADC1D4C1226908
+:104CA0000C08673A0408CB36007286A2020058113D
+:104CB000D070B5C0D270002CB670002DBA70300024
+:104CC0000C084E3F180086A20300D00D03700100AF
+:104CD000807A94A2000F9B781800A87C84A41F00FF
+:104CE00015A286AE40470801FDC2A879A8798CA11B
+:104CF000FF001821C87068A1042D082D5A716DA0FD
+:104D00002801146806A218010068B80C0904B46EE2
+:104D1000206984A1000C0409CB36DCD078016070D6
+:104D200086A004004011707006A22811747006A3BA
+:104D3000101162707A700C086D3A80041B680500CF
+:104D4000ADC1D4C122690C08673A7B700000300401
+:104D50000370050084B2000618010120E08E1000E7
+:104D60000120128F68204A705601A92032000320CA
+:104D700000000080041FB4365E0184B200061001FA
+:104D8000FCC20800FDC2166A80AD09004270B76817
+:104D9000000723680008276803000500ECC6ACA6DE
+:104DA000600004091237986B946CAC69B06805A177
+:104DB000E011D27BDA7BD67CDE7C86A56000C8055C
+:104DC000F4D60811EDC6B4A6FFB75A7E09208300B9
+:104DD0009CD62801092082001920000020231A797E
+:104DE000ECD688050C0808427004B0681AA30021AC
+:104DF00023A4002405A3F801D27BDA7BD67CDE7CD9
+:104E0000B068F4D60811EDC6F4C65A7E11208300AE
+:104E10009CD62801112082001920000020231A7A34
+:104E2000ECD688010C0835427000192000002023C0
+:104E30001000B4A6FFB75A7E092083009CD610014B
+:104E4000092082001A79C0685670002D4A70C46823
+:104E50006020D071012001470420C4D0C815D4704F
+:104E60002DA0B801BCD14805807A94A2000FD8705B
+:104E700006A21801E07804A55815D670BCC1D271FD
+:104E80003804312001002C85180233861082D80C9A
+:104E90000500E07D94A500FF3001112008002F855A
+:104EA000810C37860800690C1782807884A0000F77
+:104EB00006A27001DA72D6765800807A94A2000FAA
+:104EC000D87036A2C00DE07834A5A80DBDC1D2714E
+:104ED000B4D10419C625002305A40409C625A07071
+:104EE00086A0010004190D260500206005A05001D0
+:104EF00001802260086085A008000A600F70000130
+:104F00002C702660050006A00C084E3F007086A09D
+:104F100002002001607086A0050050112B6800007F
+:104F2000176800001B680100236840001F6800012B
+:104F3000007084A00F000200C925A637A337C3372D
+:104F4000AF37C925A137A1370C08752549041104CD
+:104F50002800310458706020006802600C08BF1DF2
+:104F60000408C9256070637000007F7000000200B3
+:104F7000BF37BF37BD37BD37BD37BF37BD37BF3789
+:104F800004089A2A637000000408C9251B68000001
+:104F90000408A731006805A008110260066005003A
+:104FA0001064FF84680109200247042101800A205F
+:104FB000218412642811212004470424A5C0222042
+:104FC0000860A4C00A600500186005A010010180F7
+:104FD0001A6005000C086E3C1B68180090040C0851
+:104FE0006E3C1B68190068040C086E3C1B681A00B4
+:104FF00040040C086E3C1B680300180470770C0812
+:10500000953B74718CA1FF00103294A20006180128
+:10501000E8A1C08C1000E8A1D08D042D082D6820D7
+:1050200005A018117A700408C9251468707206A2C8
+:1050300010010068980C00680A201B6805007B704E
+:1050400000000C08CD37206884D010110C08C73739
+:105050000C08E1371F680000236820000C08BF1D02
+:105060000408C92582A203000419353AA87DACA51D
+:10507000FF00A87EB4A6FF002069BDC12269C4D18B
+:10508000B005C4C12269B4A6FF00300582A618008D
+:10509000180210013120180086A610000811308671
+:1050A0002B852B85412000000C08EE3A18010C08D6
+:1050B0001A39A0000C08BA3A0C0817392069C5C182
+:1050C0002269587E95C65A7ED4D618111B786E0078
+:1050D00005001B78820005000C081739587ED4D6CD
+:1050E00018111B78710005001B7883000500C600AD
+:1050F000547060200061E4D198050862178294A280
+:10510000FF0082A2180018021001112018000026CA
+:1051100002A20812302286A610000811308608620A
+:1051200094A2FF00EC78E4D0300182A20A00401281
+:1051300011200A00280082A20C00101211200C007D
+:10514000002202A5081228220C08BE3A2B852B85C6
+:10515000412000000C08EE3A18010C081A39200012
+:105160000C08BA3A0C081739587895C05A78CE000E
+:105170001B7882000500C60060290060E4D0881119
+:10518000B4D05011106084A00F00301104618CA1C4
+:10519000F5FF0661CE000500112032001920000045
+:1051A000F000A068CCD0C01D086294A2FF00EC788B
+:1051B000E4D0300182A20B00181211200A0028004E
+:1051C00082A20C00101211200C0008631F839CA304
+:1051D000FF0082A318001802100119201800AB78F4
+:1051E0000100AB780300AB780100AA7AAA7BC0A8C3
+:1051F00005002068C5C022680C087A3ACE00050078
+:10520000C600602904618CA1F5FF066111203200FF
+:10521000192000000000AB780100AB780300AB78E8
+:105220000100AA7AAA7BC0A805002068C5C0226830
+:10523000CE00050006A030201020C6005471602169
+:105240001820082084A0E0FF35A6867E18609A7892
+:10525000AE7E1266A47884A070778CA10F0005A1A1
+:10526000292005472C25CCD54001A4D3100185A0C9
+:105270000008FCD3100185A08080A67816608A788B
+:10528000B4A61F0037860482048005A60E60046061
+:1052900084A0D5FF0660CE00050082A2020004199A
+:1052A0003F3AA87A2069BDC12269CCD16805CCC13A
+:1052B000226994A2FF0082A20200041A303A0C086C
+:1052C000C1390C08173980A901000C200C08913B4A
+:1052D0000C08B838FF8878019B7860000028AA780D
+:1052E000587E95C65A7ED4D618111B786E000500DC
+:1052F0001B7882000500587ED4D618111B787100E7
+:1053000005001B788300050082A20200181284A207
+:1053100001004001547188A100000C21ECD1101152
+:10532000112000000C08AC3A79040C0817395878A1
+:1053300095C05A781B7882000500C60026006029B7
+:10534000006011200100ECD05811BCD0381114605D
+:10535000B4D02011A4C1066106A08800112000006D
+:10536000AB780100AB780200AB780300AA7AC0A842
+:1053700004000C087A3A206885A0000222682E00FA
+:10538000CE000500078815A7C6000920000054704C
+:105390006020FF82100109204000186080A00200F8
+:1053A0009A78A47884A09FFF05A1ECC0B4D008111E
+:1053B000EDC00061F4D1100185A02000A678166030
+:1053C0008A78046084A0EFFF0660CE000500060026
+:1053D000007086A0030010010E0010000E0098045B
+:1053E000ACD68805887884A040006805B87B078320
+:1053F00084A07F001815078284A0FF000409573A93
+:105400009AA00400041A573AF4D6D011D879DC7A5D
+:1054100008A191A20000D279DA79D67ADE7A0C0856
+:10542000E8421B78800084B20006180101200000C9
+:105430001000012001000C089A4105000C08752598
+:105440001B78800005001B788300050039200000D0
+:10545000412000003120000006A010200C081A395D
+:105460000C08BF39587E0C08733A1B78820005007F
+:10547000D10C2068C4C02268C600547060200C089B
+:105480004439B000810C2068CCC02268C60054703A
+:1054900060200C08DE396000310C206884A0FFEC2D
+:1054A0002268C60054706020046084A0C5FF0660B6
+:1054B000CE00050049001B78820005002768020025
+:1054C00049001B78820005000120050088000120AA
+:1054D0000C0070002068D5C0226801200600400042
+:1054E00001200D0028000120090010000120070004
+:1054F0009B787E00AA789DC65A7ED070B4D0680191
+:10550000B4C0D270C600B47065A0086084A0EFFB80
+:105510000A60186001801A60CE00050076003F879F
+:10552000BCA70F003B873B870387E0A0C04B8EAE34
+:1055300040471001E0A0404CB8A720009A7FA47912
+:1055400084A1E07FAE781260A47984A13F77A67829
+:105550001660046085A0380006607E0005009B7818
+:105560008000AB780100AB780200AB780300AA7A28
+:105570009B786000AB7804000008312000002920EF
+:1055800032009B788000AB780100AB780300AB78E9
+:105590000100AA7DAA7E9B786000AB780500040814
+:1055A0007A3A5601078084A0FF000380038080A020
+:1055B00020009A78A4798CA1E0FF21207A3B192061
+:1055C0001100A9200E0011203200042484A0E0FF65
+:1055D00006A128012084002310A2041FE23A5E01E4
+:1055E000050056010408303B2120883BA920090012
+:1055F0001120290082A5280050052084A99511209A
+:10560000330082A5330018062084A99519200A00CA
+:1056100011206500002202A5D0022084002310A2E0
+:10562000041F073B5E01880021207A3B19201100EE
+:10563000A9200E0011203300002202A54002208480
+:10564000002310A2041F193B5E0106A00500118271
+:105650005E0182A564002012087885A070000A7897
+:10566000042405A0050086A80200E8012120663B6D
+:10567000A9200D001120280082A52800480D2084B3
+:105680001920190011203300002202A5000E2084E9
+:10569000002310A2041F413B5E011120840182A55A
+:1056A0008501B00A90082120753BA9200300112034
+:1056B000240086A52400600920841120280086A5E6
+:1056C0002800300920841920190011203300040813
+:1056D000193B21100222033404460558066A077C50
+:1056E000104612461258125A146A146C146E177E21
+:1056F000219002B004E210E210E2091202300232FC
+:105700000342034404540456056605680678067A85
+:10571000070C070C070EE1100A330558055A066AF4
+:10572000066C077C077E000E9B78800046A0050073
+:1057300084A7000F0B8084A71F00038003800380D1
+:10574000038005A1FCD71801E0A0C06C1000E0A008
+:10575000C04C0500E600F60084D038017920000135
+:105760000920804771208047300009204047792078
+:1057700000027120404791200080042184A00F0086
+:105780000200C83BC83BC83BC83BC83BC83BC63B04
+:10579000C63B0C087525B469F5C18CA19FFFB6699D
+:1057A00005A08005587884A09FFF85A000605A78E6
+:1057B000287886A0141830154B780400487884A007
+:1057C0000400E01D4B780800487884A00800E01D24
+:1057D0003078BCD0B81184B2000818010401FF3B36
+:1057E00010000403FF3BE47984A130005801EC78F9
+:1057F00084A0030038011C68ACD01011D90010003F
+:105800001B78FB00FE00EE0005000120014704208C
+:10581000ACD0181114680C089E2405001B78830076
+:1058200005001B78820005001B78710005001B78BD
+:105830006E000500092019470C2186A100005001C7
+:1058400086A1010050011F700B00637001001B78DE
+:10585000540005001B78F30005001F700A000500C6
+:10586000092019470C2186A10000680186A10100CA
+:1058700038011F700B00637001001B785400050095
+:105880001F700A0005001B78F20005001B78FB0062
+:1058900005001B78FA0005001B78CC0005001B787A
+:1058A000CB0005001868FCD010011B681D001F709C
+:1058B0000B00637001001B7854000500307884A051
+:1058C000C000701108788CC00A7800E000E000E0A9
+:1058D00000E0EC7884A02100180108788DC00A78D7
+:1058E000050008788DC00A780500307884A0400053
+:1058F000E01D84B2000818010411803C100004135C
+:10590000803CAC780500087884A0FDFF0A7800E0B0
+:1059100000E000E000E0EC7884A02100400184B2C7
+:105920000008180104118F3C10000413923CAC785D
+:105930000600087885A002000A780E00050084A7FA
+:10594000010004194D3284A770004001C600602D8B
+:10595000682F0C089024782D682CCE0084A70800AE
+:1059600048014B780800EC7884A0030004094D320C
+:1059700004080B3C84A70400C801B87884A0008008
+:10598000A8014B780800EC7884A0030004094D328C
+:10599000E47884A0070086A001004011C07885A6A5
+:1059A000004830205A7E1B78FB00050084A7800049
+:1059B00040018478FCD028010C08573A1B6822006B
+:1059C00005001B680300587884A0005F1E682F68DC
+:1059D0000000336800004B780800EC7884A00300D6
+:1059E0000409AC2B84B2000810010401C62504038D
+:1059F000C625146B078384A00F00038003800380F7
+:105A0000FCD3180180A0404C100080A0C04B602047
+:105A100048205670602A0500C60060290060ACD09E
+:105A20000409573DA068ACD1201184A0000E0409E0
+:105A3000553D086117818CA1FF001C632F83DCD0CA
+:105A400010019DA30100CCD0C81184A5FF0038012E
+:105A5000EC78E4D010011382B8002920000082A164
+:105A60000C009012EC78E4D0181109200C006000B2
+:105A700082A10B00481209200A00300009203200E0
+:105A80001120000029200000AB780100AB7806004F
+:105A9000AB780400AA79AB780000AA7AAA7BAA7D29
+:105AA000C0A80800206885A0001022680C087A3A77
+:105AB00085A00100CE00050082A206000419493A23
+:105AC000A87DAC7E3786ACA5FF00B4A6FF00AC7FF6
+:105AD0004787BCA7FF00C4A8FF002069BDC1226999
+:105AE000E4D10409CB3D8CA1FFEC226982A702001E
+:105AF000041A233AB4A6FF000409C83D82A6310067
+:105B0000041A233A82A50900040A233A82A8030052
+:105B1000041A233A86A80200D00186A800000419BE
+:105B2000233A01200C00EC79E4D1100101200A0095
+:105B300002A590120C08233AC6006029046085A0D3
+:105B40001A0006600060ACC00260CE00050086A7A7
+:105B500000000409233A348682A618002802200196
+:105B6000312018000408193E86A61000081130865E
+:105B70002B852B850C08EE3A0409233A0C081A39B8
+:105B80000C08BF39587ED4D618111B787100050057
+:105B90001B78830005000C081739900C86A80200BA
+:105BA00008113486547188A100000C21ACD104097D
+:105BB000233AECD120113920000041200000E4D12B
+:105BC0002011312000004120000082A70200C812ED
+:105BD0001C6284A2FF0006A710013920000005A660
+:105BE000900108611F819CA3FF00680102A30812B5
+:105BF0003023078805A786A00102600186A800005F
+:105C0000680139200000412000003120000006A07A
+:105C10001020700084A200FF0811402084A1FF0022
+:105C200002A5080128212B852B850C08EE3A580D7A
+:105C30000C081A390C08BF399B788000AB7801003A
+:105C4000AB780600AB780400AA7DAB780000AA7E92
+:105C5000AA7F0028AA789B786000AB7808002068AB
+:105C6000E5C022680C087A3A587895C05A781B78B3
+:105C7000820005002000200000002000000020001D
+:105C80000000200000002000000020000000200094
+:105C90000000200000002000000020000000200084
+:105CA0000000200000002000000020000000200074
+:105CB0000000200000002000620009001400140011
+:105CC00055984D9814001199FF98140014009000F5
+:105CD000E70000010204082080F8180017000F8474
+:105CE000C1D8140016000AA214000B300CA2140034
+:105CF00000251300002510001000100010001000F7
+:105D00001000100010001000100010001000100013
+:105D1000100000A206383988C420640850A8083052
+:105D2000C128189D01A20C30472861816A84008037
+:105D3000A48456183A8808A8E228CE9CF3A86408E0
+:105D40003EA80C3001A80830E128CE9CA22863713F
+:105D500031A8212018A805A20C87DED8A064E06D28
+:105D6000C06FA467806C120205A23D882B881418AE
+:105D70003B882770F28537A732A503F076857786B2
+:105D800013A83E8811A88228627114A80A2804A2C8
+:105D9000C064E06DA067C06F14183B8823707685DF
+:105DA000778602A861783E886A20C128189D422023
+:105DB0000121CAA802290EA20BA807A2140003A25F
+:105DC0000080A48572189A873C88E21F01F608A219
+:105DD0006E852171140004070830CE9C140002A2C5
+:105DE0000080A4850930A884E21944F86E853F88B4
+:105DF000E608F5A861F8EBA801F8140081F8160090
+:105E0000B285F0803295A2FAE21D1400328521F2AB
+:105E10001400E21DA884E0D6E61F140008300080BC
+:105E200049281110FCA80830008000A08120022819
+:105E30001110FCA889A80830A1203C281110FCA84A
+:105E400009A217000C300080A485E21DC1DA1400FD
+:105E5000100201A81400E0263A87A3FAF219E026FE
+:105E6000F21814000BA214000DA206381002229D95
+:105E7000040706A265687E812A84C11D2388160056
+:105E800042600880FAA860812A84808121F008306D
+:105E9000A884D7114270DD201100D5202288160079
+:105EA00000002601D07084A0004C04809020047271
+:105EB00008709CC005A2A0110C72FF822801FF8A05
+:105EC0007811007284D260110478CCD010010C08D3
+:105ED0005B4307700800037008002E0100200500D6
+:105EE000007084A0030002709CC684D088050871ED
+:105EF00000E0087006A1D81D84A103000409CA3F70
+:105F000084A1E0010419CA3FF4D1881D84A10030A6
+:105F100086A00010600D112080010C7111823001EB
+:105F20000870F4D0201D0C7006A1C00D077012007F
+:105F3000087100E0087006A1D81D84A1030068055F
+:105F400094D1B00DF4D148050770020080082804F0
+:105F50000871FCD130010C08D640FF8A0409543F77
+:105F6000B80C0C708CA0FF07E801047084D0780195
+:105F7000147005A048111070107306A3E01D0023D3
+:105F800005A0280102A1201E077010003000FF8A22
+:105F900048010C089A42E81DD8090C085C402E0103
+:105FA00000200500047208719CC10381181207705B
+:105FB0000200C00C05A2881D0770080003700800CD
+:105FC0000600012001470420CCD010010C085B43DF
+:105FD0000E002E01002005002864FF840805702CA7
+:105FE0000470BCA00F00B8A71D403C27FB874811D8
+:105FF00010020C0875259C6075A09001880C392052
+:106000001240042768AE086830A60C6829A52184D0
+:1060100038013887042705A0A81D9C7075A0001DB5
+:1060200005000000050009000D0011001500190011
+:106030001D000000030009000F0015001B000000F8
+:10604000000012400F4000000000008000001240DD
+:1060500000001A40174000000000000000001A4035
+:1060600000001540154000000000008000001540B1
+:1060700000001B401B4000000000000000001B400F
+:10608000792000477120100007700A000770020095
+:1060900003700100092002007120500007700A00FF
+:1060A00007700200037000000120FF010420FCD0F3
+:1060B00028110981180171202000800C050004704E
+:1060C0000480041AB2400871087006A1E01D84A182
+:1060D000E00120010C080E410408D24007701200B4
+:1060E000192000000871087006A1E01D84A1E001DC
+:1060F00020010C080E410408D2409CA10C3086A35C
+:106100000420900186A30800C001047084D04811C7
+:106110000871087006A1E01D84A1030010010408A5
+:106120000E4186A30C20F0190072048230020C7319
+:1061300084A3FF0710010C0875250871087006A1DB
+:10614000E01D84A1E00118010C080E4170040770E5
+:106150001200007084D048111073147005A3280138
+:106160000C7184A1FF0704195C400871087006A136
+:10617000E01D84A1E00118010C080E41B000077079
+:1061800012000770080004709CD0E81D08710870A8
+:1061900006A1E01D84A1E00118010C080E412800B1
+:1061A0000770120008710381880E03700800050053
+:1061B000087184A1E001A815087184A1E001881587
+:1061C00084A107000200EA40F840E840F840E840B7
+:1061D0004841E84046410C087525047084A0100031
+:1061E0008DC00670FF8A18114920000005000C08B8
+:1061F0009A42E81D0500047084A010008DC006704E
+:10620000047084D040110871087006A1E01D84A1BB
+:10621000030008013000FF8A18010C089A42E81DAB
+:106220000500077012000871041D114191200060E3
+:10623000041D1541912000600770120007700800CE
+:1062400004709CD0E81D077012000871FCD1D81DA5
+:1062500003700000007005A03011047005A0181133
+:106260000C7005A00801400C4920000084B2000217
+:106270001801012000001000012001000C08A73BBC
+:106280001B6802005120000005000C0875250C0851
+:1062900075250C088741107214710C709CA0FF07C3
+:1062A000002800A311A289A10000A1040427582CF2
+:1062B00060AC0863002222A30C6300211BA300240E
+:1062C00005A340013812128410820A8389A10000BC
+:1062D000602B580C602B078A060004609CD01801C4
+:1062E000BAA717401000BAA70F400E003DA7002C18
+:1062F00086688A6F926C8E6B0871087006A1E01D2B
+:1063000084A1E00110010C080E41077012000C0876
+:106310005C400500508A3987042704A0681100609A
+:1063200064A00811602D046084A00F0080A02D409F
+:106330003C20FB870C09752505002601D600D0708E
+:1063400084A0004C04809020DE008468602088686F
+:106350008C6B906C5780D4AAFF0084A0FF000600CD
+:10636000046884A008000E001801B8A017401000AF
+:10637000B8A00F4084B200021001207E0800247EE5
+:10638000B5A60C001C68B4D0080185C6002405A37E
+:106390005005582C0427046160AC006000A448201C
+:1063A000CCA9040018010C08A34300041A7004606F
+:1063B00001A31E709CD14001106081A000002270DA
+:1063C000146081A0000026700862002402A21270EE
+:1063D0000C62002303A21670027607700100602B86
+:1063E0000C08C54210000C089A42E81D2E0100203E
+:1063F00005002601D600D07084A0004C04809020B7
+:10640000DE0007700400047094D0E81D03700800DB
+:106410002E01002005002601D600D07084A0004C7B
+:1064200004809020DE00207E84B200020811247EC9
+:10643000B5A60C001C68ACD0181185C6037000000E
+:1064400028685020602D0460BCA00F00B8A71D4034
+:106450003C27FB87381110020C0875259C6865A045
+:106460002001880C0C089A42E81D2E01002005002E
+:10647000260106001600D600D07084A0004C0480CF
+:106480009020207E84B200020811247EDE003E00AF
+:106490004E00B5A60C001C68B4D0280185C6037058
+:1064A00000000770040049203542286855A0D60036
+:1064B00004099642702D602E0470BCA00F00B8A78E
+:1064C0001D403C27FB87401110020C0875259C706D
+:1064D00075A060207005800C042768AE086822A4AF
+:1064E0000C681BA36802518A10110C0875253887A7
+:1064F000042705A0901D9C7075A06020D001E008C5
+:10650000228420841A8399A300000869002422A110
+:106510000C6900231BA110120C08752584B200021F
+:10652000180171205000100071202000DE000408C6
+:10653000C341DE002E01002005000870060084A083
+:10654000E0010E00100106A0050084A0030086A053
+:10655000030008110500042778AC0078082F94D0B8
+:106560000419A6431A7004781E70087812700C780B
+:10657000167004609CD02001107822701478267068
+:106580000276047084A0100085C006707920004750
+:10659000518AE8013887042705A068119C6005A08E
+:1065A000B8016020046084A00F0080A01D403C2042
+:1065B000FB870C0975250870060084A0E0010E0019
+:1065C000100106A0280084A0030086A00300050097
+:1065D00051200000050026010600D600D07084A0DE
+:1065E000004C04809020DE008E00087184A103001E
+:1065F0002811286805A0780104086D3F0871FCD1B6
+:1066000018010C08D640880C077010000871FCD1E6
+:10661000E80D0C08D640087086A00800301D0070F8
+:1066200005A0181D0370000049200000060001208D
+:1066300001470420CCD010010C085B430E002E0152
+:10664000002005002601460136015601C600D6008D
+:10665000D07084A0004C04809020DE0049201F43AD
+:1066600080AD1100A02084B20002180199203200F0
+:106670001000992031000C7084A0FF072A68077071
+:106680000800077002000370010018010080AC8050
+:10669000A5530C7084A0FF0730010770040004703C
+:1066A00084A00400E01DCE0049200000037000001B
+:1066B0005E013E014E012E01002005001468FCD051
+:1066C00004099E43007084D0E005247EB5A6040032
+:1066D00007700400047084A00400E01D1871160007
+:1066E0001C71160020711600247116001B7000002A
+:1066F0001F70FF3F2370000027700000137004001C
+:10670000177000000276077001000120FFFF0920CA
+:1067100031000A200A200871087006A1E01DFCD192
+:10672000D00D2E0026722E0022722E001E722E0018
+:106730001A7207700200087086A008001001040891
+:106740000E41077004000370000005004920C3419A
+:106750006800087084A00300100106A0050006A0D0
+:1067600020201820582C602149200000588B0061FF
+:10677000002108A41A71046001A31E700600042BF6
+:1067800084A008005001106081A000002270060063
+:10679000146081A000002670060084A1070011206B
+:1067A00008002AA20862002412A226000C624022DD
+:1067B000002343A82E00FF887011002502A20801C3
+:1067C0005012202241200000042B9CD010010E000A
+:1067D0000E000E005004127517700000027686A994
+:1067E000C3411811077001002800047084A0100034
+:1067F00085C00670002500A11A70042B84A0080033
+:1068000010010E004E001E0089A100001E710C2B0D
+:106810008CA108003001A1A40000227481A0000016
+:106820002670002522A2C3A8000012742028167426
+:10683000027686A9C3411811077001002800047070
+:1068400084A0100085C00670598B602B792000470A
+:106850000C08C54206A00500912000809120006030
+:10686000AC7805A068117479D07006A148111C7825
+:1068700005A030011F780000040E3D4491208040A7
+:1068800069208047FDC7006884A00F009811D06878
+:10689000B4D08001BCD07011F60079200001FCD783
+:1068A000101179200002307884A0C00010110C086B
+:1068B000D522FE00FCD7200169204047FCC7180CF8
+:1068C0003078018032780419C744347832786120F6
+:1068D000C06C69208047FDC7CC6805A028010180F5
+:1068E000CE6810110C083946006884A00F006801BA
+:1068F00086A00100500140680DA03801042105A0C8
+:10690000200101800A200409D645146805A0A801C9
+:10691000018016689011A3680100F600FCD71811D9
+:10692000792000021000792000010C086E3CFE0066
+:10693000606805A010010C08D5227C6805A0400104
+:1069400001807E68281163680000D068C5C0D268E5
+:10695000D068FCD0B001FCC0D268A920000234602D
+:1069600005A0580101803660D068FDC0D2682811AA
+:10697000106005A010010C08D522E0AC1000041F27
+:10698000AC44FCD738016120C04C69204047FCC7AB
+:10699000040869445904387801803A78A0113C7899
+:1069A0003A786120C04C69204047FCC70C6805A0BC
+:1069B00010010C084345FCD730116120C06C6920E0
+:1069C0008047FDC7980C1078CCD06801ACD020115E
+:1069D000A4D04801ADC0127891200180040EEF448C
+:1069E0000C08A1200500912001800500407801805D
+:1069F0004278041942454478427869204047FCC7F0
+:106A000079200002D46805A03801E07D04A520119A
+:106A1000D668D068BCC0D26879200047106805A04D
+:106A200010110120010101801268FCD7180180A01B
+:106A3000D08D100080A0C08C4020042065A0E00113
+:106A4000246005A0B001018026609811006805A0AF
+:106A50003001486806AC18110C08D645680060681B
+:106A600005A018012760010020000C0884450428B7
+:106A7000280C0060402C100CFCD73811692080478E
+:106A8000FDC7792000010408FF440500092000002B
+:106A9000A920000208609CD05805246005A01801B8
+:106AA00001802660180408609CC084D01011ACD00E
+:106AB000C0010A60046005A0D801D600C600160017
+:106AC00068201060018012600C08C737002D682C08
+:106AD00060200C08021C0C08B21D1E00CE00DE0057
+:106AE0003800BDC00A608DA1010010008DA1000119
+:106AF000E0AC1000041F474584A1010030018CA1C7
+:106B0000FEFF0E690C08D52208000E690500002C56
+:106B10007A681467726F176000002B6000001B60BA
+:106B20000600B46084A0005F1E60206084A0FF00A7
+:106B300085A0600022600060422069208047FCD769
+:106B4000101169204047586806AC101100285A6897
+:106B50000C089A1B186805A0100101801A680868C3
+:106B6000A4C00A681068087909810A7901801013A5
+:106B70000C087525126818111079A5C112792F60BB
+:106B8000000033600000682C0C08BF1DFCD71811F2
+:106B900069204047100069208047106984A10001E6
+:106BA0000120060018117669012004000C08CB2290
+:106BB0000500D60048696021FCD718116920000241
+:106BC0001000692000010C0890241B600600586822
+:106BD00084A0005F1E60206084A0FF0085A04800A4
+:106BE00022602F60000033600000086884A0FDFF71
+:106BF0000A683068B4D0B0014B680400A9201400C2
+:106C0000486894D01001041FFD454B680900A92075
+:106C10001400486884D01001041F0646A920FA0019
+:106C2000041F0D461B685400DE0063680700050062
+:106C300079200047E1008900A900092002006920AD
+:106C400080470F6800001368000017680000098182
+:106C5000180169204047A80C05001920A3003A7BC1
+:106C60003E7B050019203300427B467B050019203E
+:106C7000DD32327B367B05004C6A85A20000F001D4
+:106C80005069BC6B00A3C60064210463FF83381104
+:106C90001182480108811AA1B80EBC69A80CCF68FE
+:106CA0000A00CE0005004C69BC6A64220860B5C0C9
+:106CB0000A6010820981C81D4E69CE0005001600C9
+:106CC000041D5D4691200060041D61469120006016
+:106CD000EC70DCD01811D4D09001A0008EAE000171
+:106CE00038011478F5C0C5C01678D4D0801560047A
+:106CF0001478FDC0C5C01678D4D048152804E4D057
+:106D00000409C446041D7F469120006009200C0040
+:106D1000041D8546912000600981D01DE47084A087
+:106D2000FF0186A0FF011011EC70C0088EAE0001BB
+:106D300028011478F4C0FCD0301120001478FCC075
+:106D4000F4D00811C4C0167804788CD00005C600B1
+:106D500061200000186084D0B81186AE0002E60001
+:106D6000712010002001DB700100E4781800DB7056
+:106D70000000E078C670C3700E801B600100912097
+:106D80008040EE00CE001800CE001F680C001E00F0
+:086D9000A070A2700500260CA2
+:00000001FF
+/*****************************************************************************
+ * QLOGIC LINUX SOFTWARE
+ *
+ * QLogic ISP12160 device driver for Linux 2.2.x and 2.4.x
+ * Copyright (C) 2002 Qlogic Corporation (www.qlogic.com)
+ *
+ *****************************************************************************/
+
+/************************************************************************
+ * --- ISP12160A Initiator Firmware --- *
+ * 32 LUN Support *
+ ************************************************************************/
+
+/*
+ * Firmware Version 10.04.42 (15:44 Apr 18, 2003)
+ */
diff --git a/firmware/qlogic/1280.bin.ihex b/firmware/qlogic/1280.bin.ihex
new file mode 100644
index 0000000..612c263
--- /dev/null
+++ b/firmware/qlogic/1280.bin.ihex
@@ -0,0 +1,2008 @@
+:10000000080F0B0000107800411000002E3E000089
+:100010004320504F525947495448312039392C31E7
+:1000200039313239312C39392C3339313439512085
+:100030004F4C494720434F435052524F54414F4930
+:10004000004E492050533231303446207269776D6A
+:10005000726120655620726569736E6F30202E388C
+:1000600035312020432073756F74656D20726F4E9B
+:10007000202E303050206F72756474634E202E6FC6
+:100080002020303020200024C920FF980120FC04CB
+:10009000042086A08010C000541071200001A070C0
+:1000A000A270C12010008920741378006D10012007
+:1000B000FC04042086A08012C00069107120000298
+:1000C000A070A27071200001A070A270C120100069
+:1000D0008920F81378006D10C120200089201C139E
+:1000E00071201000C3700400C7705349CB702050BA
+:1000F000CF702020D37008000120FE04D670C120EC
+:100100002100192000000920FFFE00210B20A5A5D9
+:10011000ECA1FF7F642D6B200A0ADCADFF3F542B5E
+:100120005B205050142186A2A5A54000A41086A3F0
+:100130000F004000A0106A2C5A2AC120200019206C
+:100140000F00780080106A2C5A2A7800A2106A2CBE
+:100150005A2A30212821A2A1004F248424842484F7
+:1001600024842484248492A1009909200000012081
+:1001700032007810C12018227920004FA02F0824C7
+:1001800011200000A9204000A4420981C000BF1036
+:10019000092000FF003402A14800CF104000CF101A
+:1001A000A820A4420120FC04042086A08010C000E6
+:1001B000E510712000017E0D6920404F7810B04D90
+:1001C0007F0D1078EDC012781B78640078000A115A
+:1001D0000120FC04042086A08012C00005111478C0
+:1001E000EDC0D5C016781B786400712000027E0D2A
+:1001F0006920404F7810B04D6920804F7120000178
+:100200007810B04D1478D4C016787F0D78000A119C
+:100210001478E5C016781B783C00CA7EC27CC67B89
+:100220006778000000788DC0027831203000AF7808
+:1002300001012378020027780200092002006920CA
+:10024000404F1B680300236807002768FA002B68EB
+:1002500008002F682800376800003B6806003368F4
+:1002600008003F680000098140005E11D3680A0061
+:10027000C368C04F7920004F1478E4D0C000441107
+:10028000ECD0C0004811D768297378004A11D768AC
+:100290000D7378004A11D7682D73C768C054CB68B6
+:1002A000C053CF68C094AB684497AF684997B368B0
+:1002B0004497B7684497A76801006920804F780089
+:1002C0001E11D3680A00C368C0511478E4D0C0007E
+:1002D0006A11D768397478006C11D7681974C768C7
+:1002E000C074CB684054CF68D095AB684997AF686D
+:1002F0004E97B3684997B7684997A7680100107887
+:10030000ECD0C000C2111478E4D0C000B4117E0E4D
+:100310006920C05371200002EC70E4D0C000951138
+:1003200019200C0C21200C007810502078009B1113
+:1003300019200A0C21200A0078105020692040540E
+:1003400071200001EC70E4D0C000AB1119200C0C3E
+:1003500021200C00781050207800B11119200A0CCF
+:1003600021200A00781050207F0E7800DB11192020
+:100370000C0C21200C006920C053781050206920FB
+:100380004054781050207800DB116920C0537E0E55
+:1003900071200001EC70E4D0C000D41119200C0CC5
+:1003A00021200C00781050207F0E7800DB111920DE
+:1003B0000A0C21200A00781050207F0E1120020024
+:1003C0006920C05409200200A920000137680000FC
+:1003D0000B684000C87B86A3FFFEC000F2111768BF
+:1003E00000011F6864007800F611176864001F6838
+:1003F0000200E8AD1000F000E3110981C000E11136
+:100400001182400004126920C0747800DF11781056
+:10041000A2267810124778101B1E7810424D9120AA
+:1004200000217920004F1078ECD040001812712084
+:10043000200078001A1271205000912000227920AB
+:10044000004F71202000912000237920004F107868
+:10045000ECD040002C127920000178002E12792077
+:1004600000027120404F912000247920000171206A
+:10047000804F912000207920004F71201000003221
+:1004800085A03D30902071201000C37000009000C6
+:100490004D12C07086A00200C0004D127810C11528
+:1004A000392000001078ECD0C000CF1278108E14E4
+:1004B000AC7805A0C0006B12680061126C7865A072
+:1004C000400061127810DC237810E8206800781270
+:1004D0006C7865A040006B127810DC2368007812FD
+:1004E0000920474F1120874F04210C2205A140000D
+:1004F00078127810511F7120404FA47005A0400061
+:100500009D12507485A4000040009D1279200002C5
+:1005100091200080D4728CA23D3090217810B12BB4
+:100520009120008091203D3068009D127920004F7D
+:100530006C7865A040009D12712010007810DC23BB
+:10054000E000A5127920004F712010007810164BA2
+:100550007120804FA47005A04000BD12507025A0EE
+:100560004000BD127920000191200080D4728CA23D
+:100570003D3090217810B12B9120008091203D30AA
+:100580007920004F712010006800C9126C7865A0B6
+:100590004000C9127810DC23E00053127810164B8B
+:1005A0007800531278108E14AC7805A0C000E712C2
+:1005B0006800DD126C7865A04000DD127810DC2345
+:1005C0007810E8206800F1126C7865A04000E7120E
+:1005D0007810DC236800F1120920474F042105A0A0
+:1005E0004000F1127810511F7120404FA47005A0F7
+:1005F00040000C13507485A4000040000C137920B7
+:10060000000191200080D4728CA23D30902178109E
+:10061000B12B9120008091203D307920004F712036
+:100620001000680016136C7865A04000161378104F
+:10063000DC23E000CF127810164B7800CF123C1369
+:100640003C133E133E134B134B134B134B135613D8
+:100650005613631363134B134B134B134B133C137E
+:100660003C133E133E134B134B134B134B135613B8
+:100670005613631363134B134B134B134B13780035
+:100680003C137E007E107E12912000247810D12928
+:100690007F127F107F00912001807C007E007E1001
+:1006A0007E127810C8137F127F107F009120018086
+:1006B0007C007E007E107E12912000237810D129CC
+:1006C0007F127F107F00912001807C007E007E10D1
+:1006D0007E12912000237810D129912000247810D7
+:1006E000D1297F127F107F00912001807C0094131C
+:1006F000941396139613A313A313A313A313AE1368
+:10070000AE1396139613A313A313A313A313AF133C
+:10071000AF13AF13AF13AF13AF13AF13AF13AF13C9
+:10072000AF13AF13AF13AF13AF13AF13AF13780003
+:1007300094137E007E107E12912000237810D12920
+:100740007F127F107F00912001807C007E007E1050
+:100750007E127810D5137F127F107F0091200180C8
+:100760007C007C007E107E127E0D7E0E7E0F7E0051
+:10077000712000016920404F7920004FEC7084A067
+:10078000001CE2787810B04D7F007F0F7F0E7F0D48
+:100790007F127F107C00003C84A007007900CD13FD
+:1007A000DE13DE13E013E013E513E513EA13EA1397
+:1007B000003C84A003007900DA13DE13DE13F31388
+:1007C000F3137810B229912000227810EC477C00B6
+:1007D000912000217810EC477C00912000217810B6
+:1007E000EC47912000227810EC477C0091200021FA
+:1007F0007810EC477C00181418141A141A142714D3
+:10080000271427142714321432143F143F142714CA
+:100810002714271427145014501450145014501433
+:1008200050145014501450145014501450145014A8
+:10083000501450145014780018147E007E107E124C
+:10084000912000247810D1297F127F107F00912001
+:1008500001807C007E007E107E127810C8137F120B
+:100860007F107F00912001807C007E007E107E1230
+:10087000912000237810D1297F127F107F009120D2
+:1008800001807C007E007E107E1291200023781073
+:10089000D129912000247810D1297F127F107F0068
+:1008A000912001807C007E007E107E127E0D7E0EE7
+:1008B0007E0F7920004F712000026920404F003DDB
+:1008C0008CD040006614EC7084A0001CE278781094
+:1008D000B04D003D84D0400074146920804F7120D9
+:1008E0000001EC7084A0001CE6787810B04D7F0FFA
+:1008F0007F0E7F0D7F127F107F007C0008700B80C1
+:10090000C8008914077002008CA0E001C0008A149E
+:100910009CD0400089147A087A09C370024078009C
+:10092000C41568001A1561200000186084D0C0004A
+:100930001A15287805A0C0009E1410001B15780019
+:100940001A151079F4D14000A4147800B914147960
+:10095000ECD14000BD14FCD04000B3147E007810F0
+:10096000AE1D7F004000BD147800B9147E007810E1
+:10097000A11D7F004000BD14012007407800C31571
+:100980001079FCD0C000C7146120404F9CC1FCC747
+:100990007800CB146120804F9DC1FDC7646005A025
+:1009A000C0001A15127982602878FCC086A0180051
+:1009B000C000DB147E0C7810851B7F0C2B780000A8
+:1009C0007C6065A0400000157E0C9C607810901E35
+:1009D0007F0C9F6000007810D51C092018008760EC
+:1009E000030110787E00FF84C000F614FF854000EC
+:1009F000F814C5C012787810BB1D7F001278C000B3
+:100A0000141578100D1E10789CD0C00008156120B8
+:100A1000404F78000C156120804F9CC012787F6099
+:100A20000000D460DCD040001815DCC0D660012086
+:100A300005407800C3157800C1157C001078F4D00B
+:100A400040002315012007407800C31506A0C2709E
+:100A5000C670CA70CE70DA70C0703DA08AA0400027
+:100A6000C80031157900381500218AA04000C8005F
+:100A7000CF1579007815C1151716E0154F16871692
+:100A80008716D715ED1C9216CF15E415E615E81557
+:100A9000EA15F21CCF15A016FD16A51BE71CEC15D8
+:100AA000EA192C1A671AB81AA519B219C619D91950
+:100AB000EB17CF15341741174D1759176F177B17C1
+:100AC0007E178A1796179E17D317DF17CF15CF15E6
+:100AD000CF15CF15F8170A1826185C188418941823
+:100AE0009718C818F9180B1974198419CF15CF1550
+:100AF000CF15CF159419CF15CF15CF15CF15CF150D
+:100B0000171D1D1DCF15CF15CF15211D661DCF1526
+:100B1000CF15CF15CF15111681169A16F7169F1BF4
+:100B2000CF15CF15681BCF156A1D091D131DCF15D5
+:100B3000CF15CF15CF15CF15CF15CF15CF15CF1595
+:100B4000CF15CF15CF15CF15CF15CF15CF15CF1585
+:100B5000CF15CF15CF15CF15CF15CF15CF15CF1575
+:100B6000CF15CF15CF15CF15CF15CF15CF15CF1565
+:100B7000CF15CF15CF15CA72C67101200640780077
+:100B8000C315CE73CA72C67101200040C2706800DE
+:100B9000C415612000001B600100912000509120CD
+:100BA00080407C00C37001407800C415C3700640CB
+:100BB0007800C41599204100A1204100A92005001A
+:100BC000A3537800C115C470C37004007A00780084
+:100BD000C1157800C1157800C1157800C1159120A4
+:100BE0000080C3700400C7705349CB702050CF7091
+:100BF0002020D370080001200F00D670792000005B
+:100C00001B780100312030005920001029201A04DF
+:100C10005120450461204704C1202000912000504C
+:100C20009120804078001804D875DC74DA75DE7481
+:100C300078001A16292000002025D071C872CC73C4
+:100C4000C470A020992030000370010007700600D6
+:100C50001A731E722274267521204000FF81400005
+:100C6000C11582A14000C8003416202106A008202A
+:100C70000384127007700400077001000870FCD034
+:100C800040003B160770020084A0E00140004916B6
+:100C9000C37002407800C415A824A55378002B1611
+:100CA0007800C115292000002025D071C872CC73AE
+:100CB000C4709820A1203000037000000770060067
+:100CC0001A731E72227426752120400007700600D8
+:100CD000FF814000C11582A14000C8006E1620218E
+:100CE00006A0082003841270A824A65307700100F0
+:100CF0000870FCD04000751684A0E0014000631627
+:100D0000C37002407800C415D875DC74DA75DE74DF
+:100D100078005216C471C87014219EA70400C00048
+:100D20008F160A20CA727800C015C7700800CB70F1
+:100D30000F00CF700B007800C115D875DC76DA751E
+:100D4000DE767800A316292000003025C470C87212
+:100D5000CC73D074C670CA72CE73D27405A0400032
+:100D6000F2160AA44000B316C800BC1601807278BF
+:100D700084A000FC4000C016AC7885C0AE7801208D
+:100D800005407800C3157E7B7A7A867E827D767CEC
+:100D90008CA400FF4000D8160784048004800C81D6
+:100DA0000C810F8118A191A20000B1A6000081A5BD
+:100DB00000007800E21607840480048018A391A242
+:100DC0000000B1A6000081A500001A731E722276F1
+:100DD000267005A64000EC16107AC5C2127AAC78CF
+:100DE00084A0FCFFAE787800F516AC7885C0AE78AC
+:100DF0007800C115D875DC76DA75DE7678000017D4
+:100E0000292000003025C470C872CC73D474C67019
+:100E1000CA72CE73D67405A040002F170AA44000F2
+:100E20001017C80019170180927884A000FC4000B8
+:100E30001D17AC78C5C0AE78012005407800C315F9
+:100E40009A7A9E7BA27DA67E002605A540002817E3
+:100E5000107AC5C2127A967CAC7884A0FFFCAE787A
+:100E600078003217AC78C5C0AE787800C11509207B
+:100E700000006C7865A040003E1708810060780093
+:100E80003717C47A7800BF150920484F0C21107815
+:100E9000ECD0C000C0151120884F14227800BF1577
+:100EA0000920494F0C211078ECD0C000C01511204A
+:100EB000894F14227800BF156120404F28612C62B1
+:100EC0001482148214821078ECD0C0006D17612057
+:100ED000804F2863DA732C631C831C831C83DE73AE
+:100EE0007800BF1509204C4F0C211078ECD0C000C1
+:100EF000C01511208C4F14227800BF151879780086
+:100F0000C01509204D4F0C211078ECD0C000C01541
+:100F100011208D4F14227800BF1509204E4F0C214F
+:100F20001078ECD0C000C01511208E4F142278002C
+:100F3000BF1520791078ECD0C000C015247A780055
+:100F4000BF15C471FCD1C000A6171120C053780092
+:100F5000A81711204054078184A00F00038003804C
+:100F6000038068A2006A04689CD04000B717086B31
+:100F70007800B8170C6BFCD1C000BF1721203B02D2
+:100F80007800C11721203B0124241479E4D14000CA
+:100F9000CD17C4D4C000CC17D5C47800CD17DDC49C
+:100FA000A4A4001CDE74C4717800BE15C477781048
+:100FB0002B1E912000801C6B146A91200180082751
+:100FC0007800BE156120404F18611078ECD0C00049
+:100FD000C0156120804F18627800BF15C477781063
+:100FE0002B1E912000800869186A106BDA77912017
+:100FF00001807800BE15C471102194A20F0082A256
+:101000001000C800B9157810C62784A3004040001E
+:10101000081895A220007800BE15C4710021BCC03C
+:1010200082A01000C800B915BCD1C0001918112049
+:10103000484F042278001D181120884F0422BDC09B
+:101040007E000021BCC01220781023277F01780089
+:10105000C015C4712120494F0424C6701920000016
+:1010600078003518C8712120894F0424CA70FDC347
+:1010700011205418A9200800042206A14000441899
+:101080001082F0003918C471C8727800B81592A2A5
+:1010900054187E0222217F01781044271078ECD06A
+:1010A000C0005218FCD340002F187800C115E80387
+:1010B000FA00F401EE0204000100020003006120C6
+:1010C000404F28612C62148214821482C4702A60FA
+:1010D000C8700380038003802E601078ECD0C000BD
+:1010E00082187E027E016120804F28612C6214826A
+:1010F00014821482D8702A60DC700380038003801D
+:101100002E60DA71DE727F017F027800BF156120E8
+:10111000404F3061C47032601078ECD0C000C01510
+:101120006120804F3062C87032607800BF15187936
+:101130007800C015C47184A1CFFF4000A3181078B7
+:10114000ECD0C000B915C8727800B81511204D4F09
+:10115000042212217E00192000007810AB2710789D
+:10116000ECD04000B3187F017800C015C87184A18D
+:10117000CFFF4000BC181021C4717800B8151120B1
+:101180008D4F042212217E00FDC37810AB277F0211
+:101190007F017800BF15C47182A110004800D418E7
+:1011A0001078ECD0C000B915C8727800B8151120BD
+:1011B0004E4F04227E00122119200000781089274A
+:1011C0001078ECD04000E4187F017800C015C87199
+:1011D00082A110004800ED181021C4717800B815E4
+:1011E00011208E4F04227E001221FDC37810892722
+:1011F0007F027F017800BF15C471C87284A1FDFF12
+:10120000C000B81584A2FDFFC000B81500212079E8
+:1012100022780022247A26787800BF15C471FCD188
+:10122000C00013191120C053780015191120405423
+:10123000078184A00F0003800380038068A2192027
+:101240000000C872BCD2400024199DA31000B4D283
+:10125000400029199DA308009120008000687E00AD
+:1012600026A240004819026AECD440003519A5C3F3
+:10127000E4D4400039199DC3F4D4400048190F81CB
+:10128000F4D24000441978100828780048197810E2
+:10129000E62778004819CC72086806A240006A194F
+:1012A000A4A2FF001478E4D0C0005B1982A4280037
+:1012B000480067194000671978005F1982A443004D
+:1012C00048006719C471C6717F02CA7291200180FB
+:1012D0007800BA150A6A9DA30A00046805A3066887
+:1012E0007F020C6BC471912001807800BE15C47719
+:1012F00078102B1E91200080146A1C6B91200180B5
+:10130000C8701668CC701E6808277800BE15C470B7
+:101310006120404F18611A601078ECD0C000C015F1
+:10132000C8706120804F18621A607800BF15C471C0
+:10133000C872CC7382A11000C800B91578102A2891
+:1013400084A300404000A31995A220007800BE1598
+:10135000C47778102B1E91200080086A8DC20A6A1B
+:101360009120018008277800BF15C47778102B1EC4
+:1013700091200080086A94A2F9FF0A6A046805A017
+:101380004000C11978106F2691200180082778004D
+:10139000BF15C47778102B1E91200080086A95C273
+:1013A0000A6A046805A04000D41978106F269120BD
+:1013B000018008277800BF15C4774120010049202B
+:1013C000050051202000912000807810461E9120B9
+:1013D00001800827086A7800BF15C4771478E4D024
+:1013E000C000FE19FCD74000F8197810AE1D40006F
+:1013F000FE197800C3157810A11D4000FE19780071
+:10140000C315C873CC72C677CA73CE727810CD1E5E
+:10141000C000281A186805A04000221A08277E0775
+:1014200078105A287F07C000221A01201500FCD727
+:10143000C0001B1A6120404F78001E1AFDC06120B9
+:10144000804F2A78912001807C009120018001202A
+:1014500005407800C315912001807800C115C4773C
+:101460001478E4D0C000401AFCD740003A1A781033
+:10147000AE1D4000401A7800C3157810A11D400031
+:10148000401A7800C315C677412021004920050085
+:1014900051202000912000807810461E092016005F
+:1014A000FCD7C000541A6120404F7800571A6120C1
+:1014B000804FFDC1676003007F6000007667836036
+:1014C0000F002A79D461DCC1D66178106F26912093
+:1014D00001807C00C877CA77C477C6771478E4D0D7
+:1014E000C0007E1AFCD74000781A7810AE1D40006C
+:1014F0007E1A7800C3157810A11D40007E1A78006E
+:10150000C315BCA700FF9120008009201700FCD75D
+:10151000C0008B1A6120404F78008E1A6120804FE6
+:10152000FDC17F60000067600200766783600F0086
+:101530002A79D461DCC1D66178106F2691200180B0
+:1015400041202100492005005120100091200080F9
+:10155000C87005A04000AC1AD460FDC0D6607810F9
+:10156000461EC8703668388784A71F00C000AC1AB2
+:10157000912001807C00192000001478E4D0C00084
+:10158000CE1AC87284D24000C81A7810AE1D40002E
+:10159000CE1A7800C3157810A11D4000CE1A78002D
+:1015A000C315C872CA72AC7884A00300C000F91ACF
+:1015B0003920000084D24000DB1AFDC74120210001
+:1015C000492004005120080078102B1E9120008033
+:1015D0000868D4C00DA80A6991200180388784A7C3
+:1015E0001F00C000E11ABCA700FF3F8738873F8774
+:1015F00084A7000FC000E11A91200080C87284D235
+:10160000C0000B1B1078ECD04000071B69200001C4
+:1016100078000D1B6920000278000D1B6920000175
+:10162000086884A0FDFF0A683068B4D040002D1B14
+:101630004B680400A9201400486894D040001F1B88
+:10164000F000191B4B680900A9201400486884D0D9
+:101650004000291BF000231BA920FA00F0002B1BDF
+:101660007920004F09201800C87284D2C000391BAD
+:101670006120404F78003C1B6120804FFDC17F609E
+:1016800000002A796760010083600F00A7600000F6
+:10169000A860B260B660D460B4D04000581BB4C03B
+:1016A000D6607E0CB86065A00860D4C00A6018607F
+:1016B00001801A607F0CD46084A0FF77D660AC787C
+:1016C0008DC0AE78FF834000631B7C001B68470021
+:1016D000912001807C00CC737810BA1AEC69486ABA
+:1016E00085A100184A6885A14000EE68CC732120CE
+:1016F0000400A920FF09F000781B2184C000761B9C
+:101700001983C000741BEE694A6A912001807C0035
+:10171000FCD7C0008C1B6920404F78008E1B6920CD
+:10172000804FC471C6711669FF81C000961BA768FF
+:101730000100AC788CC0AE7884D0C0009E1B7810BD
+:101740002D1F7C00D875DC74DA75DE747800A71B59
+:101750002EA02025C471C873CC72C671CA73CE7214
+:101760007920004FDE7DDA7CD67BD27A7810041E99
+:101770004000D11CA9200500A120144F9120008019
+:10178000A1419120018009204000781018204000DC
+:10179000CA1B78100D1E7800D11C04608CA0FF00BD
+:1017A0008EA10900C000D51B7E007810BF237F00EA
+:1017B00084A000FF078009804000611C7E0C682C1B
+:1017C0007810041E40001B1C002C9E680981C0007C
+:1017D000DC1B9F6000007F0C7E0CDC7DD87CD47B02
+:1017E000D07A90A2400099A30000A1A40000A9A56E
+:1017F0000000DE7DDA7CD67BD27A682C9C6865A0FE
+:101800004000601C0920400078101820C0003E1CD9
+:10181000046084A0FF0086A00200C0001B1C0460BE
+:1018200084A0FF0086A00A00C000171C7E0178106B
+:10183000BB237F01002D02607800EA1B7F0C7E0C29
+:101840009C607810901E7F0C9F6000007810D51C63
+:10185000092018000860CDC00A6004608660107816
+:101860007E00FF84C000341CFF854000361CC5C0CC
+:1018700012787810BB1D7F00127878100D1E78004A
+:10188000D11C7F0C7E0C9C607810901E7F0C9F609A
+:1018900000007810D51C09201800876003011B6028
+:1018A000030010787E00FF84C000561CFF854000B6
+:1018B000581CC5C012787810BB1D7F0012787810B4
+:1018C0000D1E7800D11C7F0C1478E4D0C0008F1C52
+:1018D0001461FCD140006F1C7810AE1D40008F1CBD
+:1018E0007800731C7810A11D40008F1C7810D51C47
+:1018F00009201800876003011B60210010787E001A
+:10190000FF84C000831CFF854000851CC5C0127881
+:101910007810BB1D7F00127878100D1E0120074043
+:101920007800C315C474C873CC7214609120008011
+:101930007E0E09201200FCD0C0009F1C7120404F79
+:101940007800A21C7120804FFDC12A7967700500C4
+:10195000D471DCC1D6716A736E72727476707B70EA
+:101960000000002C7E702EA030251C6184A1600038
+:101970004000B91C7810B6467F0E9665A6659A663B
+:10198000AA66AF600000B360000014672360000027
+:10199000246096A00100C000CC1C00802660781056
+:1019A0006F26912001807C00C37005407800C4152B
+:1019B000A92005009920144F912000800A539120FE
+:1019C0000180002110A299A30000A1A40000A9A5F4
+:1019D00000007C00C471C77000001E797800C1153A
+:1019E000C471C67168217800F41C692000100C696C
+:1019F00016A0042D10A2688D0981C000F61C85A2D6
+:101A00000000C000041DC37000407800061DC370B4
+:101A10000340CA707800C4156479C671C47182A18C
+:101A20000300C800B91566797800C1156479C671DC
+:101A30007800C1150079C671C47102797800C115AA
+:101A40000079C6717800C115C470112000008CA007
+:101A50000D004000361D0C814800321D10820C81A3
+:101A60000C814800321D10820C81FF81C000BA1524
+:101A700010820E7A8CD24000621D1079CDC112798D
+:101A8000092021001920030084D240005C1D088138
+:101A90001920410011204E97122319204200108274
+:101AA00012231920430010821223192046001082AD
+:101AB000122319204700108212231920060011203A
+:101AC0005397122111207397122304790678780016
+:101AD000C0150478C6707800C115C471FCD1C0006F
+:101AE000721D1120C0537800741D112040540781CD
+:101AF00084A00F0003800380038068A2146AB4D21C
+:101B00004000831D112001007800851D1120000078
+:101B10000C6B0068DA707800BE151478F4D04000C1
+:101B2000951D01200740DB70000005A07800A01D76
+:101B3000FCD040009F1D01200740DB70010005A084
+:101B40007800A01D06A07C001478F4D04000AC1DE5
+:101B500001200740DB70000005A07800AD1D06A045
+:101B60007C001478FCD04000B91D01200740DB70D8
+:101B7000010005A07800BA1D06A07C0012711A723F
+:101B80001E731078C4D04000C41D2274267580AC2A
+:101B9000010008810C81A9819880A1203000037088
+:101BA00000008460A220A65307700100747984A10C
+:101BB00000FF4000E11D0F810C810C810480048036
+:101BC000078000A17800E41D0781048004807C79EF
+:101BD00008A1787A06A011A2107DC4D54000F11D9D
+:101BE000847B19A3807C21A40870FCD04000F11DE7
+:101BF00003700100077006001A711E72107DC4D5B3
+:101C00004000011E2273267484A0E0017C00487805
+:101C100065A040000C1E042C4A78632000007C0064
+:101C20007E0F7920004F48786220002C05A0C0006C
+:101C3000181E7810B2294A787F0F7C001120009975
+:101C40004A7AC47B19834000281E80A232001220E9
+:101C5000102078001F1E132000007C007E017E02F1
+:101C6000FCD7C000341E1120C0547800361E11204D
+:101C7000C07484A7000F0B8084A71F004000411E82
+:101C8000038003800380038005A168A27F027F0197
+:101C90007C0078102B1E00292A68002A2E6808680C
+:101CA00084A0EFF90DA80A697E0EFCD7C0005B1E68
+:101CB0000920534F7120404F78005F1E0920934F39
+:101CC0007120804F0C21046805A040006F1E16A1F2
+:101CD000C0006F1E6020006006687E010B200000BF
+:101CE0007800721E092000007E01046865A0400093
+:101CF000871E006006687810A21E781064201068A5
+:101D0000087909810A7901801268C000721E107971
+:101D1000A5C112797F0102690669002D6020781043
+:101D2000132B7F0E7C0065A04000A11E08209C6044
+:101D300005A040009E1E62209F60000065A0780004
+:101D4000941E48784A7962207C00076003018F6006
+:101D50000000A9201C0080AC0500A020012000008C
+:101D6000A44028681A602C6822607C007E0EFCD794
+:101D7000C000BD1E7120404F3120C04F7800C11EF1
+:101D80007120804F3120C05150708CA00002C000E3
+:101D9000CB1E08A60A2D0080527006A07F0E7C0084
+:101DA0007E0FFCD7C000D51E7920404F7800D71E8B
+:101DB0007920804F78102B1E9120008004680A78CB
+:101DC00065A040002B1F7800E91E002C0A786020D7
+:101DD000006065A040002B1F106006A3C000E21E3B
+:101DE0000C6006A2C000E21E282C4C7806ACC00095
+:101DF000F81E7800281F046806ACC000061F0060AB
+:101E00006020066805A0C000061F03680000780077
+:101E1000101F006408786020026486A40000C000DF
+:101E2000101F002C026860257F0F7810A21E7E0F05
+:101E30001B600500236020007F0F781064207E0F58
+:101E4000087909810A79106801801268C000281F8A
+:101E50001078A5C012780120FFFF05A07F0F7C003D
+:101E60007E07002739200000FCD04000351FFDC749
+:101E700041202100492004005120080091200080C9
+:101E80007810461E388784A71F00C0003D1FBCA7DE
+:101E900000FF3F8738873F8784A7000FC0003D1FA2
+:101EA000912001807F077C006C78092074970C21B9
+:101EB0000DA140005B1F65A07800DC2361200000BD
+:101EC000186084D0C0007B1F10788CD040006C1F3D
+:101ED0008CC01278FCC76920404F7800711F8DC0FC
+:101EE00012786920804FFDC7912000801C681F6810
+:101EF00000009120018005A0C0007C1F7C008CA008
+:101F0000F0FF4000821F7810B2297900841F941FCF
+:101F1000971F9D1FA11F951FA51F951F951F951FFB
+:101F2000AB1FDC1FE01FE61FFB1F951F951F7C00EA
+:101F30007810B22978102D1F012001807800072029
+:101F4000012003807800072001200480780007200A
+:101F500078102D1F01200680780007209120008036
+:101F60007E07FCD7C000B71F6920404F3920090009
+:101F70007800BB1F6920804F39200900006886A0C7
+:101F800000004000C51F7F001E6F912001807C0073
+:101F900074687F07BCA000FF412021004920040095
+:101FA000512010007810461E388784A71F00C000FB
+:101FB000CF1F9120018001200A8078000720012096
+:101FC0000C807800072078102D1F01200D807800EC
+:101FD00007201478E4D0C000F91FECD04000F31FB4
+:101FE000FCD74000F31FE4787800F41FE078C67057
+:101FF00001200E80780007207800951FFCD7400054
+:102000000120EC7878000220E878C67001200F806B
+:1020100078000720C270FCD7C0000F20DB700000E2
+:1020200078001120DB700100612000001B600100BE
+:10203000912080407C0080AC0100FF814000432063
+:1020400099203000A0200C7084A0FF0340002520C0
+:1020500018707E001C707E0020707E0024707E0050
+:102060001271AC811A721E732274267503700100FE
+:102070000770010008700B80C8003720077002004D
+:102080008CA0E001C0004320A55306A0037000000F
+:10209000077004007F0026707F0022707F001E7092
+:1020A0007F001A707C0011202000092010000A6BAC
+:1020B0000E6C036800FD076818001A6A002DE8A07E
+:1020C000080090A204000981C00054207C00046034
+:1020D0008660082C63200000687805A06A794000BB
+:1020E0007120022C780072206E797C007E0C6120B9
+:1020F000004F87680301082D6B200000686005A071
+:102100006A6140008320022D780084206E617F0C7C
+:102110007C0091200080042C6E7805A0C0008E20E9
+:102120006A78912001809C6005A04000A7207E0C69
+:10213000602008209C6005A04000A32062209F60D2
+:10214000000065A09C6005A0C0009B2048784A79EB
+:1021500062207F0C487862209F60000085AC000000
+:10216000C000B1207810B2294A787C00A920100064
+:1021700006A0048086808E81C800BC2000A2F000EA
+:10218000B72086808E817C007E15A920100005A0D6
+:102190004000E2201AA1C800E22013828D8148008D
+:1021A000D5201AA1C800D620F000CA207800DA2075
+:1021B0001AA108231082F000CA207E00003284A0F9
+:1021C000FFF780207F007F157C007E00003285A015
+:1021D00000087800DE20747DD07006A54000CE2176
+:1021E0001078502000788CD040000A21ECDA4000B2
+:1021F0000A217E0E9120008071202000047005A02D
+:10220000C000072108707F0E86A0080040000A2148
+:102210007800CE217F0E7800CE217810041E400079
+:10222000CE2146A070790025008012A1092040002F
+:10223000C800192178002021D07206A24000202178
+:102240004088092080007E0C1271077001009920DF
+:102250003000A920200080AC0100A02061200000F7
+:10226000FF88400032217810041E0870FCD0400026
+:10227000322107700200912001808CA0E001C00093
+:102280006921A553FF8CC0004721FF884000B82179
+:1022900078005121002C8E78A920200080AC01000C
+:1022A000A020A5537800B82146A018721C73C4DA88
+:1022B000400059212074247592A240009BA3000085
+:1022C000A3A40000ABA500001A721E73C4DA40007C
+:1022D00069212274267506A0077004004000B82109
+:1022E000FF8C4000722178100D1E7F0C78100D1E9F
+:1022F00046A0887800808A7886A002004000982155
+:102300007C7A787BC4DA40008421847C807D747977
+:1023100007810480048010A299A30000A1A40000FA
+:10232000A9A500001A721E73C4DA4000CE212274DF
+:1023300026757800CE211460FCD0C000A021692051
+:10234000404F7800A2216920804F912000801F68B3
+:102350000200FF884000AE2146A08C786020780003
+:1023600098218B780000AC7885A00300AE7891208E
+:1023700001807800CE217F0C8B78000078108D23AF
+:10238000046084A00F007810CF21FF884000CC218A
+:102390008C786020046084A00F007810CF21780032
+:1023A000E8207C007900D121E121FF211D22E121DB
+:1023B0002E22F221E121E121E121FD211B22E12157
+:1023C000E121E121E121E12139200004BC7805A7C8
+:1023D000BE78086005A70A60781071229C60BA7800
+:1023E0009F600000781077237C00BC78C4D0400048
+:1023F000F8217800E1211C60BDC01E607800052234
+:102400007810BF23BC78C4D0400005227800E121B9
+:10241000BF7800000460078084A0FF00B2780180CC
+:10242000400018227810712240001822BC78C5C0E4
+:10243000BE7878001A22780090227C007810BB23A6
+:10244000BC788CA0000EC0002522C4D0C00027227A
+:102450007800E12178107122C0002D2278009022AE
+:102460007C00BC78C4D0400034227800E121BF78E1
+:102470000000146711200100A822186084A0FF004A
+:1024800005A040005422BCA700FFA92020008EA078
+:10249000010040005422BCA7008011200200A920A6
+:1024A00000018EA002004000542278006E227810B5
+:1024B0002B1E002D912000802B6800002F6800004B
+:1024C000086884A0DEFF0A68E8AD10009120018052
+:1024D000F0005722118240006E22A92000017800EE
+:1024E000572278100D1E7C009F600000B4786DA00C
+:1024F000002CB678C0007C22BA78780084229E68CE
+:10250000002D0260B87806ADC00084220260B07869
+:102510000180B278C0008F22BC78C4C0BE78B87881
+:10252000602006A07C007E0E2EA03025BA7DB67DF0
+:10253000AE65B2651C60A260482084A9FFE11E6000
+:1025400084A960004000A3227810B6469665A6656F
+:102550009A66AA6614677120804FFCD7C000AF222C
+:102560007120404F84A7000F0B8084A71F004000FC
+:10257000BA22038003800380038005A1C47168A18F
+:102580000027078084A00F00038003800380C871A8
+:1025900000A1C260912000801478C4D04000DF22E6
+:1025A000ECD04000DB22FCD7C000D822F4D0C00021
+:1025B000E6227800DF22FCD0C000E6221078F4D0BA
+:1025C000C000E622086E84D640001023FCD9C0006B
+:1025D0001023912001807810A21E91200080781095
+:1025E0006420912001801478E4D0C0007523147811
+:1025F000C4D040007523ECD040000823FCD7C000B5
+:102600000323F4D0C0000C2378007523FCD0C00055
+:102610000C23780075231078F4D0400075231B60DC
+:10262000210078007523246096A00100C0001723C4
+:1026300000802660106A146802A248002A23400025
+:102640002A2391200180392000029C60BA789F6083
+:1026500000007810772378007523082CFCD94000FF
+:102660005223006865A040005223046A007084A0D1
+:102670000200400048234C7006A2C0004823046BAF
+:1026800060210423026005A0C00044230269602287
+:10269000026178005E23002D60207810132B086EF5
+:1026A00060210262066978005E230068026965A005
+:1026B00040005A23026178005B23066960210360B1
+:1026C00000006021FCD940006523B4A6FCFF0A6E1F
+:1026D0001068087D28850A7D00801268912001809D
+:1026E000B4D640007523B6A640000A6E7810B31E1B
+:1026F0007F0E7C00086005A70A609120008078109A
+:10270000642091200180B87865A040008A239C60F5
+:10271000BA789F60000078007723B678BA787C009A
+:1027200070797478182884D340009723008012A110
+:1027300048009C23008012A1C800AC2384C37C7A8B
+:102740001A72787A1E72C4DA4000A723847A227241
+:10275000807A267206A084D34000AC23008076786D
+:10276000D2701C7805A04000BA2301801E78C000FA
+:10277000BA236800BA23912080407C003920D323FB
+:102780007800C1233920D923042705A04000D22393
+:1027900000AC68200869106812690A680C6914683E
+:1027A00016690E6838877800C1237C000300090091
+:1027B0000F0015001B00000015001B000000412049
+:1027C00000000C787900E123B3258625E5235E24FB
+:1027D000392074973427107D78000524846086A002
+:1027E0000301C00047241461186005A14000FA23CA
+:1027F000FF86C000162478004724038680A05597E2
+:102800000C6202220080106202227810862030863C
+:102810008EA60F004000D2246C7865A0C000EB2388
+:10282000087802A6C8001624ACD5C00016243A26A3
+:102830007C0082A60300C800D22491200080692079
+:102840000000186884D0C00042241120559704224B
+:10285000C67010820422CA7084D6C000322410824E
+:102860000422DA7010820422DE7085A62080C270F5
+:102870001B68010091208040107884A0CFFF12785F
+:10288000912001803B2000007C001078ADC01278C0
+:102890007800D2243A267810BD25C000E0256C7857
+:1028A00065A0C000EB2391200080107884A0CFFFAA
+:1028B000FF8640005924ADC0127891200180780035
+:1028C000E025392074973427107D78007A248460BD
+:1028D00086A00301C000BB241461186005A140005C
+:1028E0007324FF86C0008B247800BB2480A65597F4
+:1028F0000C6202227810862030868EA61E004000D0
+:10290000D2246C7865A0C0006424087802A6C800B0
+:102910008B24ACD5C0008B243A267C0082A606000E
+:10292000C800D2249120008069200000186884D05B
+:10293000C000B6241120559709204E97A8261C21C7
+:1029400004221A2008811082F0009C2485A6308081
+:10295000C2701B68010091208040107884A0CFFFD6
+:1029600012789120018006A0092075970A203A204C
+:102970007C001078ADC012787800D2243A26781006
+:10298000BD25C000E0256C7865A0C00064249120BE
+:102990000080107884A0CFFFFF864000CD24ADC01A
+:1029A0001278912001807800E02591200080077046
+:1029B00004009479D47002A14800E3244000ED247F
+:1029C000907B02A3C000ED247800E6240280C000C2
+:1029D000ED243A261078ADC01278912001807C0059
+:1029E00084A100FF4000FA240F810C810C81048037
+:1029F0000480078000A17800FD2407810480048002
+:102A00009C7A10A21A72987A06A011A21E72C4D4DF
+:102A100040000D25A47A11A22272A07A11A226727A
+:102A2000A120300003700000092054970A26098174
+:102A30009821042184D040001B253386B0A60200D3
+:102A4000A826A65303861270077001009079947827
+:102A500000800AA1C8002A2506A02820747984A134
+:102A600000FF400039250F810C810C810480048017
+:102A7000078000A178003C250781048004807C79D0
+:102A800008A1787A06A011A2C4D440004825847B0E
+:102A900019A3807C21A40870FCD04000482584A0A4
+:102AA000E00140006D25107D312054973426A87830
+:102AB0000080AA788CD0C0006225077006000470E0
+:102AC00094D0C0005C257800D4246920474F6B2047
+:102AD0000300AC7885A00003AE7806A078007625C8
+:102AE0003020D67591208040967D107DACA5CFFF1B
+:102AF000127D91200180AA78077006003A260370A3
+:102B000001001A711E72C4D54000852522732674F7
+:102B10007C00846086A00301C000A92514611860B0
+:102B200005A1C000A92569200000186884D0C00054
+:102B3000A9250C60C6701060CA70C37020801B6825
+:102B4000010091208040781086206800A8256C78CC
+:102B500065A0C00086257C007810BD25C000E0255A
+:102B60006C7865A0C00086257800E0257810BD252A
+:102B7000C000E0256C7865A0C000B3257800E02592
+:102B8000846086A00301C000D1251860FCC01A60D3
+:102B900086A00400C000D1250478A4D04000D1252F
+:102BA0007810862006A07C007810E625C000D82585
+:102BB00085A001007C007810F525C000DE254120AD
+:102BC0000100107D7C00FF884000E52591208040B9
+:102BD0007C00907B9479D47002A1C000EF2585A37E
+:102BE00000007C004800F32502A37C0002807C00EA
+:102BF0001078ECD040000D267E0E912000807120D0
+:102C00002000047005A0C0000A2608707F0E86A070
+:102C1000080040000D2678005E267F0E78005E26B4
+:102C200084A100FF40001A260F810C810C810480D2
+:102C30000480078000A178001D260781048004809D
+:102C40009C7A987BA47CA07D10A206A019A321A445
+:102C500029A509201800286005A040002E2609207B
+:102C600040007810BB1D40005026A8780080AA784C
+:102C70008CD0C0005E261460FCD0C00040266920C5
+:102C8000404F780042266920804F912000801F68C5
+:102C90000300AB780000AC7885A00003AE789120EB
+:102CA000018078005E26AB7800007810862090794D
+:102CB000947800800AA1C8005B2606A09678D6709A
+:102CC00006A071201000912001807C00FCD7C0007C
+:102CD0006A260920594F78006C260920994F9120C7
+:102CE00000800A207E0FFCD7C00083260920404FB9
+:102CF0000120044F0420ECD040007F267920000101
+:102D00007800872679200002780087260920804FE6
+:102D100079200001042186A00000C000A026FCD775
+:102D2000C00093260920454F780095260920854F3D
+:102D3000042105A0C000A026307884A0C000C000F7
+:102D4000A0261B7845007F0F7C0009200200692027
+:102D5000004F1068ECD0C0000F277120804F792001
+:102D600000012120BF514B780F001920A74484D1C6
+:102D70004000C3261068ECD04000BF26A1202B01E4
+:102D80007800C526A1202B027800C526A1202B01A2
+:102D9000042305A04000D2269A781883AC23188318
+:102DA0009823A65318337800C5269B782000A920C5
+:102DB00010001468E4D04000E226AF780000AF783D
+:102DC0002090F000DA267800E826AF780000AF788F
+:102DD0002080F000E226037000007E018CD10920E3
+:102DE00000004000F126BDC17810E2287F0120706C
+:102DF00084A00F007E001468E4D07F00C00001278B
+:102E000085A040637800032785A0C06206780F780C
+:102E100000924378D800537880000B7808005674ED
+:102E2000537000000981400022277120404F106834
+:102E3000ECD040001C277920000178001E27792063
+:102E400000022120BF4F7800B0267C007E01BCD15B
+:102E5000C00037277E000120044F0420ECD07F0003
+:102E60004000332711200101780039271120010289
+:102E700078003927112001018CA10F00042284A0C1
+:102E8000F0FF05A112207F017810E2287C00FCD31E
+:102E9000C00057277E000120044F0420ECD07F00A3
+:102EA0004000532711200101780059271120010209
+:102EB0007800592711200101A92009000B81F00099
+:102EC0005B278CA1000E042284A0FFF105A1122033
+:102ED0007C00192002000120044F0420ECD04000A7
+:102EE0007327198309200101780075270920010142
+:102EF000A92005001382F000772794A2E0000421A6
+:102F000084A01FFF05A20A201983400088270920FA
+:102F10000102780075277C00FCD3C0009C277E004E
+:102F20000120044F0420ECD07F004000982711209E
+:102F3000010178009E271120010278009E271120B0
+:102F40000101A9200C000B81F000A0278CA100F04A
+:102F5000042284A0FF0F05A112207C00FCD3C00036
+:102F6000BE277E000120044F0420ECD07F004000EB
+:102F7000BA27112002017800C02711200202780030
+:102F8000C02711200201042284A0CFFF05A1122036
+:102F90007C007E0CBCD1C000DA277E000120044FEB
+:102FA0000420ECD07F004000D6276120000178008B
+:102FB000DC27612000027800DC2761200001BCC111
+:102FC0000381038080A020009A60AC62AC637F0C18
+:102FD0007C007E0CBCD1C000FA277E000120044F8B
+:102FE0000420ECD07F004000F6276120000178002B
+:102FF000FC27612000027800FC2761200001BCC191
+:103000000381038080A022009A60A46084A0DFFF77
+:10301000AE607F0C7C007E0CBCD1C0001C287E0002
+:103020000120044F0420ECD07F00400018286120CC
+:10303000000178001E286120000278001E2861200F
+:103040000001BCC10381038080A022009A60A460BB
+:1030500085A02000AE607F0C7C007E0CBCD1C0003F
+:103060003E287E000120044F0420ECD07F00400069
+:103070003A28612000017800402861200002780091
+:10308000402861200001BCC10381038080A0200092
+:103090009A60A4608CA2200040004E28ACC29DA380
+:1030A0000040FCC3B4D3C0005328FDC3AE6210205F
+:1030B000A460AE6318207F0C7C00912000807E0C01
+:1030C0007E0E186805A04000C028FCD14000692889
+:1030D0006120D09678006B286120C0957810C828B0
+:1030E0004000A228A9200101FCD1400078286120DD
+:1030F000D09578007A286120C0947E0C7810C8287A
+:10310000400085287F0C608CF0007A287800C02869
+:103110007F00FCD140008F2882A0D0957120804F85
+:103120007800932882A0C0947120404F7A70767105
+:10313000382101200400667083700F00D471DCC157
+:10314000D671781063267800BC28FCD1C000A9286D
+:103150007120404F7800AB287120804F2060DDC087
+:10316000226076713821002C7E7001200600667086
+:1031700083700F00D471DCC1D671781063260120F2
+:1031800000007800C228012001009120018005A0E4
+:103190007F0E7F0C7C00042C05A04000DF286020FF
+:1031A000106006A3C000DC280C6006A2C000DC286A
+:1031B000146006A1C000DC2806A07800E1280060A9
+:1031C0007800C92885A001007C007E0F7E0E7E015C
+:1031D000BCD1C000FA287920404F7E000120044F66
+:1031E0000420ECD07F004000F62871200001780018
+:1031F000FE28712000027800FE287920804F71207F
+:10320000000120798CA10F00EC70C4D0C000082907
+:103210007F01780023290B810B810B810B817F00BB
+:10322000BCD0C00020297E000120044F0420ECD037
+:103230007F0040001C298DA1000F780022298DA15C
+:10324000000F780022298DA1000804217F0E7F0F36
+:103250007C007E0E0120014F0420ACD0C000A329C9
+:10326000E468ACD04000A32984A00600C000A329D4
+:103270001460FCD0C0003D297120C05378003F2964
+:1032800071204054078084A00F00038003800380D6
+:1032900070AE047084A00A00C000A329087194A134
+:1032A00000FF4000A3298CA1FF0001200A0006A115
+:1032B0004000722901200C0006A14000762901205F
+:1032C000120006A140007A290120140006A1400046
+:1032D0007E290120190006A1400082290120320028
+:1032E00006A14000862978008A2909200C00780070
+:1032F0008C290920120078008C29092014007800FC
+:103300008C290920190078008C29092020007800D8
+:103310008C2909203F0078008C2911200000002111
+:1033200005A20A707120004F0470BCD04000A32990
+:103330001460FCD0C0009E29EA707120404F7800D4
+:10334000A129EE707120804F1F700D007F0E7C0050
+:103350000120054F0420E4D0C000B129047884A0E6
+:103360001FFF85A0406306787C006800B229912089
+:103370000080712000007E00187084D0C000B92940
+:103380007F0071201000CA707F00C670C370028079
+:10339000DB700F08DF700B00712000001B70010054
+:1033A000912080407800CF293C7F587E307C387D4A
+:1033B000A0788E70927596749A769E7794A53F0049
+:1033C000F4D44000E62984A77D00C0001D44781095
+:1033D000B2299CA40F0082A304005000F129A6A3E7
+:1033E0000700C000B2291824078584A00F007900C7
+:1033F000F629713062318D31FF33E8376238173981
+:10340000A839963A853B092A062A422E652FB937F4
+:10341000062A7810B2297C0006A07800132A0878C2
+:103420008DC00A7806A002704E704670D27060702F
+:1034300005A0C000792B647084A0070079001D2AC4
+:10344000252A982AA12AAC2AB72A5F2BC22A982AB1
+:103450003078BCD0C000082AD471BCD1C000082A82
+:10346000B4D1C000752AA47086A001004000082ACB
+:10347000B4706DA0006865A055A09B7810000C6B1F
+:10348000AA7B086845A0106D04686DA05DA086A8A1
+:10349000010040004B2ABC69AA7DAA79C0684DA0F2
+:1034A0001C6E012010007800D32C607005A0C000B5
+:1034B000082A7E0C7E0DB4706DA0006865A055A032
+:1034C0009B7810000C6BAA7B086845A0106D0468FF
+:1034D0006DA05DA086A8010040006E2ABC69AA7D8F
+:1034E000AA79C0684DA01C6E012020007800D32C62
+:1034F0007810B043C000082A1B785B00BC706DA038
+:10350000B4685A789468D678DE789868D278DA7891
+:1035100008788DC00A78BC684270B4C1D671B870A2
+:1035200065A0C0685A7003700200002D4E7080AD17
+:10353000090046707C007810B043C000A02A1B78B8
+:103540004700037004007C007810B043C000AB2A31
+:1035500011200C007810D22A037004007C0078102F
+:10356000B043C000B62A112006007810D22A03709A
+:1035700004007C007810B043C000C12A11200D0067
+:103580007810D22A037004007C007810B043C00089
+:10359000D12A112006007810D22A7C707F7000009A
+:1035A00068204E70037001007C007471FCC10781BB
+:1035B00082789B78100086A20C00C000E12AAA7ACB
+:1035C000012001007800F62A8CA11F008DA1C00007
+:1035D000AA7986A20D004000EF2AAA7A01200200F3
+:1035E0007800F62AAB7820007871AA79AA7A0120AF
+:1035F00004009B786000AA785B7804001B781601B1
+:103600007810D34383700F00D470B4D04000122BD5
+:10361000B4C0D6707E0CB87065A0086084A0EFFBC3
+:103620000A60186001801A607F0C7C00147005A08D
+:10363000C000212BD470B4D04000222BB87006AC4F
+:10364000C000222B7810012B7C007E01A47186A182
+:1036500001004000542B7E0D7E020021112001004C
+:1036600012A2B4706820006806AC40003B2B1182A7
+:103670004000522B7810562B7800302B7E0C002106
+:103680001120010012A2B470682000686020086058
+:1036900084A0EFFB0A60118240004F2B7810562B5C
+:1036A0007800422BA77001007F0C7F027F0D7F0105
+:1036B0007C00E8AD0500AC7006ADC0005E2BA870C4
+:1036C00068207C007810B043C000082A7C70682015
+:1036D000747778104E42502C781092449B781000EA
+:1036E000146884A01F00BDC0AA781C6E4120010090
+:1036F000012004007800D92C7810B043C000082ABB
+:103700009B78100060706820146FD470B4D04000B3
+:10371000932BB4C0D6707E0CB87065A0086084A0EE
+:10372000EFFB0A60186001801A607F0C78104E422F
+:10373000502C78109244246805A04000A42B82A04D
+:1037400006004800A22B7800A42B27680500146807
+:1037500084A01F00BDC0AA783120200041200100B4
+:10376000012003007800D92C8DC2D672C07200A24D
+:1037700015A0547108812AA14800BC2BC071642196
+:103780000465FF85C000D32B56712184C000B72B80
+:10379000D4708CD04000CF2BD07005A0C000CF2BB0
+:1037A000D3700A007C0000227800C12BD4708CC03A
+:1037B000D670D3700000346005A0C000D02B08671D
+:1037C00084A73F074000022CD4D7C000D02B84A789
+:1037D0002100C000D02B84A702004000F32B84A757
+:1037E00004004000D02BBCA7FBFF0A6784A7180287
+:1037F000C000D02B84A700014000022C186005A057
+:10380000C000D02BBCA7FFFE0A6768252368000014
+:103810001C6E84A60E0018634000132C1C6002A3CB
+:103820004800162C4000162C7800D02BFF83C000D7
+:10383000D02B582D502C5671BCD7C0001F2C28708F
+:1038400022603A60BCC70A67C06865A04DA00061ED
+:10385000602A41200100146B9CA31F009DA3C0009F
+:10386000FCD14000332C84D64000352C9CA3BFFFF4
+:10387000A4D640003A2C9DA3200084A60E00C000D0
+:10388000852CA5C70A67002CC668A47786A7010007
+:10389000C000592CD470B4D0C000592C007082A044
+:1038A0000200C800592C3078BCD0C000592C9B783D
+:1038B0001000AA7B7800D12C3987A6775027B077E3
+:1038C000B0A70500AC7006A6C000642CA876B2763E
+:1038D0003A2C38873A2D38873A2838873A23388760
+:1038E0003A253078BCD040007C2C9120008091207B
+:1038F0003D30D47084A03D30912000809020D5AA26
+:1039000000004000842C21840022C000B62B7C00E3
+:10391000DCD14000493E292020009CD6C000922CDA
+:1039200028858CD6C000922C28854088146F0C61A5
+:1039300008818CA1FF00CC7060A1642CFF8C40003A
+:10394000B12C146006A7C0009A2CB8600180BA6040
+:10395000C000952C602A086085A000010A60002242
+:103960002184C000B62B7C00602A0E61BE69002C49
+:10397000C66840880860D5C00A60A47786A70100A1
+:10398000C000592CD470B4D0C000592C007082A053
+:103990000200C800592C3078BCD0C000592C9B784C
+:1039A0001000AA7BAA7DAA79012002007E0018607F
+:1039B00000801A607800DA2C7E0060290461602A99
+:1039C00084A118004000F62C84A110004000E92CCE
+:1039D00078105E40C0001B2D84A108004000F62C2A
+:1039E000A06984A10006C000F62C78103E3F780044
+:1039F0001B2DA06984A1001E4000262D84A1000873
+:103A000040000F2D7E0C6029006085A00020026020
+:103A100004618DA1100006617F0C78105E40C0002B
+:103A20001B2DA06984A100024000172D7810A13F32
+:103A300078001B2D84A10004C000F22CA06984A191
+:103A400000104000262D14698CA100FF0F81781012
+:103A5000E6277F028CA6E00084A660004000332D9C
+:103A600086A06000C000332D8DA100408DA104010F
+:103A7000B6699B7860000028AA781868FDC01A68AB
+:103A8000BCD640004E2DFCC0877000008AA00D00FF
+:103A900050004C2D8AA00C00867101200C000C8077
+:103AA0008A71AA781835403328340080AC8080AF02
+:103AB0002B00A0209B78000080AD0B009820A6531F
+:103AC000A8239828A02586A22000C000862DD470A7
+:103AD000B5C0D670002CBA70002DBE701468FCC042
+:103AE0000780827886A202004000BC2DA47000806E
+:103AF000A670B47498A40500AC7006A3C0007E2D17
+:103B0000A873B67386A210004000082A7F0D7F0CB0
+:103B10007C00007005A0C000642D86A20200C000D9
+:103B2000D62D7810B043C000642D1468FCC0078007
+:103B30008278912000801B785B00B4685A78946882
+:103B4000D678DE789868D278DA7891200180087883
+:103B50008DC00A787E127E0D7E0CD47084A0002762
+:103B600090207F0C7F0D7F1200295A70BC68427034
+:103B700003700200002D4E7080AD09004670307851
+:103B8000BCD04000C82D91203D30D47084A03D3081
+:103B9000912000809020A47005A0C000CD2D7C0055
+:103BA00021844000CC2D5072C07000A215A0780076
+:103BB000B62B86A21000C000072E7810B043C000BC
+:103BC000642D1468FCC0078082781B785B00B468A1
+:103BD0005A789468D678DE789868D278DA78087857
+:103BE0008DC00A78A4700080A670B47490A40500FB
+:103BF000AC7006A2C000FA2DA872B67200295A70E5
+:103C0000BC68427003700200002D4E7080AD090048
+:103C100046707C00B46B9DA300205A7B1468FCC0E6
+:103C200007808278946BD67BDE7B986ED27EDA7EBC
+:103C30001B785B0000295A70027208788DC00A78E0
+:103C4000002305A64000322ED47084A0002786A051
+:103C50000023C0002C2E0920000078002E2E092001
+:103C6000010084A20F007910382E80AD0900467043
+:103C7000002D4E707C00402E3F493F492C493F4962
+:103C8000402E402E402E7810B229087884A0FDFFE7
+:103C90000A787810A5297E0F7920004FAC787F0F25
+:103CA00084D040006A2E647086A00100C000582EA7
+:103CB00066707800412F647086A00500C000682EF1
+:103CC0007C7068201B68040017680000206884A0CE
+:103CD000FF009DC0226867700000A7700000A870F8
+:103CE000B270B6707810012B7E151120040064713B
+:103CF00086A1010040008A2E86A10700C000812E07
+:103D00001F70050078008A2E1F7001006770000088
+:103D1000D470DDC0D67078008C2E67700000012052
+:103D20000A4F042084A0FF0086A0180040009C2EAB
+:103D30001870167005A0C0009C2EA77001007E06AA
+:103D40007810D645A920100039200000781048418D
+:103D5000B8A70001F000A32E7F0600707900AD2EF9
+:103D6000E72EC22EC22EB72EE72EE72EE72EB52E57
+:103D70007810B229607005A04000E72E06ADC000A3
+:103D8000C22E006862707800D42E206884D0C000F3
+:103D9000D02E146F78104E420860D4C00A6078109C
+:103DA000193E7800D42E5C7060200068026084A602
+:103DB000005F1E681868FCD04000DC2E1A6A176885
+:103DC00000002B680000206884A0FF009DC02268CE
+:103DD0007810732084B200044000EF2E2120D0968A
+:103DE0007800F12E2120C0957810462F84B200046F
+:103DF0004000FB2E2120984F7800FD2E2120584FA7
+:103E00007810462FA920010184B200044000092F38
+:103E10002120D09578000B2F2120C0947810462FB8
+:103E20002084F0000B2F84B200034000182F612083
+:103E3000C05478001A2F6120C07421200200A920EC
+:103E400000011061FF814000372F18607E017E0065
+:103E50001120024F0C2202A112207F007F0102A13B
+:103E60005000372F1260C000372F1120044F04225A
+:103E7000A5C012201B600000E0AC1000F0001E2F57
+:103E80002184C0001C2F7F15037000004F700000BC
+:103E90007C007E04042405A04000612F6820006897
+:103EA0007E001A6A176800002B680000B46884A0BE
+:103EB000005F1E68206884A0FF009DC02268781003
+:103EC00073207F007800482F7F04232000007C00AF
+:103ED00082A2030050006B2F7810B22900237900D2
+:103EE0006E2F712FFC2F193082A202004000772F15
+:103EF0007810B22964706770000083700000790048
+:103F00007E2F862F862F882FC82F553E862FC82FAD
+:103F1000862F7810B2297477781048417477BCA73F
+:103F2000008F78104E42186005A04000BF2FFCD7CC
+:103F3000C0009B2F2120C09578009D2F2120D09676
+:103F40000920050011201000781034304000BF2FE8
+:103F50007E15A9200101FCD7C000AF2F2120C094FD
+:103F60007800B12F2120D0957E0409200500112072
+:103F70001000781034307F044000BE2F2084F00001
+:103F8000B12F7F15388784A71F00C0008E2F7800BF
+:103F90000C2A78000C2A747778104E42186005A01D
+:103FA0004000FA2FFCD7C000D62F2120C095780002
+:103FB000D82F2120D096092005001120200078104C
+:103FC00034304000FA2F7E15A9200101FCD7C00033
+:103FD000EA2F2120C0947800EC2F2120D0957E0478
+:103FE0000920050011202000781034307F044000A3
+:103FF000F92F2084F000EC2F7F1578000C2A002286
+:104000007900FF2F0230043004307810B2290920E3
+:104010001200647086A0020040000D3009200E00DE
+:104020001868FCD0400012301A6967700000D47024
+:10403000DDC0D67078005D43002279001C3021304D
+:1040400004301F307810B2297810D645007086A051
+:104050000200C000C73D7810363E086084A0EFFB28
+:104060000A607810B83D4000C73D78000C2A04244F
+:1040700005A040006D306820042D7E00146806A75E
+:1040800040004330202D7F00780035307F00222013
+:104090001A69176800002B680000B46884A0005FEC
+:1040A0001E68206884A0FF0005A222687810732093
+:1040B0002120024F1C2419832223106001801260EA
+:1040C000C00064302120044F0424A5C022200860D1
+:1040D00084A0EFF90A607810222B7810363E7C001D
+:1040E00085A0010078006C300023790074307930AD
+:1040F0007730F9307810B229E47805A0D000AF30DD
+:1041000008327E000120044F0420ECD07F004000E4
+:104110008A308CA1000378008C308CA10004400010
+:1041200092301800082A780094302800082A0820C5
+:1041300084A03000C0009B307800B937EC7884A0B0
+:10414000030040009930002184A007007900A530C9
+:10415000D930E330CE30AD30A543A543AD30EE309D
+:104160007810B229007086A00400C000C9306470C5
+:1041700086A00200C000BF301120020019200000FC
+:104180007800652F647086A006004000B930647026
+:1041900086A004004000B930E479012003007800D3
+:1041A00043341868FCD04000D4301B681D007810E0
+:1041B00018411B7864007C001868FCD04000DF3098
+:1041C0001B681D0078101841780081431868FCD0E6
+:1041D0004000E9301B681D00781018411B78F8007A
+:1041E0007C001868FCD04000F4301B681D0078107B
+:1041F00018411B78C8007C0084A50F00C00018314E
+:104200007810A5290070790002310C2A0A310C318E
+:10421000C73DC73DC73D0A310A317810B229781031
+:10422000363E086084A0EFFB0A607810B83D40007D
+:10423000C73D78000C2AE47805A0D000AF300832E2
+:104240007E000120044F0420ECD07F004000293183
+:104250008CA1000378002B318CA100044000313187
+:104260001800AF30780033312800AF30082084A028
+:104270003000C0003B311B785B007C00EC7884A0F0
+:10428000030040003831002184A107007900453146
+:10429000543158314F314D31A543A5434D319F43E2
+:1042A0007810B229781020411B7864007C007810C7
+:1042B000204178008143781020411B78F8007C0071
+:1042C000781020411B78C8007C00002379006531FC
+:1042D0006A3168316C317810B2297800A8391B68CE
+:1042E0001600A3780000E47984A130004000A839CA
+:1042F000EC7884A003004000A83984A100014000AC
+:10430000703184A10700790082318A315831CE3072
+:104310005D43A543A5435D439F43781069437C00FB
+:1043200082A20500500093317810B2290023790051
+:1043300096319931C933D433002279009C31B6319A
+:10434000A331B631A131AC337810B2299B78180073
+:10435000A87884A0FF0082A02000480007418AA01E
+:104360000400C80007417900B23107410741074105
+:10437000B1409B781800A87984A180004000C73123
+:1043800078000741007005A0C000BD311120040075
+:104390007800933B84A1FF008AA01000C800074169
+:1043A0007900CF31E131DF31F631FA31CD320741D9
+:1043B0000741CF3207410741A833A83307410741DE
+:1043C0000741AA337810B229E4D64000EC3101202D
+:1043D0000003008000803A781B78C3007C001868D6
+:1043E000FCD04000F4311B681D007800E4317800F7
+:1043F0005D431B681D00780011412069226984A675
+:104400000018C0005F32206884D0C0006532186890
+:1044100086A00800C0000B321B680000D4D6400004
+:10442000CA32BCD640004B3287700000186884A0A6
+:104430003F008AA00D0050004B328AA00C0086710C
+:1044400001200C000C808A719B786100AA787E158F
+:104450007E137E147E0108328CA1000340003D32A1
+:104460007E000120044F0420ECD07F004000393250
+:10447000A1202B0178003F32A1202B0278003F328F
+:10448000A1202B017F019B7800000080AC8080ADD3
+:104490000B009820A6537F147F137F15386005A06A
+:1044A000C0005A321C6884A00E00400011417810F0
+:1044B00027412B78083078005C3201803A601B7805
+:1044C00067007C00E4D6400065321B7879007C00F0
+:1044D00084A660004000C732DCD64000C732FCD65C
+:1044E000C000713278008832FCC65A7EB66EDC7A23
+:1044F000D879D0781B80C8007B32008084A03F0030
+:1045000008A191A20000986B002102A3B268946BED
+:10451000002203A3AE68F4D640008E32F4C65A7E61
+:10452000B66E007086A00300C0009C327E0078103A
+:10453000D64578103F497F001B7876007C0006A0A6
+:104540007810444AB06AAC69986C946B002205A15B
+:104550004000AB32002222A400211BA3AA6CD27C13
+:10456000DA7CA66BD67BDE7B002305A4C000BB32C1
+:10457000F5C65A7EB66E1B7876007C001B787600F6
+:10458000002215A1C000C43278103F497C00781089
+:1045900077497C001B7879007C001B7867007C00E1
+:1045A0007810B22978001B332069C4D14000E4326E
+:1045B000C4C122697E0C587060200060E4C00260B3
+:1045C000046084A0F5FF06607F0C78000F33CCD127
+:1045D00040000F33CCC122697E0C5870602000600F
+:1045E000ECC002600460A4C006600820482C7F0C68
+:1045F0009CD140000F3378104A4278103E3FFF882C
+:1046000040000F339B7860000028AA78587E95C63A
+:104610005A7ED4D6C0000C331B7864007C001B7813
+:1046200078007C00587ED4D6C00016331B78670013
+:104630007C001B7879007C0078000C411920000078
+:1046400090798CA10700C0002933206884A0000164
+:1046500040001933092008009B781000A87894A026
+:10466000FF0086A20100C00045330023A87C00A4FF
+:10467000182002A140003D3348003D3378003F330D
+:104680007800D132A824A87AF0003F3378002B3389
+:1046900084A2F00086A02000C000993318831883FC
+:1046A000002302A14000553348005533780096336B
+:1046B00086A22300400019331C6884A0F1FF1E6805
+:1046C000587E84A6F1FFA5C030205A7E0860A5C0A0
+:1046D0000A607E0C5870602004600820482C7F0C13
+:1046E000A4D14000763378104A4278105E407800BA
+:1046F00084337E0C5870602004600820482C7F0CA6
+:104700009CD140000F3378104A4278103E3FFF881A
+:1047100040000F339B7860000028AA7895C65A7E27
+:10472000D4D6C00093331B7864007C001B787800DB
+:104730007C00A87A78002B331883002302A1400064
+:10474000A2334800A23378002B3384A28000C0003B
+:10475000114178000C4178001141780007415870F0
+:104760004DA09B781800A87884A0FF008EA00100BF
+:104770004000B9337810B229A87A94A2FF00A87833
+:1047800084A0FF008AA00400C80007417900C53357
+:1047900007418F3E0741064082A20000C000CF3390
+:1047A0007810B229781018411B7878007C0082A21A
+:1047B0000300C000DA337810B229FCD4C000FA3309
+:1047C000647005A04000E3337810B229146F767747
+:1047D000BCA7008F78104E42086085A021000A60B7
+:1047E000388784A71F00C000E73378101C4167702A
+:1047F00002001F7009007800FC3378102B411B78F1
+:1048000078007C0082A20400500005347810B229A0
+:104810000023790008340B34CB350E3686A2030012
+:10482000400043340072D87CDC7DD07FD471BCD191
+:10483000C0003B34B4D140003B34687884A0FF0012
+:10484000C0003B3482A20200C8003B347E0D3B789E
+:1048500000831B784C00BC706DA0B4685A789468D3
+:10486000D678DE789868D278DA78B4C1D6710370D9
+:1048700030007F0D01200000780047343B780013A2
+:104880001B784A0001200000780047340072D87C71
+:10489000DC7DD07F4A70A068ECD040004F340860C7
+:1048A0008DC00A6084A20F0079005334AB356034A8
+:1048B0005D3411379D370C2A5B345B347810B22994
+:1048C0000860D4C00A60E4D640006834487086A00E
+:1048D0001400C00088347810D645092000001868FC
+:1048E000FCD040007134487086A01400400082342F
+:1048F000186886A00800C000633558789CD0400036
+:1049000063352068ACD0400063351B681400092073
+:1049100002007800C73468788CA0FF004000C734DC
+:1049200086A10800C0009E340860A4C00A60781008
+:10493000B83D4000C7347810363E7810D645780030
+:10494000AF3486A12800C000C734186005A040001D
+:1049500091340180400091340180400091341E6008
+:1049600078009134206884D040000C2A84C02268EA
+:104970007810132B5C707E0C6020006802607F0C46
+:104980000460026805A0002DC000C4340260066007
+:1049900078000C2A7E01FF81C0001135007086A0CE
+:1049A000300040001135D471BCD1C0001135B4D1F4
+:1049B000C000F834607005A0C0001135A47086A056
+:1049C000010040001135037000007E047E057E0763
+:1049D0007E067E0C7E0D7810352A7F0D7F0C7F06BB
+:1049E0007F077F057F04D471B4D1C00011350370F7
+:1049F0004000780011357810B043C00011351B78A5
+:104A00005B007E0DBC706DA0B4685A789468D6784F
+:104A1000DE789868D278DA78B4C1D6710370300045
+:104A200008788DC00A787F0D781048367F01FF81A5
+:104A30004000633584A600DF1E682B680000146FF9
+:104A400086A10200C0006435186886A01400C0006A
+:104A50002D350820E4D640002D3568788CA0FF0065
+:104A60007810012B7810222B2068DCD0C000643530
+:104A7000178794A20F0013821382138284B200035B
+:104A80004000433590A2C0537800453590A2405471
+:104A900090A200001C22C4D3C0004D3578005335CD
+:104AA0001082042285A0180012201182D4D3400065
+:104AB0005E35A068C4D0C0005E357810C23678007C
+:104AC0000C2A08608DC00A60780064352A6916696E
+:104AD0001868FCD040006B3548701A688CA600DF5F
+:104AE0001E691064FF84400080350920024F0421B4
+:104AF00001800A2021841264C00080352120044FE7
+:104B00000424A5C02220186005A04000883501803B
+:104B10001A60C0008B350860A4C00A60206884D089
+:104B2000C0009735006805A0C0009435026006609B
+:104B300078009B355C706020006802606120004F47
+:104B400087680301082D6B200000686005A06A617A
+:104B50004000AA35022D7800AB356E61007286A246
+:104B600030004000BB3586A24000C0000C2A037014
+:104B700002004C706820C46860207C000370020052
+:104B8000BC706DA0BC684270B87065A0C0685A70F7
+:104B9000002D4E7080AD090046707C0082A204009A
+:104BA0004800D1357810B22900227900D435D835A3
+:104BB000E935F635E93586A500134000E93586A5C7
+:104BC0000083C000CF3503700000186001801A60B8
+:104BD000086084A0EFFB0A60007086A0050040001A
+:104BE000F335781018411B7878007C001B78790029
+:104BF0007C0090780780018084A0070080A01800C6
+:104C00009A78A8798CA1FF0086A1030040000B369A
+:104C100086A1000040000B36780007411B78790020
+:104C20007C00206895C02268FF82C000183678108A
+:104C3000184178001F36118240001D367810B229C5
+:104C400078102B411B7878007C007810D3433078A3
+:104C500084A0C000C00045367E0108327E000120DD
+:104C6000044F0420ECD07F00400037368CA10003B5
+:104C7000780039368CA100047F01400040361800CE
+:104C8000453678004236280045361A7906A07C0061
+:104C900085A001007C0084A66000C00052362F6809
+:104CA0000000336800007800C136DCD6C0006A36E8
+:104CB000B468DCD0C0006A369869946A2E69326A9A
+:104CC000487005A0C0006736002205A14000D64507
+:104CD0004B7015007800D6457C00ACD6400090366D
+:104CE000F4D6400076362F68000033680000780064
+:104CF000D645B46884A0004035A6F4D6C00070360E
+:104D0000487005A0C00083364B701500DCD6C0008B
+:104D10008C36B468DCD040008C36A86CA46D2E6C48
+:104D2000326D7800D645F4D6400099362F680000E1
+:104D3000336800007800D645B46884A0004835A6E2
+:104D4000F4D6C0009336487005A0C000A6364B705C
+:104D50001500082410250027FB80C800AD36008010
+:104D600084A03F0008A191A200002E69326A0021B0
+:104D700005A2C000BA367800D645007086A00600AD
+:104D80004000C1367800D6457C0046690860CDC039
+:104D9000CCD34000C9368DC00A6018683A681B68D9
+:104DA00006008F68000093680000306A2C693E6A34
+:104DB00042692F6803003368000037682000976855
+:104DC00000009B68200000707900E3360C2AF5365D
+:104DD000ED36EB36EB36EB36EB36EB367810B229A8
+:104DE000206884D0C000F5367810193E7800FB3674
+:104DF0005C70502C602000680260602A08328CA130
+:104E00000003400004372120584F78000637212046
+:104E1000984F042405A040000D3720207800063765
+:104E2000222D6B2000007C007810203E7810363E4A
+:104E30000860CCC00A602B6800009B780E00146FDD
+:104E400038691A694469166908328CA10003400068
+:104E50002A370920000078002C370920010078103B
+:104E6000814ADCD6400034371C69EDC11E691868E0
+:104E7000FCD04000433768788CA0FF0040004137E9
+:104E80001B681E00780043371B68000084B20003D3
+:104E9000C0004B372120984F78004D372120584FC4
+:104EA000006822203C6A4069326A2E69C06860202E
+:104EB0000060A4D040008D3741202100492005002A
+:104EC000512020007E0D7E0F7E157E147920004F2C
+:104ED0007810461E7F147F157F0FCC70102009209C
+:104EE00001017E0204226DA040007D37146806A7F0
+:104EF00040007A370068780070372068D5C0226893
+:104F00007F0210820981C0006E377F0D6770030039
+:104F10007F700000767783700F00D471DCC1D6718A
+:104F2000186886A00200C0009937176800002B6837
+:104F300000001C68ECC01E687810732078000C2AF2
+:104F4000D87CDC7DD07F781048362B6800009B78B9
+:104F50000E00146F7810D7438CA0FF0016691868F4
+:104F6000FCD04000B23748701A688CA600DF1E697A
+:104F70006770000078000C2A007005A0C000BF37E1
+:104F800078000C2A06A07810D6452069ACD1C00064
+:104F9000C8371B6814008CA600DF1E692B68000050
+:104FA000206884A0FF00226800707900D4370C2AA2
+:104FB000DE37DE37E137E137E137DC37DC377810D1
+:104FC000B2291868780043340860A4C00A601768E2
+:104FD00000007800DE3D00237900EB37EE37F03734
+:104FE00060387810B229FCD6C000473800700DA098
+:104FF0007900F7370C2A0138013831380138443844
+:10500000FF37FF377810B22984A66000400031389E
+:1050100086A06000C0002E38ACC6F4C6EDC65A7E2D
+:10502000B66E1C68ACC01E6886A102004000203825
+:105030007810D645AC69B06815A1400020387810CA
+:1050400077497800223878103F491B787900D4716D
+:10505000B4D1C000082AA47086A00100C000522A62
+:105060007C00ECD640000B381868FCD04000443877
+:10507000F4D6C0003E381B6815001B787900780014
+:10508000082A1B6807002F680000336800007810AA
+:1050900069437C00FCC65A7EDC7AD879D0781B80C4
+:1050A000C8005038008084A03F0008A191A20000F1
+:1050B000986B002102A3B268946B002203A3AE6830
+:1050C0001B7879007C007810B229002379006538BC
+:1050D0006A388F38EF387810B229007079006D384F
+:1050E000753877388038753875387538753875384B
+:1050F0007810B229AC69B06815A1400080387810EA
+:1051000077497800823878103F491C68B4C01E681F
+:10511000D470B4D0C000082AA47086A00100C000DA
+:10512000522A7C00FCD6C000DF3800700DA0790048
+:1051300096380C2AA638A038D638A638DC389E38DF
+:105140009E387810B2299468D678DE789868D2783C
+:10515000DA7884A660004000D63886A06000C000DF
+:10516000D338B4A6BFBFEDC65A7EB66E86A1020084
+:105170004000C2387810D645AC69B06815A140002F
+:10518000C238781077497800C43878103F491B78C6
+:1051900079001C68B4C01E68D471B4D1C000082A5C
+:1051A000A47086A00100C000522A7C00ECD640000A
+:1051B000B0381868FCD04000DC381B6807001B784A
+:1051C000F9007C00FCC65A7EDC7AD879986B002105
+:1051D00002A3B268946B002203A3AE68D2791B7855
+:1051E00079007C00DCD64000F8382B7809301B7839
+:1051F00079007800082A8478ACC08678E47884A0A6
+:105200000800C0000B3984A4000240000539F5C62F
+:10521000DDC65A7E1B7879007800082A206895C080
+:1052200022687810E242DDC6781018411B787800B9
+:105230007800082A002379001A391D391F392139CD
+:105240007810B22978001141D4D6C0005C39E479D5
+:10525000ACD140002F39EC7884A0030040002F39F6
+:105260002B7809309B786000AB78000084A6FBFFA8
+:105270005A78E479ACD140003F39EC7884A003003F
+:10528000C00058390120044F0420E4D0C000543934
+:105290002068C4D0400054397E0C587060200460EF
+:1052A0009DC00660086084A0FF000A607F0C01209A
+:1052B00014007800433484A1070079009239907A71
+:1052C00094A207009B786000A879FF814000903984
+:1052D0009B781000A87B84A30100C0008339A87BC1
+:1052E000A87B86A30100C00076390920F7FF78006B
+:1052F0007C3986A30300C00083390920EFFF7E0CB0
+:1053000058706020046004A106607F0C9B786000E8
+:10531000AB78000084A6FBFF5A782B78093020690F
+:105320008CA1FFFC226978005D43D930E3309C39C1
+:10533000A2399A399A395D435D437810B2292069C0
+:105340008CA1FFFC22697800634320698CA1FFFCDB
+:10535000226978005D43E47984A130004000B239CD
+:10536000EC7884A00300C000E639007086A0040039
+:10537000C000CC39647086A00200C000C239112080
+:105380000200192000007800652F647086A00600D6
+:105390004000BC39647086A004004000BC39007035
+:1053A00086A000004000082A206984A12004400053
+:1053B000DB39D4C1226918687800433418688EA09C
+:1053C00002004000E439FDC01A6801201400780092
+:1053D000433484A107007900EA395D435D43F23923
+:1053E0005D43A543A5435D435D43BCD64000343ACD
+:1053F0008471FF814000343A82A10D00D000013A4F
+:10540000877000007800063A82A10C00867009209F
+:105410000C009B786100AA797E157E137E1488703B
+:10542000148110A28A7280A00B0000AD982084B273
+:1054300000034000283A7E000120044F0420ECD0F5
+:105440007F004000243AA1202B0178002A3AA120B5
+:105450002B0278002A3AA1202B019B7800000881BA
+:10546000AC81A6537F147F137F1578006343D4D695
+:10547000C000883A206884D0400063438CA6600056
+:1054800084A660004000463A86A06000C000463A0C
+:10549000F5C194C15A79B6699B786000AB78000079
+:1054A0009B7861001868FDC01A68AA7808800C8192
+:1054B00040004F3E8CA1F800C0004F3E7E157E1389
+:1054C0007E147E0108328CA100034000743A7E00F5
+:1054D0000120044F0420ECD07F004000703AA1204E
+:1054E0002B017800763AA1202B027800763AA12091
+:1054F0002B017F019B7800000080AC8080AD0B0009
+:105500009820A6537F147F137F151468FCC0078072
+:105510008278780063431868FCD040008E3A1B689C
+:1055200008002068ADC02268781020411B78EA008E
+:105530007C0000237900993A9E3A763B9C3A781099
+:10554000B229D87CDC7DD07FFF82C000C73A0072D0
+:1055500086A2030040001034D471BCD1C000CA3A06
+:10556000B4D14000CA3A7E0D3B7800881B784C00CD
+:10557000BC706DA0B468A5C05A789468D678DE78FF
+:105580009868D278DA78B4C1D671037030007F0D94
+:105590007800CE3A00727800CE3A3B7800181B783B
+:1055A0004A0084A20F007900D23A613B103BDC3AFA
+:1055B0003F34DA3A613BDA3ADA3A7810B2291C68B9
+:1055C000ECD04000E33A08608DC00A60206985C1D4
+:1055D00022690068066005A0C000EC3A026008601D
+:1055E000D4C00A601C6884A00E00C000003B84B2D6
+:1055F00000034000FC3A0920C0957800053B0920D3
+:10560000D0967800053B3070BA684071CC7008A124
+:10561000042102680A2D5E71DCD6C000103BFCC676
+:10562000B66E7800613BB66E84A66000C0001A3B7F
+:1056300084A6FF7FB6687800613BDCD6C000283BBB
+:1056400084A6FF7FB6689468A6689868AA687810F0
+:10565000D6457800613BACD64000343B06A07810BC
+:10566000D64508241025AA69A66A7800443B082478
+:10567000102500271B80C8003B3B008084A03F0012
+:1056800008A191A20000AA69A66A7810D645FCD6A6
+:105690004000613B84A6FF7FB66810250824ACD685
+:1056A000C000593B00271B80C800543B008084A0E9
+:1056B0003F0008A191A20000986B002102A3B268EC
+:1056C000946B002203A3AE68007086A03000C00077
+:1056D0000C2A03700200BC706DA0BC684270B870E8
+:1056E00065A0C0685A70002D4E7080AD09004670EC
+:1056F0007C0086A50088C000833B03700000186012
+:1057000001801A60086084A0EFFB0A6078001141F4
+:105710004770000082A2060050008D3B7810B2292D
+:1057200000237900903B933BA53BB13B00227900DD
+:10573000963B9C3B11419E3B9C3BEB3B403C781095
+:10574000B229807A94A2000F7810CA3C78000741F1
+:105750007810C23B7900A93B1141AF3BAF3BEB3B1B
+:10576000AF3B11417810B2297810C23B7900B53BAC
+:10577000BD3BBB3BBB3BBD3BBB3BBD3B7810B229FC
+:1057800078102B411B7878007C00007086A0020006
+:10579000C000D33B7810363E7800CD3B7810D6451C
+:1057A000086084A0EFFB0A607800D83B007086A0F8
+:1057B00003004000CB3B0370050084B200034000AF
+:1057C000E23B0120E0967800E43B0120129768203C
+:1057D0004E7080AD0900467000227C00007086A0EB
+:1057E0000200C000FD3BD470B5C0D670002CBA706A
+:1057F000002DBE707800023C7810D6457800023C3F
+:10580000007086A003004000F93B03700100807A1D
+:1058100094A2000F9B781800A87C84A41F0015A2F6
+:105820006920C09584B20003C000163CFDC2692007
+:10583000D096042D082D5E716DA04000233C1468A5
+:1058400006A24000253C00687800173C7810CA3C4E
+:10585000B46E5A7E206984A1000C4000F43C647050
+:1058600086A00600C000373C747006A2C000373C1A
+:1058700066707E701B680500ADC1D4C122697810C6
+:1058800020417800F43C007286A20200C000523C25
+:10589000D470B5C0D670002CBA70002DBE707800E0
+:1058A000563C7810D6457800563C86A2030040004E
+:1058B0004E3C03700100807A94A2000F9B78180080
+:1058C000A87C84A41F0015A284B20003C000663C1B
+:1058D000FDC2A879A8798CA1FF001821CC7068A11D
+:1058E000042D082D5E716DA040007A3C146806A25C
+:1058F0004000A33C006878006E3C0370050084B251
+:1059000000034000843C0120E0967800863C0120A2
+:10591000129768204E707E15A920320003200000E7
+:105920000080F0008B3C7F1584B200034000983C5F
+:10593000FCC27800993CFDC2166A80AD0900467031
+:10594000B76800072368000827680300B46E206961
+:1059500084A1000C4000F43CDCD04000BF3C6470EB
+:1059600086A00400C000BB3C747006A2C000BB3C13
+:10597000787006A3C000BB3C66707E70781027412B
+:105980007800F43C1B680500ADC1D4C122697810D1
+:1059900020417F7000007800F43C0370050084B261
+:1059A00000034000D43C0120E0967800D63C012062
+:1059B000129768204E707E15A92032000320000047
+:1059C0000080F000DB3C7F1584B200034000E83C1F
+:1059D000FCC27800E93CFDC2166A80AD0900467041
+:1059E000B768000723680008276803007C00ECC63E
+:1059F000ACA660004000463D986B946CAC69B06802
+:105A000005A1C000213DD27BDA7BD67CDE7C86A559
+:105A1000600040004B3DF4D6C0000C3DEDC6B4A67E
+:105A2000FFB75A7E092079009CD64000193D092015
+:105A300078001920000020231A79ECD64000563D4A
+:105A400078103F497800563DB0681AA3002123A47E
+:105A5000002405A340004D3DD27BDA7BD67CDE7C62
+:105A6000B068F4D6C000323DEDC6F4C65A7E1120AF
+:105A700079009CD640003E3D11207800192000009E
+:105A800020231A7AECD64000563D781077497800EA
+:105A9000563D19200000202378004D3DB4A6FFB7E5
+:105AA0005A7E092079009CD64000553D0920780097
+:105AB0001A79C0685A70002D4E70C4686020D47185
+:105AC0000120014F0420C4D0C000AB3DD8702DA0F0
+:105AD0004000843DBCD140009E3D807A94A2000FDE
+:105AE000DC7006A24000753DE07804A5C000AB3D27
+:105AF000DA70BCC1D6717800AB3D312001002C8535
+:105B00004800833D3386108278007C3D7C00E07D38
+:105B100094A500FF4000913D112008002F857810CA
+:105B20007A3D37867800933D78107A3D17828078E9
+:105B300084A0000F06A24000AB3DDE72DA7678004A
+:105B4000AB3D807A94A2000FDC7036A240009B3DF2
+:105B5000E07834A540009B3DBDC1D671B4D1C000F2
+:105B6000082A002305A44000082AA47086A001008A
+:105B7000C000522A7C00206005A04000C63D018084
+:105B80002260086085A008000A600F7000012C7078
+:105B900026607C0006A07810D645007086A0020022
+:105BA0004000D43D647086A00500C000DE3D2B6837
+:105BB0000000176800001B680100236840001F6890
+:105BC0000001007084A00F007900E33D0C2AF33D32
+:105BD000ED3D153EFD3D0C2AEB3DEB3D7810B22925
+:105BE0007810203E7810193E7800F93D7810203E5C
+:105BF0005C706020006802607810732078000C2AC6
+:105C0000647067700000837000007900043E113EEC
+:105C1000113E0C3E0C3E0C3E113E0C3E113ED47724
+:105C2000DDC7D67779007E2F6770000078000C2AD8
+:105C30001B68000078001137006805A0C0001E3EF8
+:105C4000026006607C001064FF844000323E092040
+:105C5000024F042101800A2021841264C000323ED8
+:105C60002120044F0424A5C022200860A4C00A609B
+:105C70007C00186005A040003C3E01801A607C005A
+:105C80007810D3431B68180078007D3E7810D3430A
+:105C90001B68190078007D3E7810D3431B681A00FA
+:105CA00078007D3E7810D3431B68030078007D3E6A
+:105CB000747778104E4278718CA1FF00103294A254
+:105CC00000034000643EE8A1C0947800663EE8A16D
+:105CD000D095042D082D682005A0C0006F3E7E7071
+:105CE00078000C2A1468747206A24000773E00689F
+:105CF0007800673E00680A201B6805007F7000007E
+:105D00007810203E206884D0C000853E7810193E6F
+:105D10007810363E1F68000023682000781073203A
+:105D200078000C2A82A20300C0000741A87DACA520
+:105D3000FF005A7EA87EB4A6FF002069BDC122697B
+:105D4000C4D14000EA3EC4C12269B4A6FF004000AD
+:105D5000D73E82A60C004800AE3E4000AE3E312049
+:105D60000C00002586A00A004000B53E2B852B853F
+:105D70007810E0414000BD3E7810BC3F7800E03E26
+:105D800078109B417E0C6029046084A0F5FF0660BA
+:105D90007810F23F7F0C2069C5C12269587E95C6F4
+:105DA0005A7ED4D6C000D43E1B7864007C001B7899
+:105DB00078007C007E0C6029046084A0F5FF0660FA
+:105DC0007810F23F7F0C587ED4D6C000E73E1B7897
+:105DD00067007C001B7879007C007E0C5870602086
+:105DE0000061E4D14000333F0862178294A2FF00B3
+:105DF00082A20C004800FD3E4000FD3E11200C0038
+:105E0000002602A2C800023F3022086294A2FF00CE
+:105E10000120054F0420E4D0C000173FEC78E4D007
+:105E20004000173F82A20A00C8001D3F11200A004F
+:105E300078001D3F82A20C00C8001D3F11200C00FD
+:105E4000002202A5C800223F282278109F41002589
+:105E500086A00A0040002B3F2B852B857810E0415F
+:105E60004000333F7810BC3F7800373F78109B41AB
+:105E70007810F23F587895C05A787F0C1B787800DC
+:105E80007C007E0C60290060E4D0C000583FB4D094
+:105E9000C000523F106084A00F00C000523F046158
+:105EA0008CA1F5FF06617F0C7C00112032001920C7
+:105EB00000007800833FA068CCD0C000523F086249
+:105EC00094A2FF000120054F0420E4D0C000713FE0
+:105ED000EC78E4D04000713F82A20B00C800713F13
+:105EE00011200A007800773F82A20C00C800773F9B
+:105EF00011200C0008631F839CA3FF0082A30C00E9
+:105F00004800833F4000833F19200C00AB7801001C
+:105F1000AB780300AB780100AA7AAA7BC0A8050081
+:105F20002068C5C02268D470B4D040009F3FB4C080
+:105F3000D670B87065A0086084A0EFFB0A60186096
+:105F400001801A607F0C7C007E0C602904618CA1AA
+:105F5000F5FF066111203200192000007800AD3FE6
+:105F6000AB780100AB780300AB780100AA7AAA7B7A
+:105F7000C0A805002068C5C022687F0C7C007E0C8C
+:105F80005871602118208CA020004000C53FACC093
+:105F9000082084A0F0FF35A6867E18609A78AE7E31
+:105FA0001266A47884A0F0FF8CA10F0005A1F4C0B4
+:105FB0009CA320004000DB3F85A00040FCC0B4D083
+:105FC000C000E03FFDC0A67816608A78B4A60F0036
+:105FD00037860482048084A0FF0005A60E6004605A
+:105FE00084A0F5FF06607F0C7C007E0C587060205A
+:105FF00018609A78A47884A0F0FFA678126084785C
+:1060000084A0F0FF86780C6084A0FF000E607F0CF7
+:106010007C0082A20200C0000741A87A2069BDC1AD
+:106020002269CCD140004140CCC1226994A2FF003A
+:1060300082A20200C8000741781094407810F23F15
+:1060400080A901000C2078104A4278103E3FFF885A
+:10605000400037409B7860000028AA78587E95C69B
+:106060005A7ED4D6C00034401B7864007C001B7874
+:1060700078007C00587ED4D6C0003E401B78670074
+:106080007C001B7879007C0082A20200C800494095
+:1060900084A2010040005240587188A100000C21E8
+:1060A000ECD1C00052401120000078107C417810E3
+:1060B00094407810F23F587895C05A781B78780051
+:1060C0007C007E0C7E026029006011200100ECD073
+:1060D000C0007540BCD0C00073401460B4D0C00094
+:1060E0007340A4C1066106A0780091401120000011
+:1060F000AB780100AB780200AB780300AA7AC0A8A5
+:106100000400D470B4D040008D40B4C0D670B870D4
+:1061100065A0086084A0EFFB0A60186001801A6027
+:10612000206885A0000222687F027F0C7C007E0C24
+:1061300058706020FF8240009C4011204000186091
+:1061400080A002009A78A47884A0BFFF05A2FCC0BA
+:10615000B4D0C000A940FDC0A67816608A7804605B
+:10616000A4C006607F0C7C007E00007086A0030047
+:106170004000BA407F007800BD407F0078000441B5
+:10618000ACD640000441887884A04000400004411F
+:10619000B87B84A33F001B83C800CC40008005A0CF
+:1061A0004000E1401B83C800D54001804000014110
+:1061B000F4D64000E140B8781B80C800DD40008084
+:1061C00084A03F00C0000141F4C65A7ED879DC7A31
+:1061D0000120010008A1C800EC4091A20000D27982
+:1061E000DA79D67ADE7A7810444A1B78760084B25F
+:1061F00000034000FC40012000007800FE40012028
+:1062000001007810CE487C001B7876007C001B785B
+:1062100079007C0078102F411B7878007C00781082
+:1062200018411B7878007C00276802007810204114
+:106230001B7878007C0001200500780031410120A6
+:106240000C00780031412068D5C02268012006008A
+:106250007800314101200D00780031410120090012
+:1062600078003141012007009B787E00AA789DC606
+:106270005A7ED470B4D040004741B4C0D6707E0C72
+:10628000B87065A0086084A0EFFB0A601860018008
+:106290001A607F0C7C007E073F87BCA70F003B87FE
+:1062A0003B8703877E018CB2000340005841E0A089
+:1062B000C05378005A41E0A040547F01B8A72000A5
+:1062C0009A7FA47984A10F0040006A4184A1F0FF65
+:1062D000A678126004609DC00660388738879A7F70
+:1062E000A47984A1400040007A4184A1BFFFFDC091
+:1062F000A67816600460A5C006607F077C009B78C6
+:106300001000AB780100AB780200AB780300AA7AEA
+:106310009B786000AB780400D470B4D040009A4100
+:10632000B4C0D6707E0CB87065A0086084A0EFFB86
+:106330000A60186001801A607F0C7C003120000028
+:10634000292032009B781000AB780100AB78030065
+:10635000AB780100AA7DAA7E9B786000AB7805002F
+:10636000D470B4D04000BE41B4C0D6707E0CB870BA
+:1063700065A0086084A0EFFB0A60186001801A60C5
+:106380007F0C7C007E15078084A0FF0003800380C3
+:1063900080A020009A78A4798CA1F0FF21203342BC
+:1063A00019201100A9200E0011203200042484A01D
+:1063B000F0FF06A14000DE412084002310A2F0007F
+:1063C000D3417F157C007E150120054F0420E4D0C9
+:1063D000C000114221204142A920090011202800BB
+:1063E00082A5190040002742480027422084A99531
+:1063F0001120320082A53200400027424800274287
+:106400002084A99519200A0011206400002202A509
+:1064100040002742480027422084002310A2F000B9
+:1064200003427F15780025422120334219201100B4
+:10643000A9200E0011203200002202A540002742B0
+:10644000480027422084002310A2F00019427F1543
+:1064500006A07C007F1582A56400C8003042087841
+:1064600085A070000A78042405A07C00091202307F
+:106470000232034203440454045605660568067854
+:10648000067A070C070C070EE1100A330558055A67
+:10649000066A066C077C077E000E9B78100046A0FB
+:1064A0007C0084A7000F0B8084A71F00038003805B
+:1064B0000380038005A1FCD740005F42E0A0C074C8
+:1064C00078006142E0A0C0547C007E0E7E0F84D034
+:1064D00040006F42792000010920804F7120804FD9
+:1064E00078007F420920404F7120404F0120044F27
+:1064F0000420ECD040007D427920000178007F42EA
+:106500007920000291200080042184A00F007900EE
+:1065100086429042904290429042904290428E42F7
+:106520008E427810B229B469F5C18CA19FFFB6697B
+:1065300005A04000DF42587884A09FFF85A000603E
+:106540005A78287886A01418C000DF424B780400DF
+:10655000487884A00400C000A5424B780800487821
+:1065600084A00800C000AC423078BCD0C000DF423C
+:106570007E000120044F0420ECD07F004000C14287
+:1065800084B200037800C34284B200044000C942D0
+:106590001800DF427800CB422800DF42E47984A172
+:1065A00030004000DF42EC7884A003004000DF426E
+:1065B0001C68ACD0C000DD42781069437800DF422F
+:1065C0001B78F9007F0F7F0E7C007E0C0120014FAD
+:1065D0000420ACD0C0005B431468078084A00F0087
+:1065E0000380038003808CB200034000F842E0A0E7
+:1065F000C0537800FA42E0A04054046084A00A002E
+:10660000C0005B43086194A100FF40005B438CA184
+:10661000FF0001200A0006A14000264301200C00D3
+:1066200006A140002A430120120006A140002E438B
+:106630000120140006A1400032430120190006A1E8
+:10664000400036430120320006A140003A43780062
+:106650003E4309200C0078004043092012007800D6
+:1066600040430920140078004043092019007800B5
+:106670004043092020007800404309203F00780073
+:10668000404311200000002105A20A60046085A09B
+:10669000020006606120004F0460BCD040005B43F4
+:1066A0001468FCD0C0005643EA606120404F780077
+:1066B0005943EE606120804F1F600F807F0C7C008B
+:1066C0001B7879007C001B7878007C001B786700C1
+:1066D0007C001B7864007C000920194F0C2186A1E6
+:1066E000000040007B4386A1010040007E431F70F4
+:1066F0000B00677001001B7847007C001B78F000DE
+:106700007C001F700A007C000920194F0C2186A113
+:1067100000004000964386A10100400093431F7093
+:106720000B00677001001B7847007C001F700A0097
+:106730007C001B78EF007C001B78F9007C001B7844
+:10674000F8007C001B78C9007C001B78C8007C0026
+:106750001868FCD04000AB431B681D006770010047
+:106760001B7847007C00307884A0C000C000D24372
+:1067700008788CC00A780500050005000500EC7853
+:1067800084A02100C000CF430120054F0420E4D0A5
+:10679000C000CD43047884A01FFF85A0E0000678E8
+:1067A00006A07C0008788DC00A787C0008788DC02F
+:1067B0000A787C00307884A04000C000D7430120D4
+:1067C000044F0420ECD04000E64384B2000378007C
+:1067D000E84384B200044000EE439800F24378009E
+:1067E000F043A800F243AC787C00087884A0FDFF59
+:1067F0000A780500050005000500EC7884A021005A
+:10680000400015447E000120044F0420ECD07F009E
+:1068100040000B4484B2000378000D4484B20004AD
+:106820004000134498000F4478001544A800134416
+:10683000AC787E00087885A002000A787F007C0092
+:1068400084A70100C000B93784A7700040002D4420
+:106850007E0C602D682F78102629782D682C7F0CEF
+:1068600084A7080040003A444B780800EC7884A0E4
+:1068700003004000B93778005D4384A7040040005E
+:106880006944B87884A00140400069444B7808000E
+:10689000EC7884A003004000B937E47884A00700B6
+:1068A00086A00100C0006944C07885A60048302059
+:1068B0005A7E1B78F9007C004B7808001868FCD0E1
+:1068C000400066441B681500F4D6400066441B680F
+:1068D0000700781069437C001B680300587884A087
+:1068E000003F1E682F680000336800004B780800E6
+:1068F000EC7884A003004000AF307E000120044FFC
+:106900000420ECD07F004000864484B2000378006D
+:10691000884484B2000440008E441800082A78009D
+:1069200090442800082A78000C41146B078384A047
+:106930000F00038003800380FCD34000A04480A0AC
+:1069400040547800A24480A0C053602048205A7070
+:10695000602A7C00200020000000200000002000B1
+:1069600000002000000020000000200000002000A7
+:106970000000200000002000000020000000200097
+:106980000000200000002000000020000000200087
+:106990000000200000002000620009001400140024
+:1069A0004898140014001499FD98140014008000F5
+:1069B000FF0000010204082080F818000AA2140059
+:1069C0000B300CA21400002513000025100010004D
+:1069D0001000100010001000100010001000100037
+:1069E000100010001000100000A2063802715F8035
+:1069F00081943988C420640856A80830C1281B9D9A
+:106A000001A20C30472861816A840080A484561852
+:106A10003A8808A8E228CB9CF3A8640844A80C3064
+:106A200001A80830E128CB9C21201DA805A20C87D5
+:106A3000DED8A064E06DC06FA463806C120205A272
+:106A40003D8842792080A1A42B8814183B88DF80E0
+:106A5000A1942770F28537A732A503F07685778653
+:106A600016A83E8814A8012012A804A2C064E06DF4
+:106A7000A067C06F42792080A1A41418DF80A19480
+:106A80003B8823707685778602A861783E886B20E4
+:106A9000C1281B9D44200321A2208120C3A807A256
+:106AA00004090EA209A803A20080A48572189A877F
+:106AB0003C88E21F01F608A26E856F8661711400A2
+:106AC00004070830CB9C140002A20080A485093082
+:106AD000A884E21944F86E853F88E608F5A861F8B5
+:106AE000EAA801F8140081F81600B285F08032950A
+:106AF000A2FAE21D1400328521F21400E21DA884DE
+:106B0000E0D6E61F1400083000804A281110FCA8C7
+:106B10000830339D008000A002281110FDA8399D87
+:106B2000BDA80830339D3B281110FDA809A20271B1
+:106B30005F80819417000C3009A20080A485E21DBB
+:106B400009A2C1DA1400100201A81400E0263A8755
+:106B5000A3FAF219E026F21814000BA214000DA2F9
+:106B600006381002259D040706A265687E812A84E6
+:106B7000C11D2388160042600880FAA80080A48402
+:106B800060812A8421F00830A884D6114270DD206B
+:106B90001100D420228816004479218420A032A537
+:106BA000A184160044792184DFA03295A1841600C7
+:106BB00000007E12D47084A00046048090200472ED
+:106BC00008709CC005A2C00002460C72FF82400003
+:106BD000ED45FF8AC0000246007284D2C000024622
+:106BE0000478CCD04000F3457810CC4A23700000E4
+:106BF00027700000007084D04000FD45077004003D
+:106C0000037008007F1200207C00007084A0030045
+:106C100002709CC684D040005B4608710500087075
+:106C200006A1C0000A4684A1030040008C4684A14E
+:106C3000E001C0008C46F4D1C0000A4684A10030B7
+:106C400086A0001040000A460120054F0420E4D031
+:106C500040003746112080010C71118240004546EA
+:106C60000870F4D0C0000A460C7006A140002A4605
+:106C700078002746112080010C71118240004546A2
+:106C80000870F4D0C0000A460C7006A140003A46D5
+:106C90000770120008710500087006A1C000474681
+:106CA00084A1030040008C4694D140004746F4D1B3
+:106CB00040008C460770020078000A460871FCD13B
+:106CC000400066467810ED47FF8A4000DC457800BA
+:106CD0005B460C708CA0FF0340009146047084D08A
+:106CE00040008346147005A0C0007F4610701073EA
+:106CF00006A3C0007346002305A04000834602A1FE
+:106D0000C8005B460770100078008C46FF8A400080
+:106D100091467810F249C000864640005B467810E4
+:106D200038477F1200207C00047208719CC10381E7
+:106D3000C800A04607700200780091460370080062
+:106D40007F1200207C0005A2C0008C46237000004A
+:106D500027700000037008007E000120014F04200E
+:106D6000CCD04000B2467810CC4A7F007F12002081
+:106D70007C002864FF844000E246702C0470BCA0B4
+:106D80000F00B8A7F2463C27FB87C000D04648005A
+:106D9000C8467810B2299C6075A04000E246780091
+:106DA000BB463920E746042768AE086830A60C6861
+:106DB00029A521844000E2463887042705A0C000A9
+:106DC000D1469C7075A0C000BB467C000000050049
+:106DD00009000D001100150019001D00000003003E
+:106DE00009000F0015001B0000000000E746E44604
+:106DF0000000000000800000E7460000EF46EC467F
+:106E00000000000000000000EF460000EA46EA46ED
+:106E10000000000000800000EA460000F046F04656
+:106E20000000000000000000F0467920004F7120B3
+:106E3000100007700A00077002000370010010784C
+:106E4000ECD0400026470920010071202000780086
+:106E50002A47092002007120500007700A000770BD
+:106E600002000370000009814000374771202000B4
+:106E700078002A477C0004700480C800C14708716C
+:106E8000087006A1C0003C4784A1E00140004947CA
+:106E9000781030487800E947077012001920000088
+:106EA0000871087006A1C0004D4784A1E0014000B0
+:106EB0005A47781030487800E9471078ECD0400005
+:106EC00074470120FD04042086A00300C000784719
+:106ED00084A1004040007C4782A30300C8007C4797
+:106EE00084A1040040004D47188378004D47147872
+:106EF000ECD0C0007C4784A10040C0004D479CA15D
+:106F00000C3086A304204000994786A30800400067
+:106F1000A447047084D0C00095470871087006A18A
+:106F2000C0008A4784A1030040009547780030489C
+:106F300086A30C20C0004D47007204824800A4477D
+:106F40000C7384A3FF034000A4477810B229087192
+:106F5000087006A1C000A44784A1E0014000B14729
+:106F6000781030487800E94707701200007084D02C
+:106F7000C000C1471073147005A34000C1470C71D5
+:106F800084A1FF03C00038470871087006A1C00043
+:106F9000C14784A1E0014000CE4778103048780016
+:106FA000E947077012000770080004709CD0C00009
+:106FB000D2470871087006A1C000D64784A1E0013D
+:106FC0004000E347781030487800E9470770120026
+:106FD000087103814800D647037008007C000871DF
+:106FE00084A1E001C0003048087184A1E001C00024
+:106FF000304884A107007900FA4704481448024841
+:10700000144802487248024870487810B229047047
+:1070100084A010008DC00670FF8AC0000F48492070
+:1070200000007C007810F249C0000F487C0004701A
+:1070300084A010008DC00670047084D0C000284861
+:107040000871087006A1C0001D4884A1030040001B
+:10705000284878003048FF8A40002F487810F249CD
+:10706000C0002B487C00077012000871E000334814
+:1070700091200060E0003748912000600770120006
+:107080000770080004709CD0C0003F4807701200D1
+:107090000871FCD1C000434803700000007005A0D7
+:1070A000C0005748047005A0C00057480C7005A0E8
+:1070B0004000594878003B484920000084B2000154
+:1070C000400063480120000078006548012001006D
+:1070D000781062421B680200512000007C0078108A
+:1070E000B2297810B2297810B948107214710C7056
+:1070F0009CA0FF03002800A311A289A10000781022
+:10710000B9480427582C60AC0863002222A30C6302
+:1071100000211BA3002405A340009548C800954802
+:10712000128410820A8389A10000602B78007C48B9
+:10713000602B078A7E0004609CD04000A048BAA75C
+:10714000EC467800A248BAA7E4467F003DA7002C91
+:1071500086688A6F926C8E6B0871087006A1C000F9
+:10716000A94884A1E0014000B44878103048077075
+:107170001200781038477C00508A3987042704A011
+:10718000C000CD48006064A0C000C448602D046009
+:1071900084A00F0080A002473C20FB874010B2294A
+:1071A0007C007E127E0DD47084A000460480902066
+:1071B0007F0D8468602088688C6B906C5780D4AA9F
+:1071C000FF0084A0FF007E00046884A008007F0008
+:1071D0004000EB48B8A0EC467800ED48B8A0E44683
+:1071E00084B200014000F448207E7800F548247EF7
+:1071F000B5A60C001C68B4D04000FC4885C600242D
+:1072000005A340002549582C0427046160AC0060A8
+:1072100000A41A70046001A31E709CD1400015499F
+:10722000106081A000002270146081A00000267010
+:107230000862002402A212700C62002303A21670DE
+:10724000027607700100602B78101C4A78002749ED
+:107250007810F249C00025497F1200207C007E1280
+:107260007E0DD47084A00046048090207F0D0770AE
+:107270000400047094D0C0003649037008007F12E7
+:1072800000207C007E127E0DD47084A00046048015
+:107290007E0090207F007F0D207E84B20001C00020
+:1072A0004F49247EB5A60C001C68ACD0C0005A49DA
+:1072B00085C6037000000770040028685020602D08
+:1072C0000460BCA00F00B8A7F2463C27FB87C000B3
+:1072D000704948006A497810B2299C6865A040004E
+:1072E000744978005D497810F249C00070497F12F6
+:1072F00000207C007E127E007E017E0DD47084A072
+:10730000004604807E0090207F00207E84B2000131
+:10731000C0008849247E7F0D7F037F04B5A60C0042
+:107320001C68B4D04000964985C603700000077001
+:10733000040049207749286855A07E0D4000EE4999
+:10734000702D602E0470BCA00F00B8A7F2463C2739
+:10735000FB87C000B3494800AC497810B2299C7043
+:1073600075A060204000EE4978009F49042768AE70
+:10737000086822A40C681BA34800CC49518AC000AD
+:10738000C0497810B2293887042705A0C000B44945
+:107390009C7075A060204000EE4978009F492284CF
+:1073A00020841A8399A300000869002422A10C6993
+:1073B00000231BA1C800DB497810B22984B2000168
+:1073C0004000E9490120044F0420ECD0C000E94905
+:1073D000712050007800EB49712020007F0D78006B
+:1073E000FC487F0D7F1200207C0008707E0084A086
+:1073F000E0017F004000FB4906A07C0084A0030060
+:1074000086A00300C000024A7C00042778AC007804
+:107410001A7004781E70087812700C781670046068
+:107420009CD04000144A107822701478267002769E
+:10743000047084A0100085C006707920004F388742
+:10744000518A4000404A042705A0C000324A9C608F
+:1074500005A04000414A6020046084A00F0080A085
+:10746000F2463C20FB874010B22908707E0084A0C1
+:10747000E0017F0040003C4A06A07800414A84A019
+:10748000030086A003007C00512000007C007E12D7
+:107490007E007E0DD47084A00046048090207F0D75
+:1074A0007F08087184A10300C000594A286805A01C
+:1074B0004000694A780002460871FCD14000614AE8
+:1074C0007810ED4778004E4A077010000871FCD123
+:1074D0004000634A7810ED47087086A00800C0009D
+:1074E0004E4A007005A0C0004E4A037000004920BB
+:1074F00000007E000478CCD040007D4A7810CC4A51
+:107500007F007F1200207C007E127E147E137E1589
+:107510007E0C7E0DD47084A00046048090207F0DE8
+:107520004920814A80AD1100A02084B200014000B2
+:10753000A44A0120044F0420ECD04000A04A992026
+:1075400031007800A64A992032007800A64A992096
+:1075500031000C7084A0FF032A68077008000770D0
+:107560000200037001004000B54A0080AC80A553C2
+:107570000C7084A0FF034000C14A0770040004702F
+:1075800084A00400C000BC4A7F0C492000000370A6
+:1075900000007F157F137F147F1200207C00146889
+:1075A000FCD04000114B007084D04000114B247E71
+:1075B000B5A6040007700400047084A00400C00095
+:1075C000D94A18717E011C717E0120717E012471DF
+:1075D0007E010EA01A711F70FF3F22712671137079
+:1075E000040016710276077001000120FFFF0920D8
+:1075F00031000A200A200871087006A1C000F84A6C
+:10760000FCD14000F84A7F0226727F0222727F027C
+:107610001E727F021A7207700200087086A00800AE
+:107620004000114B780030480770040003700000E0
+:107630007C009120008091200060AC7805A0C00003
+:107640002D4B7479D07006A1C0002D4B1C7805A07D
+:1076500040002D4B1F78000068002D4B912080408A
+:10766000307801803278C000B54B347832781078A9
+:10767000ECD0C000AE4B6120C0746920804FFDC7C4
+:10768000D06805A04000474B0180D268C000474B3E
+:107690007810834D006884A00F0040005C4B86A0EA
+:1076A000010040005C4B44680DA040005C4B04218D
+:1076B00005A040005C4B01800A204000F64C146895
+:1076C00005A04000814B01801668C000814BA7686F
+:1076D00001007E0FFCD7C000764B1078ECD0400044
+:1076E000724B792000017800784B792000027800F5
+:1076F000784B792000017810D3437F0F646805A090
+:107700004000814B78106F26806805A040008E4BAA
+:1077100001808268C0008E4B67680000D468DDC0BD
+:10772000D668D468FCD04000AB4BFCC0D668A9201A
+:107730000002346005A04000A74B01803660D46889
+:10774000FDC0D668C000A74B106005A04000A74B45
+:1077500078106F26E0AC1000F000964BFCD740008C
+:10776000B54B6120C0546920404FFCC778003D4BA9
+:107770007810F14B387801803A78C000D74B3C78CC
+:107780003A786120C0546920404FFCC70C6805A0BE
+:107790004000C94B78105B4CFCD7C000D74B107829
+:1077A000ECD0C000D74B6120C0746920804FFDC76A
+:1077B0007800C34B1478E4D0C000DB4B1078CCD0F9
+:1077C0004000EE4BACD0C000E74BA4D04000EE4BE5
+:1077D000ADC01278912001806800ED4B7810DC2359
+:1077E0007C00912001807C00407801804278C000BC
+:1077F0005A4C447842786920404FFCC71078792071
+:107800000002ECD04000034C79200001D86805A0AC
+:1078100040000F4CE07D04A5C0000F4CDA68D4682E
+:10782000BCC0D6687920004F106805A0C000174C76
+:107830000120010101801268FCD74000204C80A08B
+:10784000D0957800224C80A0C0944020042065A0F0
+:1078500040004C4C246005A04000484C018026604C
+:10786000C000484C006805A040003B4C4C6806AC8A
+:10787000C0003B4C7810F64C78004C4C646805A076
+:107880004000434C276001007800484C7810A94C18
+:1078900004287800244C0060402C7800244CFCD74D
+:1078A000C0005A4C1078ECD0C0005A4C6920804F70
+:1078B000FDC7792000017800034C7C0009200000FE
+:1078C000A920000208609CD04000954C246005A0CF
+:1078D00040006B4C018026607800934C08609CC08F
+:1078E00084D0C000734CACD040008D4C0A60046062
+:1078F00005A04000954C7E0D7E0C7E016820106036
+:10790000018012607810193E002D682C60207810DC
+:10791000A21E781064207F017F0C7F0D7800954CAB
+:10792000BDC00A608DA101007800954C8DA10001B9
+:10793000E0AC1000F0005F4C84A101004000A44CBA
+:107940008CA1FEFF0E6978106F267800A54C0E6999
+:107950007C00C000A54C6C78002C7E681467766FA4
+:10796000176000002B6000001B600600B46084A05C
+:10797000003F1E60206084A0FF0085A060002260A0
+:107980000060422078102B1E186805A04000C74CEC
+:1079900001801A680868A4C00A681068087909811B
+:1079A0000A790180D000D34C7810B2291268C00047
+:1079B000D94C1079A5C112792F6000003360000006
+:1079C000682C78107320FCD7C000E74C6920404F2A
+:1079D0007800E94C6920804F106984A100010120E2
+:1079E0000600C000F34C7A69012004007810632679
+:1079F0007C007E0D4C696021FCD7C000084D1078DA
+:107A0000ECD04000044D6920000178000A4D692047
+:107A1000000278000A4D69200001781026291B60B9
+:107A20000600586884A0003F1E60206084A0FF000C
+:107A300085A0480022602F600000336000000868C5
+:107A400084A0FDFF0A683068B4D040003C4D4B680C
+:107A50000400A9201400486894D040002E4DF00086
+:107A6000284D4B680900A9201400486884D04000C4
+:107A7000384DF000324DA920FA00F0003A4D1B6855
+:107A800047007F0D676807007C007920004F781061
+:107A9000764D78105C4D7810694D09200200692000
+:107AA000804F0F680000136800001768000009810C
+:107AB00040005B4D6920404F78004E4D7C001078AF
+:107AC000ECD04000644D1920CC007800664D1920A0
+:107AD0007B003A7B3E7B7C001478E4D0C000714D83
+:107AE000192040007800734D19202600427B467B08
+:107AF0007C001478E4D0C0007E4D1920943F7800BB
+:107B0000804D19202426327B367B7C00506A85A26A
+:107B100000004000AF4D5469C06B00A37E0C64218F
+:107B20000463FF83C0009B4D118240009F4D08817C
+:107B30001AA148008C4DC06978008C4DD3680A00AA
+:107B40007F0C7C005069C06A64222B6000002F60AB
+:107B500000000860B5C00A6010820981C000A14D14
+:107B600052697F0C7C00E000B04D91200060E00085
+:107B7000B44D91200060EC70DCD0C000C14DD4D079
+:107B80004000EA4D7800ED4D08201078ECD0400020
+:107B9000D44DC4D1C0000E4E1478C5C016781078EC
+:107BA000F5C01278ECD040000A4E7800064E8EAE3A
+:107BB00000014000E14D1478F5C0C5C01678D4D05E
+:107BC000C0000A4E7800064E1478FDC0C5C0167875
+:107BD000D4D0C0000A4E7800064EE4D040000C4ECF
+:107BE000E000ED4D9120006009200C00E000F34D15
+:107BF000912000600981C000F34DE47084A0FF0172
+:107C000086A0FF01C000044EEC707800C14D7810D2
+:107C10000F4E04788CD040000C4E1F680C00A070F2
+:107C2000A2707C001079ECD14000194E1478C4C0C9
+:107C3000F4C1127978002B4E8EAE00014000254E23
+:107C40001478F4C0FCD0C0002B4EC4C078002B4E7A
+:107C50001478FCC0F4D0C0002B4EC4C016787C0051
+:027C6000E3142B
+:00000001FF
+/*****************************************************************************
+ * QLOGIC LINUX SOFTWARE
+ *
+ * QLogic ISP1280/ device driver for Linux 2.2.x and 2.4.x
+ * Copyright (C) 2001 Qlogic Corporation (www.qlogic.com)
+ *
+ *****************************************************************************/
+
+/************************************************************************
+ * --- ISP1240/1080/1280 Initiator Firmware --- *
+ * 32 LUN Support *
+ ************************************************************************/
+
+/*
+ * Firmware Version 8.15.11 (10:20 Jan 02, 2002)
+ */
diff --git a/firmware/qlogic/isp1000.bin.ihex b/firmware/qlogic/isp1000.bin.ihex
new file mode 100644
index 0000000..a5c242c
--- /dev/null
+++ b/firmware/qlogic/isp1000.bin.ihex
@@ -0,0 +1,1158 @@
+:1000000078003010000019240000FF124320504FE8
+:10001000525947495448312039392C313931323914
+:10002000312C39392C333931343951204F4C49472F
+:1000300020434F435052524F54414F49004E4920A4
+:1000400050533031303046207269776D72612065CF
+:100050005620726569736E6F30202E313133202047
+:10006000B9201212C120080071201000C3700400D2
+:10007000C920FF3F8920C810C7705349CB7020505A
+:10008000CF702020D3700100003FD67031203000A7
+:100090007920003563780000A02F09202703112064
+:1000A0000000A9204000A4420981C00051109B78A3
+:1000B00001010B7802000F7802004F78B80B69201D
+:1000C0004035A8006A101B683C0009201313B821B2
+:1000D00078006C101B682800076807000B68FA009E
+:1000E0000F680800136805001F68000023680600F9
+:1000F00017680800276800006920003611202000DA
+:10010000092010000B68190C0F681900036800DD46
+:1001100007681A001A6A002DE8A0080090A20400DF
+:100120000981C000821069208036A92080003768CC
+:1001300000000B684000176800011F686400E8AD0C
+:1001400010007000A510780097107810381A7810F9
+:100150003A2F781081167810BA33003285A00D003E
+:100160009020C37000009000BC10C07086A00200F8
+:10017000C000BC107810BA117810EC107810171865
+:100180007810A81978107D3278107D177800BC108F
+:10019000D010D210C31BC31B982F982FC31BC31B97
+:1001A0007800D0107800D2107800D4107800D610E3
+:1001B00008700C80C800E710077002008CA00C00CB
+:1001C000C000E81004800480C800E7107A087A09AB
+:1001D000C37002407800BD11147805A0C000F4106F
+:1001E0001000301178002F1109206835042105A076
+:1001F000C0002F11147886A00100C00001117810F2
+:1002000036151778000009206F35042165A04000DD
+:100210001D1109206A351C2108811421088104213F
+:1002200010A299A3000009201C008360030178102C
+:100230001116C00029117810781609206F350B208F
+:1002400000000920693504210B20000005A04000B2
+:100250002D11012005407800BC117800BA117C00F6
+:1002600061200000186084A00100400038117C006B
+:10027000C3700000C7700000CB700000CF7000009A
+:10028000C070BCA0C0FFC0008811382079004811A0
+:10029000BA110512D311051256125612CA11901531
+:1002A0006112C611D711D911DB11DD119515C611D7
+:1002B0006712831244158A15DF116B148D14A7146D
+:1002C000D0142414321446145A14EF12C6119F127B
+:1002D000A612AB12B012B612BB12C012C512CA12CD
+:1002E000CE12E312C611C611C611C611C611FB12F9
+:1002F00004131313391343134A1370137F138E130C
+:10030000A0130914C611C611C611C611C6111914BD
+:10031000BCA0A0FFC000C611382084A01F00790037
+:100320009111C611C611C611C611C611C611C6114A
+:10033000C611C611C611C611C611C611C611C61105
+:10034000C611C611C611C611C611C611C611C611F5
+:10035000C611C611C611ED15F715FB150916C61104
+:10036000C611CA72C671012006407800BC11CE7356
+:10037000CA72C67101200040C270612000001B607B
+:10038000010091200050912080407C00C37001400A
+:100390007800BD1199204100A1204100A92005004D
+:1003A000A3537800BA11C470C37004007A007800B7
+:1003B000BA117800BA117800BA117800BA119120F8
+:1003C0000080C3700000C7705349CB702050CF70BD
+:1003D0002020D3700100003FD670792000001B78E8
+:1003E00001003120300059200010292057045120ED
+:1003F000700461207204B920FFFFC1200000912029
+:1004000000509120804078005504D071C872CC73A0
+:10041000C470A020982031203000FF814000BA1124
+:10042000077004001A731E72512012004920341202
+:100430004120BA110370020086A70100C0002612F5
+:100440004920421241204E12037003001770000031
+:100450000B811271C8002E12177001000770010085
+:1004600086A70100400042120C7084A07F00048027
+:100470000920200002A142094A09A820A026A6536B
+:100480007800D8100C7084A07F0040004212AC802D
+:10049000480042129826A5537800D8100C7084A00A
+:1004A0007F00AC809826A5537800BA11C471C8703B
+:1004B00014219EA70400C0005E120A20CA727800B0
+:1004C000B911C7700100CB701F007800BA11C47059
+:1004D000C872CC73D074C670CA72CE73D27405A0C1
+:1004E00040007D12018072787A7A7E7B767C9878E3
+:1004F00084A0FCFF9A7878008112987885A001008A
+:100500009A787800BA11C470C872CC73D474C6706B
+:10051000CA72CE73D67405A0400099120180867805
+:100520008E7A927B8A7C987884A0FFFC9A787800F7
+:100530009D12987885A000019A787800BA11092058
+:1005400059350C21112010047800B81109204135CB
+:100550000C217800B911092042350C217800B9111D
+:10056000612040350C6110627800B81109204535D2
+:100570000C217800B911092046350C217800B911F9
+:10058000092047350C217800B911092048350C2184
+:100590007800B91108790C7A7800B811C471078114
+:1005A00084A00F00038003800380E8A00036006A67
+:1005B000046884A008004000E012086B7800E11293
+:1005C0000C6B7800B711C4777810921691200080D8
+:1005D0001C6B146A9120018008277800B711C4773A
+:1005E00078109216912000800869186A106B91208B
+:1005F00001807800B711C47182A11000C800B21147
+:100600007810BC1A7800B711C47182A11000C8001C
+:10061000B2111120413504227E0012217810751A82
+:100620007F017800B911C47111203113A92008008D
+:10063000042206A1400023131082700021137800C9
+:1006400018137800B21192A231137E0211204235A4
+:10065000042212217F017E007810811A7F01780028
+:10066000B911E803FA00F401EE0264001900320047
+:100670004B00612040350C611062C4700E60C87080
+:1006800012607800B811612040351461C4701660A2
+:100690007800B911C471112004001920121286A12A
+:1006A000280040006313112005001920121286A1B2
+:1006B000320040006313112006001920131386A195
+:1006C0003C00C000B2116120403518607E001A6104
+:1006D000B8237810921A7810BA337F017800B911D4
+:1006E000C47184A1CFFFC000B2111120473504228C
+:1006F00012217E007810B41A7F017800B911C471FC
+:1007000082A11000C800B2111120483504227E00D9
+:1007100012217810A31A7F017800B911C471C87230
+:1007200084A1FDFFC000B11184A2FDFFC000B11182
+:10073000002108790A7800220C7A0E787800B81126
+:10074000C471078184A00F00038003800380E8A0A8
+:10075000003619200000C87200687E0026A2400002
+:10076000CF13026A84A400204000B8139DA3100098
+:1007700084A400104000BE139DA3080084A4004080
+:100780004000CF130F8184A200404000CB137810AB
+:10079000D61A7800CF137810C81A7800CF13CC720D
+:1007A000FF8240000114086806A240000114A4A2C0
+:1007B000FF0061204035186186A128004000E81341
+:1007C00086A132004000EE1386A13C004000F413E5
+:1007D00082A464004800FE137800F81382A450003D
+:1007E0004800FE137800F81382A443004800FE136B
+:1007F000C471C6717F02CA727800B3110A6A9DA3E0
+:100800000A00046805A306687F020C6BC4717800B7
+:10081000B711C4777810921691200080146A1C6B6F
+:1008200091200180C8701668CC701E680827780077
+:10083000B711C471C872CC7382A11000C800B21184
+:100840007810E41A7800B711C477781092169120C6
+:100850000080086A95A202000A6A91200180082798
+:100860007800B811C4777810921691200080086A39
+:1008700094A2F9FF0A6A046805A0400041147810A8
+:10088000191A9120018008277800B811C4777810D0
+:10089000921691200080086A95A204000A6A0468F2
+:1008A00005A0400055147810191A912001800827DE
+:1008B0007800B811C477412001004920050051207B
+:1008C00020009120008078109F1691200180082739
+:1008D000086A7800B811C477C872CC73C677CA7238
+:1008E000CE7378101817C0008914186805A040004E
+:1008F000831408277810F41AC00083141778FFFFB8
+:10090000912001807C009120018001200540780029
+:10091000BC11912001807800BA11C477C6774120BC
+:1009200021004920050051202000912000807810EE
+:100930009F1661204035A3600300B667A7600000E2
+:100940001778FFFF912001807810191A7C00C87772
+:10095000CA77C477C677BCA700FF912000806120CA
+:100960004035A3600200A7600000B6671778FFFF5C
+:100970007810191A9120018041202100492004009B
+:10098000512010009120008078109F16C8703668A2
+:10099000388784A70700C000C414912001807C0020
+:1009A000987884A00300C000F4143920000041208E
+:1009B000210049200400512008007810921691204F
+:1009C000008008680DA80A6991200180388784A7F3
+:1009D0000700C000DD14BCA700FF3F8738873F87B2
+:1009E00084A7000FC000DD14912000806920000161
+:1009F000306884A0400040001D154B680400A92009
+:100A00001400486884A0040040000A1570000A150C
+:100A1000780001154B680900A9201400486884A0DB
+:100A20000100400017157000171578000E15A92059
+:100A3000FA0070001D157800191579200035177817
+:100A4000010061204035A3600100A7600000C36081
+:100A50000F00987885A002009A78086884A0FDFFAE
+:100A60000A681B684600912001807C00987884A069
+:100A7000FDFF9A7884A00100C0004015781060172F
+:100A8000C471C6714A797C00C474C873CC72C674D0
+:100A9000CA73CE72792000350920400078106F1695
+:100AA0004000861578103F1640005A1578107816C9
+:100AB000780086151060912001801778FFFF0920CB
+:100AC00068350B20050008810B20000008810A23EF
+:100AD00008810A2208810A2408810A2008810B2043
+:100AE000000008810A2C2EA030257E0E7810132FCE
+:100AF0007F0E9265A2659666A666AB600000AF6049
+:100B00000000912001807810191A7C00C370054004
+:100B10007800BD11C471C770000006797800BA1161
+:100B2000C471C671682178009715692000100C699E
+:100B300016A0042D10A2688D0981C000991585A208
+:100B40000000C000A715C37000407800A915C3704D
+:100B50000340CA707800BD11C471C872CC73002103
+:100B600084A1FCFFC000C61100217900B715CE1585
+:100B7000E315E515E715C3700340CE71D272D67345
+:100B80007800CA15C3700040CF700000D370000019
+:100B9000D7700000C677CA717800BA113120E91504
+:100BA000242630861224042246A4C000BB1584A447
+:100BB000FFFFC000D0153120E9151082198384A3EE
+:100BC000FFFFC000D0157800C2157800C21578006C
+:100BD000C2155555AAAAFFFF00006079C671C471FD
+:100BE00082A10300C800B21162797800BA1160795D
+:100BF000C6717800BA115479C671C47156795879A2
+:100C0000CA71C8715A795C79CE71CC715E797800FD
+:100C1000BA115479C6715879CA715C79CE7178006D
+:100C2000BA110C7084A07F0040001D1607700400EC
+:100C3000047084A00400C000181617700000127120
+:100C40001A721E7308810C81A981988CA120300032
+:100C50008060A220A6530C7885A000000270077067
+:100C6000010008710481C80031160770020084A1D8
+:100C70000C000C7184A10003037000007C000C7058
+:100C800084A07F0040004B1607700400047084A00D
+:100C90000400C00046161770000012711A721E730D
+:100CA000992030000881AC810C7885A00100027089
+:100CB0000770010008700C80C8005A160770020007
+:100CC0008CA00C00C0006C160C7184A10003C00045
+:100CD0006C16A02CA55306A0037000007C00507871
+:100CE00065A040007716042C5278632000007C0039
+:100CF0007E0F7920003550786220002C52787F0FCB
+:100D00007C0011200040527A192010041983400001
+:100D10008F1680A22F001220102078008616132034
+:100D200000007C0084A7000F0C8084A707000380CC
+:100D300003800380038005A1E8A080367C00781042
+:100D4000921600292A68002A2E68086884A0EFFFFE
+:100D50000DA80A6909204F350C21046805A0400040
+:100D6000BC1616A1C000BC166020006006687E019B
+:100D70000B2000007800BF16092000007E010468E7
+:100D800065A04000CE16006006687810DF16781067
+:100D9000CB17106801801268C000BF167F0102697E
+:100DA00006697C0065A04000DE1698609B6000002C
+:100DB00008207810781600217800D2167C00036095
+:100DC0000301A9201C0080AC0400A0200120000029
+:100DD000A440286816602C681E607C007E0E71207E
+:100DE000403540708CA08000C000FC1688A0803583
+:100DF0000A2D0080427006A07F0E7C007E0E7120BE
+:100E00004035092080354072218211824800161732
+:100E10000421088106ADC000051719811E21088133
+:100E200018831182C8000E17427406A07F0E7C0042
+:100E3000781092169120008004681E7865A040000A
+:100E40005F1778002917002C1E78006065A040000D
+:100E50005F170C6006A3C0002317086006A2C0003D
+:100E60002317282C01204F35042006AC40005F17C3
+:100E7000046806ACC000461700606020066805A044
+:100E8000C0004617036800007800501700641C7803
+:100E90006020026486A40000C0005017002C026885
+:100EA00060257810DF16176005001F60200078109D
+:100EB000CB171068018012680120FFFF05A07C009D
+:100EC0003920000041202100492004005120080061
+:100ED0009120008078109F16388784A70700C000F3
+:100EE0006A17BCA700FF3F8738873F8784A7000F9A
+:100EF000C0006A17912001807C006120000018600A
+:100F000084A00100C0008A17AC78AF78000005A06B
+:100F1000C0008B177C008CA0F0FF40009117781068
+:100F2000A51B79009317A317A517AB17AF17A31726
+:100F3000B317A317A317A317A317B917BD17A317A1
+:100F4000A317A317A3177810A51B7810601701200B
+:100F500001807800C317012003807800C3170120A7
+:100F600004807800C317781060170120068078008D
+:100F7000C31701200C807800C31778106017012078
+:100F80000D807800C317C270612000001B60010053
+:100F9000912080407C00042C8260082C632000009B
+:100FA000647800806678687805A06A794000DB176D
+:100FB000022C7800DC176E797C007E0C61200035F5
+:100FC00083680301082D6B20000064600080666068
+:100FD000686005A06A614000F017022D7800F117E3
+:100FE0006E617F0C7C0078100418400003187E0CA2
+:100FF000986065A04000FE177810D2167F0C9B60A9
+:101000000000781078167C006C7865A040001618F7
+:1010100091200080647801806678042C6E7805A0A9
+:10102000C00014186A780080912001807C009878B4
+:1010300005A0C00065187479D07005000500D07255
+:1010400006A2C0001C18002206A1C00033180478B4
+:1010500005A0400065180778000068006518912019
+:1010600080407800651878106F16400065187C7A0B
+:10107000787B07810480048010A299A300000920D6
+:10108000400078103F1640005C1878107816807881
+:101090000080827886A00200C00065189120008040
+:1010A000AF78020083780000987885A003009A78D2
+:1010B00091200180780065188378000078109219DB
+:1010C000006084A00700790066187C006E187D1807
+:1010D0009D186E18AF186E186E186E18392000041F
+:1010E000A87805A7AA78046005A706607810ED180F
+:1010F0001860A67878107A197C00A87884A000017E
+:101100004000841878006E18AB78000000600780FB
+:1011100084A0FF009E7801809B60000040009A1828
+:101120007810ED1840009A18A87885A00001AA78D8
+:1011300078009C18781011197C00A8788CA0000EFB
+:10114000C000A61884A00001C000A81878006E187E
+:101150007810ED18C000AE18781011197C00A8782E
+:1011600084A000014000B61878006E18AB7800002B
+:101170001067A9200100146084A0FF0005A04000B2
+:10118000D318BCA700FFA92008008EA001004000D2
+:10119000D31839200000A92080008EA00200400052
+:1011A000D3187800EA1878109216002D912000804C
+:1011B0002B6800002F680000086884A0DEFF0A6822
+:1011C000002D80A010006820912001807000EA1896
+:1011D0007800D618781078167C00A0786DA0C00032
+:1011E000F818002CA278A6789B60000078000419FB
+:1011F000002C9A689B600000A278002D0260A47801
+:1012000006ADC000041902609C7801809E78C00081
+:101210001019A87884A00000AA78A478602006A0FD
+:101220007C002EA03025186184A160009E614000E2
+:101230001D197E0E7810132F7F0E9265A26596669B
+:10124000A666AB600000AF600000106778109216D1
+:1012500091200080086884A0010040003F1991207F
+:1012600001807810DF16912000807810CB17912034
+:101270000180A3780000A778000078007919206029
+:1012800096A00100C000461900802260106A146810
+:101290009120018002A248005519400055193920BB
+:1012A000000278107A1978007919082C91200080B2
+:1012B000006865A040005D1902610269C000611903
+:1012C00006696021036000001068008012689120A8
+:1012D000018008688CA040004000731986A040007F
+:1012E0000A687810EE167810191AA7780000A3780B
+:1012F00000007C00046005A7066091200080781043
+:10130000CB1791200180A47865A040008D199860CA
+:10131000A6789B60000078007D19A3780000A7786C
+:1013200000007C007079747800800AA1C8009919C7
+:1013300006A07678D270047805A04000A719018035
+:101340000678C000A7196800A719912080407C008A
+:101350006800C219292000006C7865A04000BD1902
+:101360007810C3194000BD197E057810D9197F0582
+:10137000C000BD1928857800AC19FF854000C2194E
+:10138000912080407C00847B8879D4720500050020
+:10139000D47006A2C000C519002202A1C000D31952
+:1013A000002305A07C004800D71902A37C0002801E
+:1013B0007C0078100B1A09201C00246005A0400056
+:1013C000E31909204000781011164000FC199478A8
+:1013D0000080967886A00200C0000A1A9120008042
+:1013E000AF78030097780000987885A000039A787A
+:1013F0009120018078000A1A977800007810F3177E
+:101400008479887800800AA1C800071A06A08A7823
+:10141000D67006A07C00078104800480907A8C7BC3
+:1014200010A299A300007C0009206835912000805B
+:101430000A207E0F7920000109204035912000808C
+:10144000042186A00000C000341A092012350421AE
+:1014500005A0C000341A307884A0C000C000341A3F
+:101460001800341A1B784400912001807F0F7C0003
+:101470007E1291200023712040357920000119202F
+:10148000D82DA1202B01042305A04000501A9A78E2
+:101490001883AC2318839823A65318337800431A73
+:1014A0009B782000A9201000AF780000AF782002C0
+:1014B00070005C1A7800541A0370000078105B1BEF
+:1014C000047084A00F0085A0806206780F780092D7
+:1014D0004378D800537880000B78380047707F3508
+:1014E000437000007F1200207C008CA10F001120AF
+:1014F0000101042284A0F0FF05A1122078105B1BDB
+:101500007C0011200101A92009000B8170008A1ABA
+:101510007800851A8CA1000E042284A0FFF105A199
+:1015200012207C0009200101A9200500138270000F
+:101530009B1A7800961A94A2E000042184A01FFF51
+:1015400005A20A207C0011200101A9200C000B81BA
+:101550007000AC1A7800A71A8CA100F0042284A0B5
+:10156000FF0F05A112207C0011200201042284A09B
+:10157000CFFF05A112207C000381038080A0200002
+:101580007E0C612000019A60AC62AC637F0C7C0031
+:101590000381038080A022007E0C612000019A60FC
+:1015A000A46084A0DFFFAE607F0C7C000381038019
+:1015B00080A022007E0C612000019A60A46085A0BA
+:1015C0002000AE607F0C7C000381038080A020009F
+:1015D0007E0C612000019A60A460AE621020A460BD
+:1015E000AE6318207F0C7C00912000807E0C7E0E64
+:1015F000186805A04000391B6120803F7810411B0E
+:101600004000271BA92000006120803E7E0C78103E
+:10161000411B4000131B7F0C608C7000111B780075
+:10162000061B7800391B7F0082A0803E7120403568
+:10163000BA701C6085A000081E60B671A76000002B
+:1016400001200400A2707810141A7800351B712054
+:1016500040351C6085A000081E60B671A7600000C0
+:1016600001200600A2707810141A012000007800F2
+:101670003B1B012001009120018005A07F0E7F0C03
+:101680007C00042C05A04000581B60200C6006A3C1
+:10169000C000551B086006A2C000551B106006A1C3
+:1016A000C000551B06A078005A1B00607800421B42
+:1016B00085A001007C00112041350C228CA10F0077
+:1016C00011203B01042284A0000140006A1B21205C
+:1016D00080FF22217C007E0EE4688CA02000400068
+:1016E000A31B84A00600C000A31B1060078084A079
+:1016F0000F00038003800380F0A00036047084A0F4
+:101700000A00C000A31B087194A100FF4000A31BA6
+:101710008CA1FF000120190006A14000961B0120AA
+:10172000320006A140009A1B78009E1B0920200071
+:101730007800A01B09203F007800A01B11200000AA
+:10174000002105A20A707F0E7C006800A51B7E00A8
+:1017500071200000187084A00100C000AA1B7F0047
+:10176000082E71201000CA707F00C670C3700280FE
+:10177000712000001B700100912080407F007020CC
+:101780007F007800C11B7E107E007E129120002316
+:101790003C7F587E307C387D94A53F0084A4004077
+:1017A0004000D81B84A77C00C0009C2D7810A51B8E
+:1017B0009CA40F0082A304005000E01B7810A51B1E
+:1017C000078584A00F007900E51BEA1F9A20C0203E
+:1017D000E6226B25B325EA256526BF2644270B1C88
+:1017E000F51B531E1D1F4A25F51B7810A51B18005D
+:1017F000C81B7F12912001807F007F107C00037046
+:1018000000003F700000307005A04000091C3370DC
+:1018100000001800C81B5C7005A0C000B61CA070BA
+:1018200084A007007900141CD61C1C1C2A1C4B1C0D
+:10183000711C9D1C9B1C1C1C087884A0FDFF0A7851
+:101840000920460078101224C000281C03700400F0
+:101850007800F71B78105E2DC000491CB47007801B
+:101860009B787E00AA789B781000AB780C009B7860
+:101870006000AB7801005B7804000920F700781065
+:101880001024C000491C03700400C3700F003370A3
+:1018900070357800F71B78105E2DC0006F1CB47196
+:1018A00007819B787E00AA789B7810008CA10700A6
+:1018B0008DA1C000AA79AB7806009B786000AB7858
+:1018C00002005B7804000920F70078101024C000A3
+:1018D0006F1C03700400C3700F0033707035780004
+:1018E000F71B78105E2DC000991CB47107819B789E
+:1018F0007E00AA789B7810008CA107008DA1C00003
+:10190000AA79AB782000B871AA79AB780D009B78E2
+:101910006000AB7804005B7804000920F7007810C1
+:101920001024C000991C03700400C3700F003370B2
+:1019300070357800F71B78004B1C78105E2DC000C6
+:10194000F71BBC7068209B781000106F7810A12CDA
+:10195000502C106884A0070085A08000AA78186E1B
+:1019600041200100012004007800DE1D78105E2D6A
+:10197000C000F71B9B7810005C706820106F781017
+:10198000A12C502C086085A010000A60106884A06B
+:10199000070085A08000AA783120200041200100A6
+:1019A0007810C52D012003007800C91D1800C81B40
+:1019B000407485A400004000F01C80A080353020D9
+:1019C000447108812AA14800E71C09208035642160
+:1019D0000465FF85C000FD1C2184C000E11C467128
+:1019E000037000003F7000007800F71B4076B0A63F
+:1019F0008035447100267800EC1C46716825582516
+:101A00003E75502C346085A00000C000FA1C0867A9
+:101A1000367784A73F0140002F1D84A72100C00016
+:101A2000FA1C84A7020040001C1D84A7040040008B
+:101A3000FA1CBCA7FBFF0A6784A70800C000FA1CB9
+:101A400084A71000C000FA1C84A7000140002F1DCD
+:101A5000186005A0C000FA1CBCA7FFFE0A671F683B
+:101A60000000186E84A60E00186140003F1D1C6027
+:101A700002A14800421D4000421D7800F61CFF8173
+:101A8000C000F61C84A78000C000481D0C702260B6
+:101A9000BCA77FFF0A67106B078384A00F00038039
+:101AA0000380038080A00036602048204A700060D8
+:101AB0004E7004605270602A1800C81B9B7810009A
+:101AC00046A078105E2DC000F71B106B9CA307008A
+:101AD0009DA3C0004C7084A000804000731D84A6AC
+:101AE00001004000751D9CA3BFFF84A610004000AC
+:101AF0007B1D9DA32000AA7B408884A60E00C00009
+:101B0000861DBDA710000A677800C71D4C718CA107
+:101B100000084000022911202100048004804800B0
+:101B20009D1D11202200048048009D1D11202000D1
+:101B3000048048009D1D4000C71DAA7A4088781087
+:101B4000772D106A0C6108818CA1FF00E0A1803E16
+:101B5000642CFF8C4000BE1D106006A2C000A81DB2
+:101B6000B4600180B660C000A31D7E0C602A0860CE
+:101B700085A000010A607F0C7800D61C78105E2DCD
+:101B8000C000F71B602A0E61AA7940882E710120DF
+:101B900001007E00507184A118004000DD1D84A169
+:101BA00010004000D71D7810CC2AC000DD1D84A194
+:101BB00008004000DD1D7810E6297F0002708CA629
+:101BC0006000FF884000E61D8DA104005A79B269CB
+:101BD0009B7860000028AA789B786100146885A033
+:101BE00000801668AA787E157E137E14A1202C0131
+:101BF0009B7800000080AC8080AD0A009820A6533E
+:101C00007F147F137F15106807809B787E00AA7869
+:101C1000906DD67DDE7D946ED27EDA7E307884A0A3
+:101C2000C000C000151E98001D1E086084A0EFFFB4
+:101C30000A607810772D7800FF1B007284A20700DD
+:101C400086A00100C0002A1E1B7849007810772D5D
+:101C500078003B1EB06A95A200205A7A1B78490092
+:101C60007810772D0072002505A640003B1E84A247
+:101C700007007910491E80AD0800327084A2070069
+:101C800086A00100C000471E186000801A6078001E
+:101C9000F71B511EF030F030DF30F030511E511E76
+:101CA000511E7810A51B087884A0FDFF0A787E0FCE
+:101CB0007920003598787F0F84A001004000791EBC
+:101CC000A07086A00100C000681EA2707800011FED
+:101CD000A07086A00500C000771EBC706820176841
+:101CE0000400136800001C6885A008001E68A3702B
+:101CF00000007E1511200400A07186A101004000A3
+:101D00009B1E86A10700C0008B1E09202B350B20CF
+:101D1000050078009B1E0920133504210920123587
+:101D20000A2009202B350B200100A3700000A770AA
+:101D3000010078009D1EA37000007810C72EA92016
+:101D40001000392000007810A62BB8A70001700001
+:101D5000AB1E7800A31E007020207900AF1EDD1E90
+:101D6000C61EC61EB91EDD1EDD1EB71EB71E7810AC
+:101D7000A51B21205735042405A04000C61E06AD32
+:101D8000C000C61E006822207800D61E1C6884A0F1
+:101D90000100C000D21E106F7810A12C7810D92835
+:101DA0007800D61E5470602000680260166A1C68B5
+:101DB00085A008001E687810DD172120803F78106C
+:101DC000071F212057357810071FA9200000212068
+:101DD000803E7810071F20847000F01E7800E91EF6
+:101DE000A9208000612080361860106102A1126075
+:101DF0001B600000E0AC10007000001F7800F41EB3
+:101E00007F15037000003F7000007800F71B7E0410
+:101E1000042405A04000191F682000687E00166A8F
+:101E20001C6885A008001E687810DD177F00780008
+:101E3000091F7F04232000007C0082A203005000C1
+:101E4000231F7810A51B00237900261F291F9C1F24
+:101E5000AA1F82A2020040002F1F7810A51BA070AD
+:101E6000A3700000C37000007900361F3E1F3E1FA4
+:101E7000401F741F08293E1F741F3E1F7810A51BAA
+:101E8000B4777810A62BB477BCA7000F7810A12CDC
+:101E9000186005A040006B1F2120803F092004002E
+:101EA000112010007810C51F40006B1F7E15A9205F
+:101EB00000002120803E7E04092004001120100033
+:101EC0007810C51F7F0440006A1F208470006A1FBD
+:101ED00078005B1F7F15388784A70700C000461F66
+:101EE0007800FF1B7800FF1BB4777810A12C1860D6
+:101EF00005A040009A1F2120803F092005001120E5
+:101F000020007810C51F40009A1F7E15A9200000F0
+:101F10002120803E7E040920050011202000781039
+:101F2000C51F7F044000991F20847000991F78000E
+:101F30008A1F7F157800FF1B002279009F1FA21FB8
+:101F4000A41FA41F7810A51BA3700000A770010098
+:101F50007800F71B00227900AD1FB21FA41FB01F2D
+:101F60007810A51B78101F24007086A00100C00007
+:101F7000AF287810EF28086084A0EFFF0A6078107F
+:101F8000A2284000AF287800D61C042405A04000F9
+:101F9000E61F6820042D7E00106806A74000D41FAD
+:101FA000202D7F007800C61F7F00222016691C6844
+:101FB00005A21E687810DD171060018012600860AD
+:101FC00084A0EFFF0A607810EF287C0085A0010054
+:101FD0007800E51F00237900ED1FF21FF01F352068
+:101FE0007810A51BE47805A0D00015201800152056
+:101FF000082084A03000C00001201B784900780030
+:10200000F71BEC7884A003004000FD1F002184A092
+:10201000070079000B20232029201D201320582D94
+:10202000582D13202F207810A51B007005A040000C
+:10203000FF1B012003007800FA227810892B1B78FF
+:1020400055007800F71B7810892B1B78DC0078008E
+:10205000F71B7810892B1B78E3007800F71B7810AA
+:10206000892B1B789D007800F71B84A50F00C0000A
+:102070005F2078101F24007079003E2046205320F6
+:102080004620AF284820AF28462046207810A51BC0
+:10209000A071A370000086A10400C0005120780048
+:1020A00008297800AF287810EF28086084A0EFFF97
+:1020B0000A607810A2284000AF287800D61CE47887
+:1020C00005A0D000152018001520082084A030009D
+:1020D000C0006E201B7849007800F71BEC7884A0C4
+:1020E000030040006A20002184A1070079007820C5
+:1020F00088208E2082208020582D582D8020502D21
+:102100007810A51B7810912B1B7855007800F71BD1
+:102110007810912B1B78DC007800F71B7810912B3E
+:102120001B78E3007800F71B7810912B1B789D003B
+:102130007800F71B002379009D20A220A020A42076
+:102140007810A51B7800652617680800A3780000A2
+:10215000E47984A1300040006526EC7884A0030077
+:102160004000652684A107007900B620232029209D
+:102170001D20302D582D582DBE20502D7810A51B18
+:1021800082A205005000C6207810A51B002379000C
+:10219000C920CC20CE22DA2200227900CF20D42000
+:1021A000D620E920D420B3227810A51B9B781800F4
+:1021B000A87884A0FF0082A0200048006A2B8AA093
+:1021C0000400C8006A2B7900E5206A2B6A2B6A2B71
+:1021D0000C2B9B781800A87984A180004000FE2079
+:1021E00084A118004000FA2078006A2B007005A036
+:1021F000C000F420112003007800522784A1FF00C2
+:102200008AA01000C8006A2B790006211821162127
+:102210002E213021C2216A2B6A2BC4216A2B6A2B02
+:10222000AF22AF226A2B6A2B6A2BB1227810A51B32
+:1022300084A60010400025210120000300800080BA
+:102240003A781B789A007800F71B146884A0008005
+:1022500040002C21176803007800302D7810A51B52
+:102260001C691E6984A60018C0004A211C6884A04D
+:102270000100C0005221146886A00800C00042215D
+:102280001768000084A600044000BE211B78580097
+:102290007800F71B84A60010400052211B785800DC
+:1022A0007800F71B84A660004000BA2184A60008CD
+:1022B0004000BA2184A60080C000602178007A2105
+:1022C000B4A6FF7F5A7EB26E9B787400AC7AAC796C
+:1022D000AC781B80C8006D21008084A03F0008A15D
+:1022E00091A20000946B002102A3AE68906B0022C3
+:1022F00003A3AA6884A6004040008221B4A6FFBFC1
+:102300005A7EB26E007086A00300C0008F21781044
+:102310003A2F7810DF301B7867007800F71B06A093
+:1023200078109431AC6AA869946C906B002205A176
+:1023300040009E21002222A400211BA3D27CD67B38
+:10234000002305A4C000AC21B5A600405A7EB26EA1
+:102350001B7867007800F71B1B786700002215A127
+:10236000C000B6217810F0307800F71B78101D31CE
+:102370007800F71B1B786A007800F71B1B78580061
+:102380007800F71B7810A51B780021221C6984A116
+:1023900000014000DC218CA1FFFE1E697E0C48700C
+:1023A0006020006084A0FFEF0260046084A0F5FF5D
+:1023B00006607F0C7800102284A1000240001022E9
+:1023C0008CA1FFFD1E697E0C48706020006084A017
+:1023D000FFDF0260046084A0EFFF06600820482C45
+:1023E0007F0C84A108004000102278109D2C7810EA
+:1023F000E629FF88400010229B7860000028AA7818
+:10240000587EB5A604005A7E84A60004C0000C22A3
+:102410001B7855007800F71B1B7869007800F71BC4
+:10242000587E84A60004C00019221B78580078004A
+:10243000F71B1B786A007800F71B7800702B780078
+:10244000702B1920000090798CA1070040001F22FA
+:102450009B781000A87894A0FF0086A20100C0001D
+:1024600044220023A87C00A4182002A140003C22A2
+:1024700048003C2278003E227800C621A824A87A91
+:10248000F0003E2278002A2284A2F00086A02000DC
+:10249000C000A02218831883002302A14000542208
+:1024A0004800542278009D2286A2230040001F226B
+:1024B000186884A0F1FF1A68587E84A6F1FF85A0F1
+:1024C000100030205A7E086085A010000A607E0C43
+:1024D0004870602004600820482C7F0C84A1100004
+:1024E0004000782278109D2C7810CC2A7800872222
+:1024F0007E0C4870602004600820482C7F0C84A16A
+:1025000008004000102278109D2C7810E629FF88E2
+:10251000400010229B7860000028AA78B5A604002D
+:102520005A7E84A60004C00099221B7855007800CA
+:10253000F71B1B7869007800F71BA87A78002A221D
+:102540001883002302A14000A9224800A922780094
+:102550002A2284A28000C000762B7800702B78009D
+:10256000762B78006A2B9B781800A87884A0FF004F
+:102570008EA001004000BE227810A51BA87A94A26C
+:10258000FF00A87884A0FF008AA00400C8006A2B7E
+:102590007900CA226A2B39296A2B672A82A2000095
+:1025A000C000D4227810A51B7810892B1B786900F5
+:1025B0007800F71B82A20300C000E0227810A51B60
+:1025C0007810992B1B7869007800F71B82A2040011
+:1025D0005000EC227810A51B00237900EF22F22294
+:1025E000C923FA2386A203004000F8227810A51B15
+:1025F000012000003A70007084A0070079000023D9
+:1026000008230A230A2308253025D2240823082377
+:102610007810A51B84A60010C00012237810C72EC6
+:102620004000A32368788CA0FF0040005A2386A1B5
+:102630000800C00029237810EF28086084A0EFFF6D
+:102640000A607810A22840005A237810C72E78001C
+:10265000412386A12800C0005A237810C72E0860A5
+:1026600084A0EFFF0A60186005A0400041230180AC
+:102670001A6005A040004123018005A040004123CD
+:102680001E601C6884A001004000FF1B1C6884A021
+:10269000FEFF1E6854707E0C6020006802607F0C94
+:1026A0000460026805A0002DC00057230260066088
+:1026B0007800FF1B7E0178101F247F0184A600DFB5
+:1026C0001A6827680000106FFF814000A32386A1CD
+:1026D0000200C0009B2384A60008C000772384A6C4
+:1026E000600040007723D878DC7A2E682A6A178742
+:1026F00094A20F0013821382138290A2003690A23C
+:1027000000001C2284A30001C000882378008E23CF
+:102710001082042285A018001220118284A30004D4
+:1027200040009B239C6884A00001C0009B2378107C
+:1027300091247800FF1B86A118004000A32386A1E6
+:1027400014004000FF1B1269146884A00080400040
+:10275000AB23387016688CA600DF1A697810E02861
+:102760007810EF28C000B823086084A0EFFF0A604B
+:102770001C6884A00100C000C1237810D92878000B
+:10278000C52354706020006802607810DD1778005F
+:10279000FF1B82A204004800CF237810A51B002253
+:1027A0007900D223D623D823E523D8237810A51B7C
+:1027B000007086A005004000E1237810892B1B786B
+:1027C00069001B786A007800F71B90780780018009
+:1027D00084A0070080A018009A78A8798CA1FF0037
+:1027E00086A103004000F62378006A2B1B786A005C
+:1027F0007800F71B1C6885A004001E68FF82C000DB
+:1028000005247810892B78000C24118240000A24BA
+:102810007810A51B7810992B1B7869007800F71B9E
+:102820007810772D307884A0C000C0001C241800D8
+:102830001C241A7906A07C0085A001007C0084A6D7
+:102840006000C00029242F6800002B680000780079
+:10285000902484A60008C0003824B06884A00048F2
+:1028600035A684A60008C00038247810C72E7C0046
+:1028700084A6200040006224D0780380C80046244B
+:1028800006A078109431D4787810F93184A60040ED
+:10289000400050242F6800002B6800007800352489
+:1028A000B06884A0004835A684A60040C0004A2431
+:1028B000387005A0C0005C24D879DC7A2E692A6AB9
+:1028C0007800352484A6004040006C242F68000066
+:1028D0002B68000078003524B06884A0004835A635
+:1028E00084A60040C0006624387005A0C0007A2489
+:1028F0003B700700D879DC7AD078F380C800812457
+:10290000008084A03F0008A191A200002E692A6ADD
+:10291000002105A2C0008E2478003524781094315F
+:102920007C0084A3000240009924086085A0020076
+:102930000A6017680600286A2C693A6A3E692B68A3
+:1029400000032F6800003368002093680000976838
+:10295000200000707900AC24B424B624BF24B42431
+:10296000B424B424B424B4247810A51B1C6884A017
+:102970000100C000BF247810D9287800C524547005
+:10298000502C602000680260602A21205735042402
+:1029900005A04000CE2420207800C724222D6B20E3
+:1029A00000007C00B4777810A62BBCA7000F78102D
+:1029B000A12C186005A0400001257E0D0120903F4C
+:1029C00068207F0D2120803F092004001120100085
+:1029D0007810C51F400001257E15A9200000212088
+:1029E000803E7E0409200400112010007810C51FCD
+:1029F0007F04400000252084700000257800F12429
+:102A00007F15388784A70700C000D7247800FF1BF4
+:102A10007810E0287810EF28276800009B780E00D7
+:102A2000106F136802007810CA3184A600084000B5
+:102A30001D2518698DA100201A69146884A00080E2
+:102A40004000242517680000212057350068222007
+:102A5000386A3C692A6A2E697810DD177800FF1BF6
+:102A600078101F24276800009B780E00106F7810E4
+:102A70007C2D8CA0FF001269146884A000804000A7
+:102A80004325387016688CA600DF1A69A370000011
+:102A90007800FF1B06A07810C72E13680000176887
+:102AA00001008CA600DF1A69276800000070790019
+:102AB00059256125632563256525652565256125DE
+:102AC00061257810A51B7810EF28086084A0EFFF1F
+:102AD0000A607800BA28002379006E2571257325D5
+:102AE000B1257810A51B0070790076257E258025FC
+:102AF00080258B25802592257E257E257810A51B97
+:102B000084A60020C0008B25B5A600205A7E781030
+:102B1000F0307800302D146884A0008040009225A9
+:102B200017680700092018350C2186A10000400015
+:102B3000A72586A101004000AB2509202B350B20DD
+:102B40000B00A37001001B7846007800F71B1B7870
+:102B5000DD007800F71B09202B350B200A007800D8
+:102B6000F71B7810A51B00237900B625B925BB25D6
+:102B7000DE257810A51B00707900BE25C625C82566
+:102B8000C825D325C825DA25C625C6257810A51B56
+:102B900084A60020C000D325B5A600205A7E781058
+:102BA000F0307800302D146884A000804000DA25D1
+:102BB000176807001B78E4007800F71B1C6885A0E5
+:102BC00004001E68B5A600087810892B1B786900E0
+:102BD0007800F71B00237900ED25F025F225F42578
+:102BE0007810A51B7810A51B84A60004C00013262E
+:102BF0002B7809309B786000AB78000084A6FBFF3F
+:102C00005A78E47984A1200040000B26EC7884A057
+:102C10000300C0000F26012014007800FA2284A1CE
+:102C2000070079004B26907A94A207009B786000F9
+:102C3000A879FF81400049269B781000A87B84A3D7
+:102C40000100C0003A26A87BA87B86A30100C00033
+:102C50002D260920F7FF7800332686A30300C00045
+:102C60003A260920EFFF7E0C48706020046004A122
+:102C700006607F0C9B786000AB78000084A6FBFFA9
+:102C80005A782B7809301C698CA1FFFD8CA1FFFEBE
+:102C90001E697800302D2320292055265D265326D5
+:102CA00053265326302D7810A51B1C698CA1FFFDDF
+:102CB0008CA1FFFE1E697800382D1C698CA1FFFDD8
+:102CC0008CA1FFFE1E697800302DE47984A13000CC
+:102CD00040006F26EC7884A00300C00077261468BB
+:102CE00085A000801668012014007800FA2284A1D3
+:102CF000070079007B26302D302D8326302D582D6E
+:102D0000582D302D302D84A60004C000B4261C6838
+:102D100084A001004000382D8CA660208CA1FBFF10
+:102D20005A79B2699B786000AB7800009B786100AB
+:102D3000146885A000801668AA787E157E137E141C
+:102D4000A1202C019B7800000080AC8080AD0A009F
+:102D50009820A6537F147F137F15106807809B78F7
+:102D60007E00AA787800382D146884A00080400086
+:102D7000BB26176808001B78D8007800F71B0023D3
+:102D80007900C226C7264227C5267810A51B0070E9
+:102D900084A007007900CC26D426D626F226D42695
+:102DA000D426D224D426D4267810A51B1C698DA144
+:102DB00001001E690068066005A0C000E0260260F0
+:102DC000186884A00E004000EC261470B6682C71C0
+:102DD00088A1803E7800EE260920803F0421026809
+:102DE0000A2D5671B26E84A660004000402784A66A
+:102DF0000008C000042784A6FF7FB268906894682A
+:102E00007810C72E7800402784A62000400016279F
+:102E100006A078109431D0780380C8001227D478A7
+:102E20007810F931D879DC7A78001A277810AE2C2E
+:102E30007810943184A600804000402784A6FF7F4C
+:102E4000B2689B78740078107C2D102078107C2D4F
+:102E5000082084A62000C000382778107C2D1B8015
+:102E6000C8003327008084A03F0008A191A2000081
+:102E7000946B002102A3AE68906B002203A3AA68A2
+:102E80007800FF1B7800762B3370000082A20500CB
+:102E900050004C277810A51B002379004F2752279C
+:102EA0005C277F270022790055275A27762B5A273F
+:102EB000A827F9277810A51B007086A00100C00084
+:102EC00069277810EF287810C72E34700A607800D0
+:102ED0006E27007086A00300400063270370050082
+:102EE0000120903F68203E703270002279007827E0
+:102EF000762B7D27A8277D27762B7810A51B0070C1
+:102F000086A00100C0008C277810EF287810C72E0B
+:102F100034700A6078009127007086A0030040009A
+:102F20008627037005000120903F68203E703270B4
+:102F3000002279009B27A227A027A227A027A2274B
+:102F40007810A51B7810992B1B7869007800F71B67
+:102F5000007086A00100C000B5277810EF28781017
+:102F6000C72E34700A607800BA27007086A003006C
+:102F70004000AF2703700200807A94A2000F9B7874
+:102F80001800A87C84A4070015A26920803F042DA6
+:102F9000082D5671682005A04000D527106806A2AC
+:102FA0004000EE2700687800C82703700500012064
+:102FB000903F68203E7032707E15A9202F000320BC
+:102FC000000000807000E6277800DF277F15126A76
+:102FD000B36800071F68000823680300B06E5A7EBC
+:102FE0001C6884A0000C40004F287810912B7800BA
+:102FF0004F28007086A00100C00006287810EF2836
+:103000007810C72E34700A6078000B28007086A0F4
+:1030100003004000002803700200807A94A2000F91
+:103020009B781800A87C84A4070015A2A879A87929
+:103030008CA1FF00E8A1803E042D082D5671682068
+:1030400005A040002A28106806A240004328006816
+:1030500078001D28037005000120903F68203E7015
+:1030600032707E15A9202F00032000000080700020
+:103070003B28780034287F15126AB36800071F6860
+:10308000000823680300B06E5A7E1C6884A0000C00
+:1030900040004F2878108D2B587E78004F287E02F4
+:1030A000078284A00F0003800380038080A0003685
+:1030B00060204A7000604E700460527084A6600008
+:1030C00040008628946B906CA869AC6805A1C0008C
+:1030D0007428D27BDA7BD67CDE7CB4A6FFB75A7E1E
+:1030E0007810F03078008628AC681AA3002123A459
+:1030F000002405A340008628D27BDA7BD67CDE7CC8
+:10310000AC68B4A6FFBF5A7E78101D317F077810D7
+:10311000A12C09206A0084A60800400091280920FB
+:103120006900B5A600205A7E1A79002D3E700782EC
+:1031300084A00F0003800380038080A00036482015
+:103140007800F71B206005A04000AE2801802260B7
+:10315000086085A008000A60107026607C0006A048
+:103160007810C72E13680000176801001F68400020
+:103170001B680001007084A007007900BF28C728E1
+:10318000C928C928D528D128C728C728C728781012
+:10319000A51B7810E0287810D9287810DD17780062
+:1031A000FF1BA37000007800FF1B17680000780069
+:1031B0000825006805A0C000DE28026006607C00CB
+:1031C000106005A04000E9280180D000E9287810AF
+:1031D000A51B1260086084A0EFFF0A607C001860E5
+:1031E00005A04000F52801801A607C007810772D3A
+:1031F00017681800780026297810772D17681900AD
+:10320000780026297810772D17681A00780026296B
+:10321000B4777810A12CB8718CA1FF00E8A1803E92
+:10322000042D082D682005A0C00018297800FF1B78
+:103230001068B47206A240002029006878001129A5
+:1032400000680A2017680500BF7000007810E028A9
+:103250001C6884A00100C0002F297810D92878109C
+:10326000EF281B6800001F6820007810DD17780029
+:10327000FF1B82A20300C0006A2BA87DACA5FF0043
+:10328000A87EB4A6FF001C698DA180001E6984A1E0
+:103290000001400099298CA1FFFE1E69B4A6FF0021
+:1032A0004000832982A60F0048005A2940005A296D
+:1032B00031200F002B852B857810242C40006429A9
+:1032C0007810332A78008C297810DF2B7E0C602947
+:1032D000046084A0F5FF06607810572A7F0C1C69F3
+:1032E0008DA100011E69587EB5A604005A7E84A6F1
+:1032F0000004C0007F291B7855007800F71B1B785D
+:1033000069007800F71B7E0C6029046084A0F5FF3B
+:1033100006607810572A7F0C587E84A60004C000EF
+:1033200095291B7858007800F71B1B786A007800F5
+:10333000F71B7E0C4870602000618CA100104000DB
+:10334000D9290862178294A2FF0082A20F004800C8
+:10335000AD294000AD2911200F00002602A2C800AF
+:10336000B2293022086294A2FF00187086A02800BB
+:10337000C000C22982A21900C800C8291120190062
+:103380007800C82982A20C00C800C82911200C00AE
+:10339000002202A5C800CD2928227810E32B2B8516
+:1033A0002B857810242C4000D9297810332A7800F6
+:1033B000DD297810DF2B7810572A587885A0040073
+:1033C0005A787F0C1B7869007800F71B7E0C602907
+:1033D000006084A00010C000012A106084A00F00CB
+:1033E000C000FB298CA10200C000FB298CA1F5FFC5
+:1033F00006617F0C7C00112032001920000078004B
+:10340000232A086294A2FF00187086A02800C0003A
+:10341000112A82A21900C800172A11201900780069
+:10342000172A82A20C00C800172A11200C0008637A
+:103430001F839CA3FF0082A30F004800232A4000A3
+:10344000232A19200F00AB780100AB780300AB787A
+:103450000100AA7AAA7BC0A805001C6885A000010B
+:103460001E687F0C7C007E0C48716021082084A0BF
+:10347000F0FF35A6867E18609A78AE7E1266A47834
+:1034800084A0F8FF8CA1070005A1A67816608A78B1
+:10349000B4A60F0037860482048084A0FF0005A62E
+:1034A0000E60046084A0F5FF06607F0C7C007E0C3B
+:1034B0004870602018609A78A47884A0F0FFA678FD
+:1034C0001260847884A0F0FF86787F0C7C0082A252
+:1034D0000200C0006A2BA87A1C698DA180001E69B9
+:1034E00084A100024000AC2A8CA1FFFD1E6994A2B9
+:1034F000FF0082A20200C8006A2B7810F32A78101D
+:10350000572A80A901000C2078109D2C7810E629FC
+:10351000FF8840009F2A9B7860000028AA78587E88
+:10352000B5A604005A7E84A60004C0009B2A1B781E
+:1035300055007800F71B1B7869007800F71B587E50
+:1035400084A60004C000A82A1B7858007800F71B46
+:103550001B786A007800F71B82A20200C800B42A18
+:1035600084A201004000BE2A487188A100000C21FD
+:103570008CA10020C000BE2A112000007810D12BA1
+:103580007810F32A7810572A587885A004005A78C2
+:103590001B7869007800F71B7E0C7E0260290060B2
+:1035A0001120010084A00020C000E32A146084A040
+:1035B0004000C000E12A8CA1EFFF066106A0780060
+:1035C000F02A11200000AB780100AB780200AB7844
+:1035D0000300AA7AC0A804001C6885A000021E6827
+:1035E0007F027F0C7C007E0C48706020FF824000D0
+:1035F000FB2A11204000186080A002009A78A4786D
+:1036000084A0BFFF05A2A67816608A78046084A013
+:10361000EFFF06607F0C7C007E00007086A0030038
+:103620004000152B7F007800182B7F007800662B58
+:1036300084A620004000662B887884A040004000CB
+:10364000662BA87801804000252BB87B84A33F001F
+:103650001B83C8002C2B008005A040004D2B1B8332
+:10366000C800352B01804000622B06A078109431F1
+:10367000B4787810F9317800662B84A600404000B9
+:103680004D2BB8781B80C800462B008084A03F00DB
+:10369000C000622BB4A6FFBF5A7ED879DC7A012025
+:1036A000010008A1C800562B91A20000D279DA7956
+:1036B000D67ADE7A781094311B78670078105E3005
+:1036C0007800F71B1B7867007800F71B1B786A00EF
+:1036D0007800F71B78109D2B1B7869007800F71B8A
+:1036E0007810892B1B7869007800F71B236802008B
+:1036F0007810912B1C698DA120001E69146884A08C
+:1037000000804000852B176805001B786900780051
+:10371000F71B0120050078009F2B01200C0078008A
+:103720009F2B0120060078009F2B01200D007800C0
+:103730009F2B0120090078009F2B012007009B7818
+:103740007F00AA78B5A608005A7E7C007E073F87D6
+:10375000BCA70F003B873B870387E0A00036B8A7D4
+:1037600020009A7FA47984A10F004000BF2B84A180
+:10377000F0FFA6781260046085A008000660388714
+:1037800038879A7FA47984A140004000CF2B84A180
+:10379000BFFFA6781660046085A0100006607F0752
+:1037A0007C009B781000AB780100AB780200AB780E
+:1037B0000300AA7A9B786000AB7804007C0031207B
+:1037C0000000292032009B781000AB780100AB7814
+:1037D0000300AB780100AA7DAA7E9B786000AB78DD
+:1037E00005007C007E15078084A0FF000380038015
+:1037F00080A020009A78A4798CA1F0FF01204635A2
+:10380000042082A0280040000D2C2120842C1920A7
+:103810001400A9200C007800132C2120902C1920D2
+:103820001900A9200D0011206400042484A0F0FFD9
+:1038300006A14000222C2084002310A27000222C1C
+:103840007800152C7F157C007E151120463514223A
+:1038500082A232004800382C40003C2C2120762CDB
+:1038600019201100A9200E001120320078004C2CE4
+:1038700082A228004000442C2120842C192014000E
+:10388000A9200C0078004A2C2120902C1920190026
+:10389000A9200D0011206400002202A540005C2C2C
+:1038A00048005C2C2084002310A27000592C780062
+:1038B0004C2C7F1506A07C007F1582A56400C800F3
+:1038C000652C087885A070000A78EC7884A0000345
+:1038D0004000732C04249EA00112C000732C012010
+:1038E00001217800742C042405A07C000112023010
+:1038F0000232034203440454045605660568067800
+:10390000067A070A070C070E0232024202520262CE
+:103910000272056605760578057A057C057E057FC9
+:1039200002220232024202520454046404740476F5
+:103930000478047A047C047E047F9B78100046A0FF
+:103940007C0084A7000F0C8084A7070003800380FD
+:103950000380038005A1E0A080367C00D879DC7A62
+:10396000D0781B80C800B52C008084A03F0008A13F
+:1039700091A200007C007E0F7920000109204035D3
+:103980009120008004217900C52CF72CCF2CCF2C5E
+:10399000CF2CCF2CCF2CCD2CCD2C7810A51B4B7839
+:1039A0000400487884A00400C000D12C4B780800A3
+:1039B000487884A00800C000D82CB06885A00040DA
+:1039C000B268587885A000405A78307884A080008A
+:1039D000C000F72C1800F72C186884A02000C00045
+:1039E000F52C1B78DD007800F72C1B78E400912083
+:1039F00001807F0F7C007E0C1068078084A00F0080
+:103A0000038003800380E0A00036046084A00A00E5
+:103A1000C0002E2D086194A100FF40002E2D8CA126
+:103A2000FF000120190006A140001D2D01203200D9
+:103A300006A14000212D7800252D092020007800C6
+:103A4000272D09203F007800272D1120000000219C
+:103A500005A20A60046085A0020006607F0C7C005D
+:103A60001B786A007800F71B1B7869007800F71B49
+:103A70001B7858007800F71B1B7855007800F71B5F
+:103A80001B78DD007800F71B1B78DC007800F71B43
+:103A90001B78E4007800F71B1B78E3007800F71B25
+:103AA0001B789E007800F71B1B789D007800F71BA1
+:103AB000A37001001B7846007800F71B7E00307869
+:103AC00084A0C000C000752D087884A0FDFF0A788E
+:103AD0000500050005000500EC7884A021004000E9
+:103AE000752D087885A002000A787F007C00087890
+:103AF00085A002000A787C00307884A04000C000D5
+:103B00007C2D9800852DAC787C00087884A0FDFF82
+:103B10000A780500050005000500EC7884A0210066
+:103B20004000942D9800922DAC787E00087885A0F6
+:103B300002000A787F007C0084A770004000A82D56
+:103B40007E0C602D682F78106B1B782D682C7F0CF5
+:103B500017680300587884A0003F1A682F68000097
+:103B60002B6800004B780800E47805A0D0001520F1
+:103B700084A0200040001520EC7884A003004000C1
+:103B80001520180015207800702B7E0C1068078017
+:103B900084A00F0003800380038080A00036602093
+:103BA00048204A7000604E70046052707F0C7C00A8
+:103BB0002000200000002000000020000000200065
+:103BC0000000200000002000000020000000200075
+:103BD0000000200000002000000020000000200065
+:103BE0000000200000002000000020000000200055
+:103BF000000020006200090014001400479814001F
+:103C00001400F598E798140014008000BF0000012C
+:103C10000204082080F80AA214000B300CA2140041
+:103C200000A238887E812A84A08406383988C22878
+:103C3000C39C05A864083BA80830C128C39C01A206
+:103C40000C30472861816A840080A48456183A8821
+:103C500008A8E228A09CF3A8640829A80C3001A8B1
+:103C60000830E128A09C0D2804A2C064A067C06FA2
+:103C700014183B882370768577860FA86E783E8867
+:103C80000CA82B2805A2A064A067C06F14183B885D
+:103C900023707685778601A83E886920C128C39C59
+:103CA00044200321A2208120DCA807A2140003A243
+:103CB0000080A884A48572189A843C88E21F01F6CB
+:103CC00008A26E856F8604070830A09C140002A22B
+:103CD0000080A4850930A884E21948F87481EB8635
+:103CE000EB852E87A9873F88E608F1A861F8E8A848
+:103CF00001F8140081F81600B285F0803295A2FA1E
+:103D0000E21D1400328521F21400E21DA884E0D6E1
+:103D1000E61F140006A265687F812A84C11D2388DE
+:103D2000160042600880FAA80080A48460812A847A
+:103D300021F00830A884C61DD720228816000080F4
+:103D400048281110FCA80830008000A0022811109B
+:103D5000FDA887A808303D281110FDA809A217006A
+:103D60000C300080A485E21DC1DA1400E0263A87F9
+:103D7000A2FAF219E21F14000BA214000DA27E8118
+:103D80002A84A08406381002CD9C040700007E120D
+:103D9000912000224920C72E0070047205A20C72E7
+:103DA00015A2087084A0FDFF05A24000D92E78005E
+:103DB000DE2E037000007F1200207C00007084A0C3
+:103DC0000100C0000C2F08710481C800EB2E781090
+:103DD000A82F7800E32E0C708CA07F0040000C2FE1
+:103DE00004700480C800032F147005A0C000FF2ECB
+:103DF000107005A04000032F02A1C800E32E077039
+:103E0000100078000C2FFF8A40000C2F78106B31C7
+:103E1000C000062F4000E32E7810562F03700000DC
+:103E20007F1200207C002464FF844000302F702C1F
+:103E30003920352F042768AE0C6830A6086829A5FC
+:103E400021844000302F3887042705A0C0001B2F95
+:103E5000987075A04000302F3920322F78001A2F2B
+:103E60007C000000040008000C0010001400180082
+:103E70001C0000007E129120002279200035712064
+:103E8000100007700A000770020003700000712024
+:103E9000200007700A00077002000370000049202C
+:103EA0000000B37800007F1200207C004920562FCC
+:103EB00004700480C800822F077012000871087017
+:103EC00006A1C0005E2F84A1300040006B2F86A0A9
+:103ED0003000C0005E2F007084A00100C000822F5F
+:103EE000087084A00C00C000802F0C7184A1000316
+:103EF000C000802F84A17F00C000562F7800822F41
+:103F0000176803000770120007700800047084A08F
+:103F10000800C000862F0770120008710481480055
+:103F20008B2FB378000003700000492000007C0054
+:103F30007E107E007E127E1591200022087178107E
+:103F4000A82F7F157F12912001807F007F107C00B9
+:103F50000472182108710C7084A00003C000EA2FBD
+:103F600084A10C00C000EA2F1382138213821382F3
+:103F700084A200010DA10B810B810F8184A1070098
+:103F80007900C22FCC2FDC2FEA2FDC2FFE2FFE2F43
+:103F9000EA2FFC2F7810A51B07700200FF8AC000D3
+:103FA000D52F492000007800D92F78106B31C00040
+:103FB000D52FB37800007C0007700200FF8AC00094
+:103FC000E32F7800E72F78106B31C000E32FB37830
+:103FD00000007C00077002007810562F7810BB2C70
+:103FE000146884A000804000F72F176802007C004E
+:103FF0007810A51B7810A51B781050301072147122
+:104000000C709CA07F00002800A311A289A10000D1
+:10401000B07805A040001030B3780000780033304D
+:10402000781050300427582C60AC0C63002222A377
+:10403000086300211BA3002405A340002930C80009
+:104040002930128410820A8389A10000602B780035
+:104050001030602B078ABAA7322F3DA7002C826848
+:10406000866F8E6C8A6B077012007810562F7C005A
+:104070003887042705A0C0004430986005A04000A0
+:104080004D3060203920322F518A40004C3008706A
+:1040900084A0C00086A0C0007C00512000007C00ED
+:1040A000508A3987042704A0C0005D303920382F9A
+:1040B000006064A0C0005D30602D7C007E127E0D2B
+:1040C000912000227F0D806860208468886B8C6C52
+:1040D0005780D4AAFF0084A0FF00B8A0322F087E2A
+:1040E000B5A60C00186884A0400040007930B5A641
+:1040F00001007E0F7920000158787F0F84A04000D6
+:104100004000883084A60100C0008830B5A60100B8
+:1041100007700400047084A00400C0008A3000709E
+:1041200005A0400095307810A51B002405A3C00011
+:104130009B307800D830582C042760AC046000A471
+:104140007E001A70006001A31E700920FD04042186
+:1041500086A0FD047F00C000C83084A0010040009C
+:10416000C83084A60100C000C83013700100177069
+:104170000000027607700100B3780100A0A40100DE
+:1041800099A30000046000A41A70006001A31E70CF
+:104190000C62002402A212700862002303A21670AF
+:1041A000027607700100602B781038307800DA3022
+:1041B00078106B31C000D8307F1200207C007E1256
+:1041C0007E0D912000227F0D07700400047084A0F2
+:1041D0000400C000E630037008007F1200207C005D
+:1041E0007E127E0D912000227F0D4920F030077055
+:1041F0000400047084A00400C000F930007005A021
+:10420000400004317810A51B087EB5A60C00186884
+:1042100084A0400040000E31B5A60100246805A02E
+:1042200040001A3150203920352F602D78106B3125
+:10423000C00016317F1200207C007E127E007E01BD
+:104240007E0D912000227F0D7F037F04087EB5A69E
+:104250000C00186884A0400040003031B5A6010071
+:1042600049201D31246855A040006831702D602E12
+:104270003920352F042768AE0C6822A408681BA3D8
+:1042800048005531518AC00047317810A51B388746
+:10429000042705A0C0003B31987075A06020400045
+:1042A00068313920322F78003A31228420841A83F1
+:1042B00099A300000C69002422A1086900231BA116
+:1042C000C80064317810A51B712020007800883068
+:1042D0007F1200207C00087084A0C00086A0C0006F
+:1042E00040009331042708AC04211E70088104218A
+:1042F0001A700881042116700881042112707E0F43
+:104300007920000158787F0F84A0400040008E3152
+:1043100084A60100C0008E31B5A6010002760770A8
+:104320000100781038307C007E127E007E0D9120D6
+:104330000022492094317F0D7F08087184A1C000BC
+:10434000C000AA31246805A04000BA317800DE2EF2
+:104350007800BA3108710481C800B2317810A82FF2
+:1043600078009D310770100008710481C800B431D5
+:104370007810A82F087086A00200C0009D31007040
+:1043800005A0C0009D3103700000492000007F128D
+:1043900000207C007E127E147E137E157E0D9120FF
+:1043A00000227F0D4920CA3180AD1000A020992045
+:1043B00031000C7084A07F00266807700800077029
+:1043C0000200037001004000E8310080AC80A5537A
+:1043D00007700400047084A00400C000EA31492082
+:1043E0000000037000007F157F137F147F120020F0
+:1043F0007C007E127E007E0D912000227F0D4920E0
+:10440000F931806860208468886B8C6C5780D4AAEE
+:10441000FF0084A0FF00B8A0322F087EB5A60400DC
+:1044200007700400047084A00400C0001232582CED
+:10443000042760AC046000A41A70006001A31E7021
+:1044400013700100177000000276077001007F00F2
+:104450000780092031000A20A0002C320871077063
+:1044600002000C81C8002C320C81480039327800DF
+:10447000EA2FA0A4010099A300008A6B8E6C07703C
+:10448000040049200000037000007F1200207C001F
+:10449000A920100006A0048086808E81C8005132B9
+:1044A00000A2F0004C3286808E817C007E15A9200F
+:1044B000100005A0400077321AA1C800773213829D
+:1044C0008D8148006A321AA1C8006B32F0005F3259
+:1044D00078006F321AA108231082F0005F327E004C
+:1044E000003284A0FFF780207F007F157C007E00D3
+:1044F000003285A0000878007332E000BF329120BE
+:104500000060207801802278C000B93224782278B7
+:104510009120008069204035006884A00700400099
+:10452000A13286A002004000A13230680DA04000F8
+:10453000A132042105A04000A13201800A204000E0
+:104540006F3361208036A9208000346005A04000D0
+:10455000B33201803660C000B332106005A0400065
+:10456000B3327810191AE0AC10007000B93278003C
+:10457000A5327810D4327810C2327810F9329120F6
+:1045800001807C003C7801803E78C000D3324078C6
+:104590003E78487805A04000D33201804A78C000B8
+:1045A000D3327810191A7C00347801803678C00034
+:1045B000F8323878367891200080447805A0C00021
+:1045C000E332012001010180467880A0803E402036
+:1045D000042065A04000F832206005A04000F432BD
+:1045E00001802260400028330060402C7800E932CE
+:1045F0007C00287801802A78C00027332C782A781C
+:10460000307805A0C0000633012080000180327898
+:10461000038003800380038090A0803698A202006C
+:10462000042384A008004000273390A2090004223C
+:1046300005A040001F3301801220C000273304234F
+:1046400084A0F7FF85A080001A207810191A7C003A
+:1046500069204035006805A0400032333C6806AC54
+:1046600040006F3317600600B06084A0003F1A60FE
+:104670001C6084A0FF0085A060001E6000604220D6
+:104680001067B66F78109216186805A040004A337C
+:1046900001801A68086884A0EFFF0A68106801802A
+:1046A000D00054337810A51B12682F6000002B60D7
+:1046B0000000682C7810DD176920403501200600C5
+:1046C000A268447984A10001C0006A33BA6901205C
+:1046D0000400A2687810141A912001807C0009203F
+:1046E0004F3564216920000178106B1B17600600AC
+:1046F000586884A0003F1A601C6084A0FF0085A059
+:1047000048001E602F6000002B600000306884A00D
+:1047100040004000AB334B680400A92014004868F7
+:1047200084A00400400098337000983378008F33E1
+:104730004B680900A9201400486884A001004000CB
+:10474000A5337000A53378009C33A920FA007000CF
+:10475000AB337800A733086884A0FDFF0A681B68A4
+:104760004600092068350B2007004C784A789120D4
+:1047700001807C0079200035781003347810CB3329
+:104780007810E0337810F533337800004778000074
+:104790004B7800007C0019200A00112046350422C5
+:1047A00086A032004000DD3319200C00042286A0D0
+:1047B0003C004000DD33192008002A7B2E7B7C0062
+:1047C0001920300011204635042286A03200400016
+:1047D000F23319203900042286A03C004000F23355
+:1047E00019202700367B3A7B7C0019200D00112010
+:1047F0004635042286A03C004000003419200A00FF
+:104800003E7B427B7C001920AF2F112046350422CD
+:1048100086A032004000153419207139042286A088
+:104820003C004000153419202626227B267B7C0084
+:02483000A7924D
+:00000001FF
+/* Version 1.31.00 ISP1000 Initiator RISC firmware */
diff --git a/firmware/slicoss/gbdownload.sys.ihex b/firmware/slicoss/gbdownload.sys.ihex
new file mode 100644
index 0000000..dc17e63
--- /dev/null
+++ b/firmware/slicoss/gbdownload.sys.ihex
@@ -0,0 +1,6148 @@
+:10000000020000000080000000000100000000006D
+:10001000008000001200004081B200001800004083
+:1000200081B200001E00004081B2000003000040C9
+:1000300081B20000000000A898B001000480A24036
+:10004000FD7F00000900A249DD7D00000000004C9A
+:1000500080B2010007000040D1B100000000004C58
+:1000600080B201000900A240757D000060000040E0
+:10007000619901000B00A8B17E3100000900004029
+:1000800081B200001100004081B2000000801FE931
+:1000900018310000000041E980B201000F0040E982
+:1000A00080B2000000000040A59901001600294020
+:1000B00081320000160014BC803200000F0093BC97
+:1000C000803200000000504081B2010000800040FA
+:1000D00081B2000010000040A59901001C002940D9
+:1000E000813200001C0014BC80320000110093BC5F
+:1000F000803200000000504081B2010001800040C9
+:1001000081B2000020000040A59901002200294092
+:1001100081320000220014BC803200000E0093BC2B
+:100120008032000000000049DD8101002B01004009
+:10013000813201003C01004081320100270014BCE3
+:1001400080320000140113BC80320000549500403E
+:1001500045990100FFFF0040E599010000002F4094
+:1001600049B1010000000040E1B101000000004B76
+:10017000B7B3010000000040B5B30100D900004052
+:10018000B333010000000040B6D30100320095E80F
+:1001900080320000FFFF00E880880100B8002640A0
+:1001A0008132000000000040FDB30100000000406B
+:1001B000FFB301003C002250836C000000000045AA
+:1001C000FD930100A5A500A6B4A701003C00A25024
+:1001D000B573000000010040813201003C00A245DF
+:1001E0008032000000000046FD9301004100004005
+:1001F00081B200007F000020F5CF01001C0100FA51
+:10020000B3330100A5A500DAB5AB01009900A250F7
+:10021000B563000000000044FD930100D5000044D8
+:10022000B333010000000040D5990100000000DA5E
+:10023000D7B10100FFFF00DAED8B0100D5000046C9
+:10024000B333010008000040D5990100000000DA36
+:10025000D7B10100FF0000DAEF8B0100FF0000DAE8
+:10026000E38F0100D5000048B33301003C0000409B
+:10027000D5990100FF0000DAD78D0100FFFF00DAF9
+:10028000F1DB0100FF0000DAE98B0100000000480B
+:10029000E9E30100D500004BB33301002C0000401E
+:1002A000D5990100000000DAD7B10100D500004C5B
+:1002B000B3330100FFFF00DAEBDB0100D500004E95
+:1002C000B3330100030000DA818801000000005C04
+:1002D00081E00100FFFF00DAB5DB01005C00264091
+:1002E00081320000010000DAB5CF010000F000A764
+:1002F000B4870100000000DA819401000000004092
+:10030000D8B10100D5000050B3330100FFFF00DA7F
+:10031000B58B01006200264CB5630000010000DAD5
+:10032000B5CF0100000000DADFB10100D5000052B6
+:10033000B3330100FF0000DA4B890100080000DA46
+:10034000DFF70100FF0000EFDF8B010069002240B2
+:10035000DF7F000000000047FD9301002000004007
+:10036000B39B0100D500004081320100060000402F
+:10037000D5990100080000DAD7E50100F80000DA9D
+:10038000B38B010034000040D5990100000000D972
+:10039000D7B10100020000D9D5C90100000000DA80
+:1003A000D7B1010022000040B39B0100D5000040FE
+:1003B0008132010000000048B5F30100030000DABB
+:1003C0007B89010000010040DD9B0100D500005D3C
+:1003D000B3330100FFFF00DAE78B01008A002640FB
+:1003E0008132000000000041FD9301000000005038
+:1003F000E7E3010000010040D5990100000000F68C
+:10040000E7970100000000F3D7B10100D500005EBE
+:10041000B3330100FF0000DAE58B01000000004863
+:10042000E5E3010008010040D5990100FF0000DA72
+:10043000B58F0100000000F7B5970100000000DA59
+:10044000D7B101003C010040D5990100000000F83F
+:10045000E5970100000000F2D7B101000002004062
+:10046000DD9B0100960022F5813200000000004271
+:10047000FD930100000000EED5B10100000000F680
+:10048000EB970100000000F5D7B10100080000EA79
+:10049000D4C90100000000F7E3970100000000F15B
+:1004A000D7B101003C0000EEDDCB0100000000EE02
+:1004B000D5B10100000000F8E9970100000000F448
+:1004C000D7B10100D500004AB3330100FFFF00DAC5
+:1004D000DD890100B700004081B20000000000404B
+:1004E000D5990100050000A6D6B101009A1300EBD2
+:1004F000D699010008000040D5990100000200A62D
+:10050000D6B10100010000EBD69901002C0000409B
+:10051000D5990100050000A6D6B101009A1300EBA1
+:10052000D69901003C010040D5990100000200402D
+:10053000D799010000000042FD9301003C000040FB
+:10054000D5990100000000A6D6B10100000100EB22
+:10055000D699010000010040D5990100060000A6CF
+:10056000D6B101009A1300EBD699010008010040B2
+:10057000D5990100000200A6D6B10100010000EBF0
+:10058000D699010000000040D9B1010000000040F0
+:10059000DFB1010006000040D5990100A00000A6CF
+:1005A000D6B10100640000404B99010000000040FA
+:1005B0007B99010002040040DD990100B70013BCE3
+:1005C0008032000002080040DD9901000000004C6C
+:1005D000DD910100B80095E88430000000002FE9AB
+:1005E000FAB3010000000040D1B10100FF00004259
+:1005F000808801003400004080CE0100B800A64091
+:1006000081320000C100004081320100028022409E
+:1006100080320000B800004081B200000000004FAE
+:1006200081B00100CA0009F981320000C80008F950
+:1006300081320000D4001FFDF9330000C7009EFD89
+:10064000813200000000004AF3930100000080485E
+:10065000F3930100000000FDF7B3010000008049A2
+:10066000F3930100000000FC19B10100CF000AF96A
+:1006700081320000000040FB81B20100000041FD1A
+:1006800081B20100000780F9F38F0100000742F9F1
+:10069000F38F0100D300A2FFF76F0000000043407A
+:1006A00081B201000000A2FFFBEF0000000080FC0F
+:1006B000E1B101000000804081B00100D80006FED9
+:1006C0008132000000000041B3E301001C0100FA88
+:1006D000B3C30000DA0000428DB00000000000410A
+:1006E0008DB001000004004083980100EB00004041
+:1006F000813201000000005083B0010000008496A8
+:1007000080B2000026010040813201002501004036
+:100710002D110100000000402D810100000000DAD1
+:10072000B5EB0100E400849680320000E500004053
+:10073000B593000000000040B5830100DE00A24137
+:1007400083500000000000422D810100260100417D
+:100750002D01010000000041B3C30100DA00A241F5
+:100760008D500000000080DAB5BF01000000004B92
+:1007700081B00100000000DB81D00100000000D941
+:10078000B9B3010000000040B8E30100000000DC44
+:10079000B9EB010000000041B8970100150000DC32
+:1007A000B9E70100000000412D810100000000DBDD
+:1007B00081B00100270100422D11010025010040F8
+:1007C0002D110100280100402D0101000000004111
+:1007D0002D910100260100408132010025010040D9
+:1007E0002D110100000000402D8101000000A241F8
+:1007F00081D000000000849680320100FF00A0DC60
+:10080000B96B0000F80000412D910000F800004194
+:100810002D810000D8000040B3330100000090DAC1
+:100820008BB000001100004588F401004000004436
+:1008300080CE01000000A44081B200000000A3446B
+:1008400089EC00000000004289D001000000004255
+:1008500087B00100D9000043B2330100000000500E
+:10086000B5F301000C01A0DA8B400000000000414C
+:100870008BC001000000004187C001000801A241B7
+:1008800089500000FFFF00458888010010000045E6
+:100890008AF40100120190448A40000000000041E7
+:1008A0008BC00100FFFF00458AA8010000008050B6
+:1008B0008BE0010000800040F99B010000C0004077
+:1008C000B3CF01001C0100FC193101001C0140DA0A
+:1008D00081320100000041DA81B2010000000041D4
+:1008E000F9C3010016019FDA813200000280004046
+:1008F00081B200000000004491B00100000000D966
+:100900002BB101001E019F9480320000180000945A
+:1009100092E4010000000048B5F301000000004926
+:10092000B497010000000041B3C301001D01A241C2
+:1009300091500000000080402BB1010029010051BE
+:1009400093B000002901004D93B000002901004937
+:1009500093B000000000004293B001002901A241C1
+:10096000935000000000804081B201000000104060
+:1009700081B201000000114081B20100000012406C
+:1009800081B201000000134081B201000000144058
+:1009900081B201000000154081B201000000164044
+:1009A00081B201000000174081B201000000184030
+:1009B00081B201000000194081B2010000001A401C
+:1009C00081B2010000001B4081B2010000001C4008
+:1009D00081B2010000001D4081B2010000001E40F4
+:1009E00081B2010000001F4081B201000000804080
+:1009F00081B2010000040040A199010000000050F4
+:100A0000A1D10100000000401BB001000000004027
+:100A100019B001000000004017B0010000000040C4
+:100A200015B001000000004013B0010000000040BC
+:100A300011B00100000000400FB0010000000040B4
+:100A40000DB00100000000400BB0010000000040AC
+:100A500009B001000000004007B0010000000040A4
+:100A600005B001000000004003B00100000000409C
+:100A700001B0010044012048A15100000000804065
+:100A800081B201005001224B747D000000008040C3
+:100A900081B201006000004B60990100000000B1CC
+:100AA0007EB101005101A840813200004E0100409A
+:100AB00081B20000040080409798010000000058B7
+:100AC00007900100F39F004081B200000000004445
+:100AD000A5B30100AF02004081320100C502004011
+:100AE000813201000000005C07900100F39F00408C
+:100AF000BFB300005F0122CC857F000000000051E1
+:100B000007900100F39F004081B200000000004008
+:100B100049B10100AE0300CBA3C90100D0140040CD
+:100B2000A19B01000000002046B101000000004828
+:100B3000F1B10100000000D0F1B10100000000CAD5
+:100B4000F1B10100000000D5E1B101000700004053
+:100B5000619901002000002062DD01006801A840C9
+:100B600081320000000000CC85930100C5020040E6
+:100B700081320100D014004043990100000000FAC6
+:100B8000BAB30100000000FAA4B30100000000F8AD
+:100B9000BCB3010000142F4081B00100000000E749
+:100BA000A7B30100000000D8A9B30100FF0000DDD9
+:100BB000818801000200004080F4010078010040BB
+:100BC00080C80100880100DD813200000000004083
+:100BD00010B100008901004081B200008A0100408C
+:100BE00081B200008B01004081B200008C01004006
+:100BF00081B200008D01004081B200008F010040F1
+:100C000081B200009101004081B200005501004016
+:100C100081B20000D201004081B2000055010040C5
+:100C200081B20000E001004081B20000E10100401B
+:100C300081B200007F02004081B2000080020040CB
+:100C400081B20000F19F004081B20000F29F00409D
+:100C500081B200007701004181C01A005A01514061
+:100C600081B21A005A01524081B21A005A0155400D
+:100C700081B21A005A01564081B21A005501918181
+:100C800080301A005A01454081B21A005501918204
+:100C900080301A005A01464081B200000000004036
+:100CA00089B0010000002F4081B001000014004015
+:100CB00049990100B50122DEE16D00000000004C01
+:100CC00049C101000000004181C001009401A2441B
+:100CD000816C00000000004C49D101009C012240C1
+:100CE000E16D00009801A2418150000055010041D2
+:100CF000BFB3000000000042BFB301005501A00FC8
+:100D0000BD6F0000000000DEE1B101000000004402
+:100D100049C10100B701004019990100000042409B
+:100D200081B20100000043FF85B00100000000DE39
+:100D300019B10100000042FF87B00100000043FF2D
+:100D4000E1B101000000004449C1010000002FFF93
+:100D5000E1B10100081400A480CC0100AC012640E0
+:100D6000813200000000004185C00100AA01A24CB0
+:100D700081500000B60122D281320000B10122412F
+:100D8000A56F00005501A2E081320000000000D2F2
+:100D9000C1B301000000005C8990010000004042E6
+:100DA00080B201000000414380B20100000000F069
+:100DB000889401005A010044E0B10000B3010048EA
+:100DC00049C10000B101005B89900000B09F00A004
+:100DD0009EB000000000004D81B001000000004303
+:100DE000CB8301000000454081B20100BA01A2415D
+:100DF000815000000000454081B2010000004540E4
+:100E000081B20100C4019182823000000000008A9A
+:100E100080B00100B69F004080CE0100C301A64013
+:100E200081320000C401564081B20000000000532E
+:100E30006F930100F39F00526F9300000000004D7C
+:100E400081B0010000000042CD8301000000464057
+:100E500081B20100C701A24181500000000046405C
+:100E600081B201000000464081B20100D1019181B0
+:100E7000823000000000008980B00100B69F004071
+:100E800080CE0100D001A64081320000D101554042
+:100E900081B20000000000526F930100F39F0053E5
+:100EA0006F9300000000004083B001000014004078
+:100EB000499901000000234081B00100DA0122DEDF
+:100EC000E16D00000000004C49C10100000000413C
+:100ED00081C00100D501A244816C0000550100438E
+:100EE000BFB30000000000F818B10100000040F896
+:100EF00080B20100000041F080B20100000000401B
+:100F0000F1B1010000000040F1B101005A010040C0
+:100F1000E1B10000E201004091B00000000000419A
+:100F200091B00100D0142E4049B1010005000040ED
+:100F3000A39B0100080000DD81F40100E7010040EF
+:100F400080C801000000004010B10000ED01004029
+:100F500081B00000580100DEA1B30000FF01004095
+:100F600081B200000102004081B000000702004091
+:100F700081B20000570100DFE1B10000000000D0A5
+:100F8000BAB30100000000DEA1B10100020000D2EE
+:100F9000A5E70100000000D2C1B30100000000007D
+:100FA000F0B10100F7012244C1530000F601844171
+:100FB00081400000FA01004081320100000000D0B1
+:100FC00045B10100F1010041A1C10000B1020040A2
+:100FD00081320100C5020040813201005A0100DD6A
+:100FE000A1B100000000004081B0010040000040BD
+:100FF000A59B0100B102004081320100400000D3F6
+:10100000A7CB0100C50200E0A5B30000030000402B
+:10101000A39B0100580100DEA1B3000000000044C2
+:10102000BFB30100000000DE819001005501A2BAAB
+:1010300080040000600000DE619901000402A8B194
+:101040008030000057010040E0B10000000000D0F7
+:10105000BAB3010068020040819801005D02004DB2
+:101060008330010000000044E1B3010000000044AF
+:10107000E3B3010000000044E5B3010000000044B8
+:10108000E9B3010000000044EBB30100000000449C
+:10109000F5B3010000000044F7B301000000004474
+:1010A000F9B30100150222408F6F00007502004065
+:1010B000819801005D0200C7833001007D0200407D
+:1010C000819801005D02004283300100000000E8C9
+:1010D000F1B10100000000E9F1B10100000000EAF7
+:1010E000F1B10100000000EBF1B10100000000854A
+:1010F000F0B10100000000ECF1B10100000000EDD2
+:10110000F1B10100000000B2F0B10100E09F004029
+:101110008132010000000040F0B1010000000040F9
+:10112000F1B10100000000ABF0B10100000000B817
+:10113000F0B10100000000B9F0B10100000000BAF8
+:10114000F0B10100000000BBF0B101002902B8407D
+:101150008130000000000040819001002B02B94066
+:101160008132000000000041819001002D02BA4050
+:101170008132000000000042819001002F02BB403C
+:101180008132000000000043819001003102BC4028
+:101190008132000000000044819001003302BD4014
+:1011A0008132000000000045819001003502BE4000
+:1011B0008132000000000046819001003702BF40EC
+:1011C0008132000000000047819001003902C840D0
+:1011D0008132000000000048819001003B02C940BC
+:1011E0008132000000000049819001003D02CA40A8
+:1011F000813200000000004A819001003F02CB4094
+:10120000813200000000004B819001004102CC407F
+:10121000813200000000004C819001004302CD406B
+:10122000813200000000004D819001004502CE4057
+:10123000813200000000004E819001004702CF4043
+:10124000813200000000004F81900100000000404A
+:10125000F0B1010040000040A59B0100AF0200403A
+:1012600081320100C502004081320100D0142E06F7
+:10127000A5B30100400000D3A7CB0100000000F09F
+:10128000F1B10100000000F1F1B10100000000F235
+:10129000F1B10100000000F4F1B10100000000F51F
+:1012A000F1B10100000000FAF1B10100000000FB03
+:1012B000F1B10100000000FCF1B10100000000EB01
+:1012C000F1B10100000000EEF1B10100000000EFFB
+:1012D000F1B10100000000F3F1B10100000000F6DF
+:1012E000F1B10100000000FDF1B10100F70100C7FC
+:1012F000E1B100000000804081B2010063020048BB
+:1013000080320000000051401AB1010000004D4041
+:1013100081B201000000454081B201006002A2419B
+:10132000835000005C02494081B20000000052403E
+:101330001CB1010000004E4081B201000000464097
+:1013400081B201006502A241835000005C024A4064
+:1013500081B20000000000A09EB0010000000080EB
+:10136000D8B30100000000A1D0B30100000000A22A
+:10137000D2B30100000000A4D4B30100000000D0EB
+:10138000D6B30100000000D1DCB30100000000D2A0
+:10139000DEB3010000000088DAB30100000000D4D1
+:1013A0008EB30100000000D3E6B30100000000ACE2
+:1013B000ECB3010000000099FAB30100000000D571
+:1013C000E0B30100000000D5E2B30100000000D549
+:1013D000E4B30100000000D5E8B30100000000D52F
+:1013E000EAB30100000000D5F4B30100000000D50D
+:1013F000F6B30100000000D5F8B30100000000C7FB
+:10140000A9B101000000004F40B10100810200407D
+:1014100091B000000000004191B0010007000040C1
+:10142000A39B0100080000DD81F40100850200405B
+:1014300080C801000000004010B100008A02004096
+:1014400081B200009502004081B200009502004682
+:10145000A3B300009802004081B200009E02004049
+:1014600081B200008C022350A56F000000000050E4
+:10147000A5B30100BC020042A5630100C502004003
+:1014800081320100D0142D4049B10100000000D08C
+:10149000BAB30100000000DEA1B10100000000F8B5
+:1014A00000B0010094022244A553000091020041C3
+:1014B000A1C100005A0100DDA1B10000BC0200DEA4
+:1014C000A1330100C5020040813201005A010040F1
+:1014D00081B2000000000045BFB301005501A2D257
+:1014E000777D0000000000D261B10100000000DE45
+:1014F00063B101009B02A840813200005A01004004
+:1015000081B20000BC020054A5330100C5020040B6
+:1015100081320100D0142D4049B10100000000F8D3
+:10152000D0B30100000000F8D2B30100000000F8C1
+:10153000D4B30100000000F8D6B30100000000F8A9
+:1015400008B10100A9020040819801005D02004637
+:10155000833001005A01004081B20000000000A069
+:101560009EB00100000000E843B10100000000E966
+:1015700045B10100000000EA49B10100000000EBA4
+:10158000A1B101000000004F40B10100000000E7E0
+:10159000A7B30100000000D8A9B30100000000407B
+:1015A00049B10100AE0300CBA3C901000000002037
+:1015B00046B10100000000D2F1B10100000000D3EB
+:1015C000F1B10100000000D4F1B10100000000D031
+:1015D000E1B10100000000D161B101002000002054
+:1015E00062DD0100B902A84081320000000080CC19
+:1015F00085930100000000E7A7B30100000000D8B8
+:10160000A9B301000000004049B10100AE0300CBC6
+:10161000A3C901000000002046B10100000000D273
+:10162000F1B10100000000D0F1B10100000000D3D1
+:10163000F1B10100B80200D4E1B100000000A2CC79
+:1016400085FF00000000005081B00100C702A241E8
+:1016500081500000C602A2F280300000000080CC61
+:10166000858301000000004081B00100CB0280A50D
+:1016700080320000CC0200A5803200000000004152
+:1016800081C00100CD0280A58032000080010040B1
+:1016900083980100D602204F816C000000010040B9
+:1016A00083980100D602204B816C0000800000402E
+:1016B00083980100D6022047816C000000000040A2
+:1016C000839801000000004182DC0100039000418A
+:1016D000209901000000004049B1010000142F4C86
+:1016E00083B0010000000040F1B10100DA02A24124
+:1016F00083500000020000A580C80100DD02A2A501
+:10170000806C000020000090209901000000005F24
+:1017100023910100E0021F91803200003000009010
+:10172000209901000000005F23910100E3021F9156
+:10173000803200007000009020A901000000005FCE
+:1017400023910100E6021F91803200000000005F3B
+:1017500023910100E8021F91803200004068009050
+:1017600020A90100E0000040619901002100004033
+:1017700061990100220000406199010023000040AE
+:10178000619901002400004061990100250000409A
+:101790006199010026000040619901002700004086
+:1017A00061990100C000004061990100D01400401F
+:1017B00045990100020100A680B001000403004029
+:1017C00080980100060500A682B0010008070041CC
+:1017D0008298010000000040F0B1010000000041CB
+:1017E000E0B10100300300408530010039030040C2
+:1017F00081320100D814004043990100FF02A2F891
+:10180000806C0000000322F0826C000000000042A7
+:1018100021910100D0142040E1B101003003000CFF
+:10182000853001003003004D851001003003004E6B
+:1018300085100100D014204FE1B101003003004FAA
+:10184000851001003903000C85300100D8142043B5
+:1018500081B001000F0322F09E6E00003903004D9D
+:1018600085100100D814204281B001000F0322F03E
+:101870009E6E00003903004E85100100D8142041EF
+:1018800081B001001103A2F09E6E0000000000492B
+:1018900081E001000000004020950100030000905D
+:1018A000208D010000000043219501000000001B75
+:1018B00089B00100D0142040E1B1010030030017CD
+:1018C00085300100300300588510010030030059B5
+:1018D00085100100D014204FE1B101003003005AFF
+:1018E000851001003903001785300100D81420400D
+:1018F00081B00100230322F09E6E000039030058DE
+:1019000085100100D814204181B00100230322F08A
+:101910009E6E00003903005985100100D814204242
+:1019200081B001002703A2F09E6E0000030000902A
+:10193000208D0100000000402095010000000018EB
+:1019400089B001000000004088E001002F03A2429E
+:10195000217D0000A5A5004081980100D014204001
+:10196000E0B101003003004484300100390300403D
+:1019700081320100D814204081B201002F03A2F06F
+:10198000806C00000000004189E00100E000804020
+:10199000619901007015004047990100000000485E
+:1019A000F1B1010000000042F0B10100D01400408C
+:1019B000F19901000000005587B4010004000040C7
+:1019C0006199010070150043629901003603A84037
+:1019D000813200004103004081B2000070150040D8
+:1019E0004799010000000048F1B10100D8140040FF
+:1019F000F199010000000042F0B101000000005523
+:101A000087B4010002000040619901007015004395
+:101A1000629901003F03A8408132000000000048A5
+:101A200087B001004203A241875000000000A2F2EB
+:101A300086B00000100000F186F40100410326404A
+:101A4000813200000400004081B200000000004725
+:101A500084B001000000A248848400000000005F00
+:101A600061B101000000005C8F90010000000047A0
+:101A700062B101004903A84081320000F59F004790
+:101A800098300100000800478EC801004703005C41
+:101A90008F800000E00000406199010058152D4042
+:101AA0008DB00100D0142DF088B00100000000FAC4
+:101AB0008AB001000000004581B001000700004528
+:101AC00082880100000000438BF001000000004804
+:101AD00083E00100000000468294010020000041E4
+:101AE00060990100000000418DC001006403225F85
+:101AF0008D6C00005503A24181500000530300404B
+:101B000081B20000080000408598010000000044F8
+:101B100082B001000000004186B00100001C0043BB
+:101B200086D801000000A6418550010060030041F5
+:101B300083E000005E0300408132010000000048A5
+:101B400085E00100D0142F4684940100200000425B
+:101B500060990100C00000406199010000008040D0
+:101B600081B20100070000458088010000000043A9
+:101B70008BF0010000040040839801006F03A04136
+:101B8000815000006D03004182E8000000008041A8
+:101B90008EC00100AE030040A39901000000005474
+:101BA00081B00100601500408598010008000040E8
+:101BB00040E401000000005A419401000000005080
+:101BC00041E001000000004240940100000000419B
+:101BD00081C001000000A355816C0100000000419C
+:101BE000A3C101007303005085C000000000004045
+:101BF00049B1010000020040839801000016004036
+:101C00004599010000000040F1B101007E03A241AE
+:101C1000835000000000004085B001000B0000442C
+:101C200082F401001A1500A686B00100701500406C
+:101C30004599010000080040F199010000000042B0
+:101C4000F0B1010000160040E199010004000040DD
+:101C50006199010070150043629901008803A84052
+:101C6000813200008A03225A737D00007A0000400E
+:101C7000619901008B03A8B17E3100000008004289
+:101C800084C801008303A24183500000000080400B
+:101C900081B201000400004081B200000400004055
+:101CA00081B200000400004081B200000400004046
+:101CB00081B200000400004081B200000400004036
+:101CC00081B200000400004081B200000400004026
+:101CD00081B200000400004081B200000400004016
+:101CE00081B200000400004081B200000400004006
+:101CF00081B200000400004081B2000004000040F6
+:101D000081B200000400004081B2000004000040E5
+:101D100081B200000400004081B2000004000040D5
+:101D200081B200000400004081B2000004000040C5
+:101D300081B200000400004081B2000004000040B5
+:101D400081B200000400004081B2000004000040A5
+:101D500081B200000400004081B200000400004095
+:101D600081B200000400004081B200000400004085
+:101D700081B200000400004081B200000400004075
+:101D800081B200000400004081B200000400004065
+:101D900081B200000400004081B200000400004055
+:101DA00081B200000400004081B200000400004045
+:101DB00081B200000400004081B200000400004035
+:101DC00081B200000400004081B200000400004025
+:101DD00081B200000400004081B200000400004015
+:101DE00081B200000400004081B200000400004005
+:101DF00081B200000400004081B2000004000040F5
+:101E000081B200000400004081B2000004000040E4
+:101E100081B200000400004081B2000004000040D4
+:101E200081B200000400004081B2000004000040C4
+:101E300081B200000400004081B2000004000040B4
+:101E400081B200000400004081B2000004000040A4
+:101E500081B200000400004081B200000400004094
+:101E600081B200000400004081B200000400004084
+:101E700081B200000400004081B200000400004074
+:101E800081B200000400004081B200000400004064
+:101E900081B200000400004081B200000400004054
+:101EA00081B200000400004081B200000400004044
+:101EB00081B200000400004081B200000400004034
+:101EC00081B200000400004081B200000400004024
+:101ED00081B200000400004081B200000400004014
+:101EE00081B200000400004081B200000400004004
+:101EF00081B200000400004081B2000004000040F4
+:101F000081B200000400004081B2000004000040E3
+:101F100081B200000400004081B2000004000040D3
+:101F200081B200000400004081B2000004000040C3
+:101F300081B200000400004081B2000004000040B3
+:101F400081B200000400004081B2000004000040A3
+:101F500081B200000400004081B200000400004093
+:101F600081B200000400004081B200000400004083
+:101F700081B200000400004081B200000400004073
+:101F800081B200000400004081B200000400004063
+:101F900081B200000400004081B200000400004053
+:101FA00081B200000400004081B200000400004043
+:101FB00081B200000400004081B200000400004033
+:101FC00081B200000400004081B200000400004023
+:101FD00081B200000400004081B200000400004013
+:101FE00081B200000400004081B200000400004003
+:101FF00081B200000400004081B2000004000040F3
+:1020000081B200000400004081B2000004000040E2
+:1020100081B200000400004081B2000004000040D2
+:1020200081B200000400004081B2000004000040C2
+:1020300081B200000400004081B2000004000040B2
+:1020400081B200000400004081B2000004000040A2
+:1020500081B200000400004081B200000400004092
+:1020600081B200000400004081B200000400004082
+:1020700081B200000400004081B200000400004072
+:1020800081B200000400004081B200000400004062
+:1020900081B200000400004081B200000400004052
+:1020A00081B200000400004081B200000400004042
+:1020B00081B200000400004081B200000400004032
+:1020C00081B200000400004081B200000400004022
+:1020D00081B200000400004081B200000400004012
+:1020E00081B200000400004081B200000400004002
+:1020F00081B200000400004081B2000004000040F2
+:1021000081B200000400004081B2000004000040E1
+:1021100081B200000400004081B2000004000040D1
+:1021200081B200000400004081B2000004000040C1
+:1021300081B200000400004081B2000004000040B1
+:1021400081B200000400004081B2000004000040A1
+:1021500081B200000400004081B200000400004091
+:1021600081B200000400004081B200000400004081
+:1021700081B200000400004081B200000400004071
+:1021800081B200000400004081B200000400004061
+:1021900081B200000400004081B200000400004051
+:1021A00081B200000400004081B200000400004041
+:1021B00081B200000400004081B200000400004031
+:1021C00081B200000400004081B200000400004021
+:1021D00081B200000400004081B200000400004011
+:1021E00081B200000400004081B200000400004001
+:1021F00081B200000400004081B2000004000040F1
+:1022000081B200000400004081B2000004000040E0
+:1022100081B200000400004081B2000004000040D0
+:1022200081B200000400004081B2000004000040C0
+:1022300081B200000400004081B2000004000040B0
+:1022400081B200000400004081B2000004000040A0
+:1022500081B200000400004081B200000400004090
+:1022600081B200000400004081B200000400004080
+:1022700081B200000400004081B200000400004070
+:1022800081B200000400004081B200000400004060
+:1022900081B200000400004081B200000400004050
+:1022A00081B200000400004081B200000400004040
+:1022B00081B200000400004081B200000400004030
+:1022C00081B200000400004081B200000400004020
+:1022D00081B200000400004081B200000400004010
+:1022E00081B200000400004081B200000400004000
+:1022F00081B200000400004081B2000004000040F0
+:1023000081B200000400004081B2000004000040DF
+:1023100081B200000400004081B2000004000040CF
+:1023200081B200000400004081B2000004000040BF
+:1023300081B200000400004081B2000004000040AF
+:1023400081B200000400004081B20000040000409F
+:1023500081B200000400004081B20000040000408F
+:1023600081B200000400004081B20000040000407F
+:1023700081B200000400004081B20000040000406F
+:1023800081B200000400004081B20000040000405F
+:1023900081B200000400004081B20000040000404F
+:1023A00081B200000400004081B20000040000403F
+:1023B00081B200000400004081B20000040000402F
+:1023C00081B200000400004081B20000040000401F
+:1023D00081B200000400004081B20000040000400F
+:1023E00081B200000400004081B2000004000040FF
+:1023F00081B200000400004081B2000004000040EF
+:1024000081B200000400004081B2000004000040DE
+:1024100081B200000400004081B2000004000040CE
+:1024200081B200000400004081B2000004000040BE
+:1024300081B200000400004081B2000004000040AE
+:1024400081B200000400004081B20000040000409E
+:1024500081B200000400004081B20000040000408E
+:1024600081B200000400004081B20000040000407E
+:1024700081B200000400004081B20000040000406E
+:1024800081B200000400004081B20000040000405E
+:1024900081B200000400004081B20000040000404E
+:1024A00081B200000400004081B20000040000403E
+:1024B00081B200000400004081B20000040000402E
+:1024C00081B200000400004081B20000040000401E
+:1024D00081B200000400004081B20000040000400E
+:1024E00081B200000400004081B2000004000040FE
+:1024F00081B200000400004081B2000004000040EE
+:1025000081B200000400004081B2000004000040DD
+:1025100081B200000400004081B2000004000040CD
+:1025200081B200000400004081B2000004000040BD
+:1025300081B200000400004081B2000004000040AD
+:1025400081B200000400004081B20000040000409D
+:1025500081B200000400004081B20000040000408D
+:1025600081B200000400004081B20000040000407D
+:1025700081B200000400004081B20000040000406D
+:1025800081B200000400004081B20000040000405D
+:1025900081B200000400004081B20000040000404D
+:1025A00081B200000400004081B20000040000403D
+:1025B00081B200000400004081B20000040000402D
+:1025C00081B200000400004081B20000040000401D
+:1025D00081B200000400004081B20000040000400D
+:1025E00081B200000400004081B2000004000040FD
+:1025F00081B200000400004081B2000004000040ED
+:1026000081B200000400004081B2000004000040DC
+:1026100081B200000400004081B2000004000040CC
+:1026200081B200000400004081B2000004000040BC
+:1026300081B200000400004081B2000004000040AC
+:1026400081B200000400004081B20000040000409C
+:1026500081B200000400004081B20000040000408C
+:1026600081B200000400004081B20000040000407C
+:1026700081B200000400004081B20000040000406C
+:1026800081B200000400004081B20000040000405C
+:1026900081B200000400004081B20000040000404C
+:1026A00081B200000400004081B20000040000403C
+:1026B00081B200000400004081B20000040000402C
+:1026C00081B200000400004081B20000040000401C
+:1026D00081B200000400004081B20000040000400C
+:1026E00081B200000400004081B2000004000040FC
+:1026F00081B200000400004081B2000004000040EC
+:1027000081B200000400004081B2000004000040DB
+:1027100081B200000400004081B2000004000040CB
+:1027200081B200000400004081B2000004000040BB
+:1027300081B200000400004081B2000004000040AB
+:1027400081B200000400004081B20000040000409B
+:1027500081B200000400004081B20000040000408B
+:1027600081B200000400004081B20000040000407B
+:1027700081B200000400004081B20000040000406B
+:1027800081B200000400004081B20000040000405B
+:1027900081B200000400004081B20000040000404B
+:1027A00081B200000400004081B20000040000403B
+:1027B00081B200000400004081B20000040000402B
+:1027C00081B200000400004081B20000040000401B
+:1027D00081B200000400004081B20000040000400B
+:1027E00081B200000400004081B2000004000040FB
+:1027F00081B200000400004081B2000004000040EB
+:1028000081B200000400004081B2000004000040DA
+:1028100081B200000400004081B2000004000040CA
+:1028200081B200000400004081B2000004000040BA
+:1028300081B200000400004081B2000004000040AA
+:1028400081B200000400004081B20000040000409A
+:1028500081B200000400004081B20000040000408A
+:1028600081B200000400004081B20000040000407A
+:1028700081B200000400004081B20000040000406A
+:1028800081B200000400004081B20000040000405A
+:1028900081B200000400004081B20000040000404A
+:1028A00081B200000400004081B20000040000403A
+:1028B00081B200000400004081B20000040000402A
+:1028C00081B200000400004081B20000040000401A
+:1028D00081B200000400004081B20000040000400A
+:1028E00081B200000400004081B2000004000040FA
+:1028F00081B200000400004081B2000004000040EA
+:1029000081B200000400004081B2000004000040D9
+:1029100081B200000400004081B2000004000040C9
+:1029200081B200000400004081B2000004000040B9
+:1029300081B200000400004081B2000004000040A9
+:1029400081B200000400004081B200000400004099
+:1029500081B200000400004081B200000400004089
+:1029600081B200000400004081B200000400004079
+:1029700081B200000400004081B200000400004069
+:1029800081B200000400004081B200000400004059
+:1029900081B200000400004081B200000400004049
+:1029A00081B200000400004081B200000400004039
+:1029B00081B200000400004081B200000400004029
+:1029C00081B200000400004081B200000400004019
+:1029D00081B200000400004081B200000400004009
+:1029E00081B200000400004081B2000004000040F9
+:1029F00081B200000400004081B2000004000040E9
+:102A000081B200000400004081B2000004000040D8
+:102A100081B200000400004081B2000004000040C8
+:102A200081B200000400004081B2000004000040B8
+:102A300081B200000400004081B2000004000040A8
+:102A400081B200000400004081B200000400004098
+:102A500081B200000400004081B200000400004088
+:102A600081B200000400004081B200000400004078
+:102A700081B200000400004081B200000400004068
+:102A800081B200000400004081B200000400004058
+:102A900081B200000400004081B200000400004048
+:102AA00081B200000400004081B200000400004038
+:102AB00081B200000400004081B200000400004028
+:102AC00081B200000400004081B200000400004018
+:102AD00081B200000400004081B200000400004008
+:102AE00081B200000400004081B2000004000040F8
+:102AF00081B200000400004081B2000004000040E8
+:102B000081B200000400004081B2000004000040D7
+:102B100081B200000400004081B2000004000040C7
+:102B200081B200000400004081B2000004000040B7
+:102B300081B200000400004081B2000004000040A7
+:102B400081B200000400004081B200000400004097
+:102B500081B200000400004081B200000400004087
+:102B600081B200000400004081B200000400004077
+:102B700081B200000400004081B200000400004067
+:102B800081B200000400004081B200000400004057
+:102B900081B200000400004081B200000400004047
+:102BA00081B200000400004081B200000400004037
+:102BB00081B200000400004081B200000400004027
+:102BC00081B200000400004081B200000400004017
+:102BD00081B200000400004081B200000400004007
+:102BE00081B200000400004081B2000004000040F7
+:102BF00081B200000400004081B2000004000040E7
+:102C000081B200000400004081B2000004000040D6
+:102C100081B200000400004081B2000004000040C6
+:102C200081B200000400004081B2000004000040B6
+:102C300081B200000400004081B2000004000040A6
+:102C400081B200000400004081B200000400004096
+:102C500081B200000400004081B200000400004086
+:102C600081B200000400004081B200000400004076
+:102C700081B200000400004081B200000400004066
+:102C800081B200000400004081B200000400004056
+:102C900081B200000400004081B200000400004046
+:102CA00081B200000400004081B200000400004036
+:102CB00081B200000400004081B200000400004026
+:102CC00081B200000400004081B200000400004016
+:102CD00081B200000400004081B200000400004006
+:102CE00081B200000400004081B2000004000040F6
+:102CF00081B200000400004081B2000004000040E6
+:102D000081B200000400004081B2000004000040D5
+:102D100081B200000400004081B2000004000040C5
+:102D200081B200000400004081B2000004000040B5
+:102D300081B200000400004081B2000004000040A5
+:102D400081B200000400004081B200000400004095
+:102D500081B200000400004081B200000400004085
+:102D600081B200000400004081B200000400004075
+:102D700081B200000400004081B200000400004065
+:102D800081B200000400004081B200000400004055
+:102D900081B200000400004081B200000400004045
+:102DA00081B200000400004081B200000400004035
+:102DB00081B200000400004081B200000400004025
+:102DC00081B200000400004081B200000400004015
+:102DD00081B200000400004081B200000400004005
+:102DE00081B200000400004081B2000004000040F5
+:102DF00081B200000400004081B2000004000040E5
+:102E000081B200000400004081B2000004000040D4
+:102E100081B200000400004081B2000004000040C4
+:102E200081B200000400004081B2000004000040B4
+:102E300081B200000400004081B2000004000040A4
+:102E400081B200000400004081B200000400004094
+:102E500081B200000400004081B200000400004084
+:102E600081B200000400004081B200000400004074
+:102E700081B200000400004081B200000400004064
+:102E800081B200000400004081B200000400004054
+:102E900081B200000400004081B200000400004044
+:102EA00081B200000400004081B200000400004034
+:102EB00081B200000400004081B200000400004024
+:102EC00081B200000400004081B200000400004014
+:102ED00081B200000400004081B200000400004004
+:102EE00081B200000400004081B2000004000040F4
+:102EF00081B200000400004081B2000004000040E4
+:102F000081B200000400004081B2000004000040D3
+:102F100081B200000400004081B2000004000040C3
+:102F200081B200000400004081B2000004000040B3
+:102F300081B200000400004081B2000004000040A3
+:102F400081B200000400004081B200000400004093
+:102F500081B200000400004081B200000400004083
+:102F600081B200000400004081B200000400004073
+:102F700081B200000400004081B200000400004063
+:102F800081B200000400004081B200000400004053
+:102F900081B200000400004081B200000400004043
+:102FA00081B200000400004081B200000400004033
+:102FB00081B200000400004081B200000400004023
+:102FC00081B200000400004081B200000400004013
+:102FD00081B200000400004081B200000400004003
+:102FE00081B200000400004081B2000004000040F3
+:102FF00081B200000400004081B2000004000040E3
+:1030000081B200000400004081B2000004000040D2
+:1030100081B200000400004081B2000004000040C2
+:1030200081B200000400004081B2000004000040B2
+:1030300081B200000400004081B2000004000040A2
+:1030400081B200000400004081B200000400004092
+:1030500081B200000400004081B200000400004082
+:1030600081B200000400004081B200000400004072
+:1030700081B200000400004081B200000400004062
+:1030800081B200000400004081B200000400004052
+:1030900081B200000400004081B200000400004042
+:1030A00081B200000400004081B200000400004032
+:1030B00081B200000400004081B200000400004022
+:1030C00081B200000400004081B200000400004012
+:1030D00081B200000400004081B200000400004002
+:1030E00081B200000400004081B2000004000040F2
+:1030F00081B200000400004081B2000004000040E2
+:1031000081B200000400004081B2000004000040D1
+:1031100081B200000400004081B2000004000040C1
+:1031200081B200000400004081B2000004000040B1
+:1031300081B200000400004081B2000004000040A1
+:1031400081B200000400004081B200000400004091
+:1031500081B200000400004081B200000400004081
+:1031600081B200000400004081B200000400004071
+:1031700081B200000400004081B200000400004061
+:1031800081B200000400004081B200000400004051
+:1031900081B200000400004081B200000400004041
+:1031A00081B200000400004081B200000400004031
+:1031B00081B200000400004081B200000400004021
+:1031C00081B200000400004081B200000400004011
+:1031D00081B200000400004081B200000400004001
+:1031E00081B200000400004081B2000004000040F1
+:1031F00081B200000400004081B2000004000040E1
+:1032000081B200000400004081B2000004000040D0
+:1032100081B200000400004081B2000004000040C0
+:1032200081B200000400004081B2000004000040B0
+:1032300081B200000400004081B2000004000040A0
+:1032400081B200000400004081B200000400004090
+:1032500081B200000400004081B200000400004080
+:1032600081B200000400004081B200000400004070
+:1032700081B200000400004081B200000400004060
+:1032800081B200000400004081B200000400004050
+:1032900081B200000400004081B200000400004040
+:1032A00081B200000400004081B200000400004030
+:1032B00081B200000400004081B200000400004020
+:1032C00081B200000400004081B200000400004010
+:1032D00081B200000400004081B200000400004000
+:1032E00081B200000400004081B2000004000040F0
+:1032F00081B200000400004081B2000004000040E0
+:1033000081B200000400004081B2000004000040CF
+:1033100081B200000400004081B2000004000040BF
+:1033200081B200000400004081B2000004000040AF
+:1033300081B200000400004081B20000040000409F
+:1033400081B200000400004081B20000040000408F
+:1033500081B200000400004081B20000040000407F
+:1033600081B200000400004081B20000040000406F
+:1033700081B200000400004081B20000040000405F
+:1033800081B200000400004081B20000040000404F
+:1033900081B200000400004081B20000040000403F
+:1033A00081B200000400004081B20000040000402F
+:1033B00081B200000400004081B20000040000401F
+:1033C00081B200000400004081B20000040000400F
+:1033D00081B200000400004081B2000004000040FF
+:1033E00081B200000400004081B2000004000040EF
+:1033F00081B200000400004081B2000004000040DF
+:1034000081B200000400004081B2000004000040CE
+:1034100081B200000400004081B2000004000040BE
+:1034200081B200000400004081B2000004000040AE
+:1034300081B200000400004081B20000040000409E
+:1034400081B200000400004081B20000040000408E
+:1034500081B200000400004081B20000040000407E
+:1034600081B200000400004081B20000040000406E
+:1034700081B200000400004081B20000040000405E
+:1034800081B200000400004081B20000040000404E
+:1034900081B200000400004081B20000040000403E
+:1034A00081B200000400004081B20000040000402E
+:1034B00081B200000400004081B20000040000401E
+:1034C00081B200000400004081B20000040000400E
+:1034D00081B200000400004081B2000004000040FE
+:1034E00081B200000400004081B2000004000040EE
+:1034F00081B200000400004081B2000004000040DE
+:1035000081B200000400004081B2000004000040CD
+:1035100081B200000400004081B2000004000040BD
+:1035200081B200000400004081B2000004000040AD
+:1035300081B200000400004081B20000040000409D
+:1035400081B200000400004081B20000040000408D
+:1035500081B200000400004081B20000040000407D
+:1035600081B200000400004081B20000040000406D
+:1035700081B200000400004081B20000040000405D
+:1035800081B200000400004081B20000040000404D
+:1035900081B200000400004081B20000040000403D
+:1035A00081B200000400004081B20000040000402D
+:1035B00081B200000400004081B20000040000401D
+:1035C00081B200000400004081B20000040000400D
+:1035D00081B200000400004081B2000004000040FD
+:1035E00081B200000400004081B2000004000040ED
+:1035F00081B200000400004081B2000004000040DD
+:1036000081B200000400004081B2000004000040CC
+:1036100081B200000400004081B2000004000040BC
+:1036200081B200000400004081B2000004000040AC
+:1036300081B200000400004081B20000040000409C
+:1036400081B200000400004081B20000040000408C
+:1036500081B200000400004081B20000040000407C
+:1036600081B200000400004081B20000040000406C
+:1036700081B200000400004081B20000040000405C
+:1036800081B200000400004081B20000040000404C
+:1036900081B200000400004081B20000040000403C
+:1036A00081B200000400004081B20000040000402C
+:1036B00081B200000400004081B20000040000401C
+:1036C00081B200000400004081B20000040000400C
+:1036D00081B200000400004081B2000004000040FC
+:1036E00081B200000400004081B2000004000040EC
+:1036F00081B200000400004081B2000004000040DC
+:1037000081B200000400004081B2000004000040CB
+:1037100081B200000400004081B2000004000040BB
+:1037200081B200000400004081B2000004000040AB
+:1037300081B200000400004081B20000040000409B
+:1037400081B200000400004081B20000040000408B
+:1037500081B200000400004081B20000040000407B
+:1037600081B200000400004081B20000040000406B
+:1037700081B200000400004081B20000040000405B
+:1037800081B200000400004081B20000040000404B
+:1037900081B200000400004081B20000040000403B
+:1037A00081B200000400004081B20000040000402B
+:1037B00081B200000400004081B20000040000401B
+:1037C00081B200000400004081B20000040000400B
+:1037D00081B200000400004081B2000004000040FB
+:1037E00081B200000400004081B2000004000040EB
+:1037F00081B200000400004081B2000004000040DB
+:1038000081B200000400004081B2000004000040CA
+:1038100081B200000400004081B2000004000040BA
+:1038200081B200000400004081B2000004000040AA
+:1038300081B200000400004081B20000040000409A
+:1038400081B200000400004081B20000040000408A
+:1038500081B200000400004081B20000040000407A
+:1038600081B200000400004081B20000040000406A
+:1038700081B200000400004081B20000040000405A
+:1038800081B200000400004081B20000040000404A
+:1038900081B200000400004081B20000040000403A
+:1038A00081B200000400004081B20000040000402A
+:1038B00081B200000400004081B20000040000401A
+:1038C00081B200000400004081B20000040000400A
+:1038D00081B200000400004081B2000004000040FA
+:1038E00081B200000400004081B2000004000040EA
+:1038F00081B200000400004081B2000004000040DA
+:1039000081B200000400004081B2000004000040C9
+:1039100081B200000400004081B2000004000040B9
+:1039200081B200000400004081B2000004000040A9
+:1039300081B200000400004081B200000400004099
+:1039400081B200000400004081B200000400004089
+:1039500081B200000400004081B200000400004079
+:1039600081B200000400004081B200000400004069
+:1039700081B200000400004081B200000400004059
+:1039800081B200000400004081B200000400004049
+:1039900081B200000400004081B200000400004039
+:1039A00081B200000400004081B200000400004029
+:1039B00081B200000400004081B200000400004019
+:1039C00081B200000400004081B200000400004009
+:1039D00081B200000400004081B2000004000040F9
+:1039E00081B200000400004081B2000004000040E9
+:1039F00081B200000400004081B2000004000040D9
+:103A000081B200000400004081B2000004000040C8
+:103A100081B200000400004081B2000004000040B8
+:103A200081B200000400004081B2000004000040A8
+:103A300081B200000400004081B200000400004098
+:103A400081B200000400004081B200000400004088
+:103A500081B200000400004081B200000400004078
+:103A600081B200000400004081B200000400004068
+:103A700081B200000400004081B200000400004058
+:103A800081B200000400004081B200000400004048
+:103A900081B200000400004081B200000400004038
+:103AA00081B200000400004081B200000400004028
+:103AB00081B200000400004081B200000400004018
+:103AC00081B200000400004081B200000400004008
+:103AD00081B200000400004081B2000004000040F8
+:103AE00081B200000400004081B2000004000040E8
+:103AF00081B200000400004081B2000004000040D8
+:103B000081B200000400004081B2000004000040C7
+:103B100081B200000400004081B2000004000040B7
+:103B200081B200000400004081B2000004000040A7
+:103B300081B200000400004081B200000400004097
+:103B400081B200000400004081B200000400004087
+:103B500081B200000400004081B200000400004077
+:103B600081B200000400004081B200000400004067
+:103B700081B200000400004081B200000400004057
+:103B800081B200000400004081B200000400004047
+:103B900081B200000400004081B200000400004037
+:103BA00081B200000400004081B200000400004027
+:103BB00081B200000400004081B200000400004017
+:103BC00081B200000400004081B200000400004007
+:103BD00081B200000400004081B2000004000040F7
+:103BE00081B200000400004081B2000004000040E7
+:103BF00081B200000400004081B2000004000040D7
+:103C000081B200000400004081B2000004000040C6
+:103C100081B200000400004081B2000004000040B6
+:103C200081B200000400004081B2000004000040A6
+:103C300081B200000400004081B200000400004096
+:103C400081B200000400004081B200000400004086
+:103C500081B200000400004081B200000400004076
+:103C600081B200000400004081B200000400004066
+:103C700081B200000400004081B200000400004056
+:103C800081B200000400004081B200000400004046
+:103C900081B200000400004081B200000400004036
+:103CA00081B200000400004081B200000400004026
+:103CB00081B200000400004081B200000400004016
+:103CC00081B200000400004081B200000400004006
+:103CD00081B200000400004081B2000004000040F6
+:103CE00081B200000400004081B2000004000040E6
+:103CF00081B200000400004081B2000004000040D6
+:103D000081B200000400004081B2000004000040C5
+:103D100081B200000400004081B2000004000040B5
+:103D200081B200000400004081B2000004000040A5
+:103D300081B200000400004081B200000400004095
+:103D400081B200000400004081B200000400004085
+:103D500081B200000400004081B200000400004075
+:103D600081B200000400004081B200000400004065
+:103D700081B200000400004081B200000400004055
+:103D800081B200000400004081B200000400004045
+:103D900081B200000400004081B200000400004035
+:103DA00081B200000400004081B200000400004025
+:103DB00081B200000400004081B200000400004015
+:103DC00081B200000400004081B200000400004005
+:103DD00081B200000400004081B2000004000040F5
+:103DE00081B200000400004081B2000004000040E5
+:103DF00081B200000400004081B2000004000040D5
+:103E000081B200000400004081B2000004000040C4
+:103E100081B200000400004081B2000004000040B4
+:103E200081B200000400004081B2000004000040A4
+:103E300081B200000400004081B200000400004094
+:103E400081B200000400004081B200000400004084
+:103E500081B200000400004081B200000400004074
+:103E600081B200000400004081B200000400004064
+:103E700081B200000400004081B200000400004054
+:103E800081B200000400004081B200000400004044
+:103E900081B200000400004081B200000400004034
+:103EA00081B200000400004081B200000400004024
+:103EB00081B200000400004081B200000400004014
+:103EC00081B200000400004081B200000400004004
+:103ED00081B200000400004081B2000004000040F4
+:103EE00081B200000400004081B2000004000040E4
+:103EF00081B200000400004081B2000004000040D4
+:103F000081B200000400004081B2000004000040C3
+:103F100081B200000400004081B2000004000040B3
+:103F200081B200000400004081B2000004000040A3
+:103F300081B200000400004081B200000400004093
+:103F400081B200000400004081B200000400004083
+:103F500081B200000400004081B200000400004073
+:103F600081B200000400004081B200000400004063
+:103F700081B200000400004081B200000400004053
+:103F800081B200000400004081B200000400004043
+:103F900081B200000400004081B200000400004033
+:103FA00081B200000400004081B200000400004023
+:103FB00081B200000400004081B200000400004013
+:103FC00081B200000400004081B200000400004003
+:103FD00081B200000400004081B2000004000040F3
+:103FE00081B200000400004081B2000004000040E3
+:103FF00081B200000400004081B2000004000040D3
+:1040000081B200000400004081B2000004000040C2
+:1040100081B200000400004081B2000004000040B2
+:1040200081B200000400004081B2000004000040A2
+:1040300081B200000400004081B200000400004092
+:1040400081B200000400004081B200000400004082
+:1040500081B200000400004081B200000400004072
+:1040600081B200000400004081B200000400004062
+:1040700081B200000400004081B200000400004052
+:1040800081B200000400004081B200000400004042
+:1040900081B200000400004081B200000400004032
+:1040A00081B200000400004081B200000400004022
+:1040B00081B200000400004081B200000400004012
+:1040C00081B200000400004081B200000400004002
+:1040D00081B200000400004081B2000004000040F2
+:1040E00081B200000400004081B2000004000040E2
+:1040F00081B200000400004081B2000004000040D2
+:1041000081B200000400004081B2000004000040C1
+:1041100081B200000400004081B2000004000040B1
+:1041200081B200000400004081B2000004000040A1
+:1041300081B200000400004081B200000400004091
+:1041400081B200000400004081B200000400004081
+:1041500081B200000400004081B200000400004071
+:1041600081B200000400004081B200000400004061
+:1041700081B200000400004081B200000400004051
+:1041800081B200000400004081B200000400004041
+:1041900081B200000400004081B200000400004031
+:1041A00081B200000400004081B200000400004021
+:1041B00081B200000400004081B200000400004011
+:1041C00081B200000400004081B200000400004001
+:1041D00081B200000400004081B2000004000040F1
+:1041E00081B200000400004081B2000004000040E1
+:1041F00081B200000400004081B2000004000040D1
+:1042000081B200000400004081B2000004000040C0
+:1042100081B200000400004081B2000004000040B0
+:1042200081B200000400004081B2000004000040A0
+:1042300081B200000400004081B200000400004090
+:1042400081B200000400004081B200000400004080
+:1042500081B200000400004081B200000400004070
+:1042600081B200000400004081B200000400004060
+:1042700081B200000400004081B200000400004050
+:1042800081B200000400004081B200000400004040
+:1042900081B200000400004081B200000400004030
+:1042A00081B200000400004081B200000400004020
+:1042B00081B200000400004081B200000400004010
+:1042C00081B200000400004081B200000400004000
+:1042D00081B200000400004081B2000004000040F0
+:1042E00081B200000400004081B2000004000040E0
+:1042F00081B200000400004081B2000004000040D0
+:1043000081B200000400004081B2000004000040BF
+:1043100081B200000400004081B2000004000040AF
+:1043200081B200000400004081B20000040000409F
+:1043300081B200000400004081B20000040000408F
+:1043400081B200000400004081B20000040000407F
+:1043500081B200000400004081B20000040000406F
+:1043600081B200000400004081B20000040000405F
+:1043700081B200000400004081B20000040000404F
+:1043800081B200000400004081B20000040000403F
+:1043900081B200000400004081B20000040000402F
+:1043A00081B200000400004081B20000040000401F
+:1043B00081B200000400004081B20000040000400F
+:1043C00081B200000400004081B2000004000040FF
+:1043D00081B200000400004081B2000004000040EF
+:1043E00081B200000400004081B2000004000040DF
+:1043F00081B200000400004081B2000004000040CF
+:1044000081B200000400004081B2000004000040BE
+:1044100081B200000400004081B2000004000040AE
+:1044200081B200000400004081B20000040000409E
+:1044300081B200000400004081B20000040000408E
+:1044400081B200000400004081B20000040000407E
+:1044500081B200000400004081B20000040000406E
+:1044600081B200000400004081B20000040000405E
+:1044700081B200000400004081B20000040000404E
+:1044800081B200000400004081B20000040000403E
+:1044900081B200000400004081B20000040000402E
+:1044A00081B200000400004081B20000040000401E
+:1044B00081B200000400004081B20000040000400E
+:1044C00081B200000400004081B2000004000040FE
+:1044D00081B200000400004081B2000004000040EE
+:1044E00081B200000400004081B2000004000040DE
+:1044F00081B200000400004081B2000004000040CE
+:1045000081B200000400004081B2000004000040BD
+:1045100081B200000400004081B2000004000040AD
+:1045200081B200000400004081B20000040000409D
+:1045300081B200000400004081B20000040000408D
+:1045400081B200000400004081B20000040000407D
+:1045500081B200000400004081B20000040000406D
+:1045600081B200000400004081B20000040000405D
+:1045700081B200000400004081B20000040000404D
+:1045800081B200000400004081B20000040000403D
+:1045900081B200000400004081B20000040000402D
+:1045A00081B200000400004081B20000040000401D
+:1045B00081B200000400004081B20000040000400D
+:1045C00081B200000400004081B2000004000040FD
+:1045D00081B200000400004081B2000004000040ED
+:1045E00081B200000400004081B2000004000040DD
+:1045F00081B200000400004081B2000004000040CD
+:1046000081B200000400004081B2000004000040BC
+:1046100081B200000400004081B2000004000040AC
+:1046200081B200000400004081B20000040000409C
+:1046300081B200000400004081B20000040000408C
+:1046400081B200000400004081B20000040000407C
+:1046500081B200000400004081B20000040000406C
+:1046600081B200000400004081B20000040000405C
+:1046700081B200000400004081B20000040000404C
+:1046800081B200000400004081B20000040000403C
+:1046900081B200000400004081B20000040000402C
+:1046A00081B200000400004081B20000040000401C
+:1046B00081B200000400004081B20000040000400C
+:1046C00081B200000400004081B2000004000040FC
+:1046D00081B200000400004081B2000004000040EC
+:1046E00081B200000400004081B2000004000040DC
+:1046F00081B200000400004081B2000004000040CC
+:1047000081B200000400004081B2000004000040BB
+:1047100081B200000400004081B2000004000040AB
+:1047200081B200000400004081B20000040000409B
+:1047300081B200000400004081B20000040000408B
+:1047400081B200000400004081B20000040000407B
+:1047500081B200000400004081B20000040000406B
+:1047600081B200000400004081B20000040000405B
+:1047700081B200000400004081B20000040000404B
+:1047800081B200000400004081B20000040000403B
+:1047900081B200000400004081B20000040000402B
+:1047A00081B200000400004081B20000040000401B
+:1047B00081B200000400004081B20000040000400B
+:1047C00081B200000400004081B2000004000040FB
+:1047D00081B200000400004081B2000004000040EB
+:1047E00081B200000400004081B2000004000040DB
+:1047F00081B200000400004081B2000004000040CB
+:1048000081B200000400004081B2000004000040BA
+:1048100081B200000400004081B2000004000040AA
+:1048200081B200000400004081B20000040000409A
+:1048300081B200000400004081B20000040000408A
+:1048400081B200000400004081B20000040000407A
+:1048500081B200000400004081B20000040000406A
+:1048600081B200000400004081B20000040000405A
+:1048700081B200000400004081B20000040000404A
+:1048800081B200000400004081B20000040000403A
+:1048900081B200000400004081B20000040000402A
+:1048A00081B200000400004081B20000040000401A
+:1048B00081B200000400004081B20000040000400A
+:1048C00081B200000400004081B2000004000040FA
+:1048D00081B200000400004081B2000004000040EA
+:1048E00081B200000400004081B2000004000040DA
+:1048F00081B200000400004081B2000004000040CA
+:1049000081B200000400004081B2000004000040B9
+:1049100081B200000400004081B2000004000040A9
+:1049200081B200000400004081B200000400004099
+:1049300081B200000400004081B200000400004089
+:1049400081B200000400004081B200000400004079
+:1049500081B200000400004081B200000400004069
+:1049600081B200000400004081B200000400004059
+:1049700081B200000400004081B200000400004049
+:1049800081B200000400004081B200000400004039
+:1049900081B200000400004081B200000400004029
+:1049A00081B200000400004081B200000400004019
+:1049B00081B200000400004081B200000400004009
+:1049C00081B200000400004081B2000004000040F9
+:1049D00081B200000400004081B2000004000040E9
+:1049E00081B200000400004081B2000004000040D9
+:1049F00081B200000400004081B2000004000040C9
+:104A000081B200000400004081B2000004000040B8
+:104A100081B200000400004081B2000004000040A8
+:104A200081B200000400004081B200000400004098
+:104A300081B200000400004081B200000400004088
+:104A400081B200000400004081B200000400004078
+:104A500081B200000400004081B200000400004068
+:104A600081B200000400004081B200000400004058
+:104A700081B200000400004081B200000400004048
+:104A800081B200000400004081B200000400004038
+:104A900081B200000400004081B200000400004028
+:104AA00081B200000400004081B200000400004018
+:104AB00081B200000400004081B200000400004008
+:104AC00081B200000400004081B2000004000040F8
+:104AD00081B200000400004081B2000004000040E8
+:104AE00081B200000400004081B2000004000040D8
+:104AF00081B200000400004081B2000004000040C8
+:104B000081B200000400004081B2000004000040B7
+:104B100081B200000400004081B2000004000040A7
+:104B200081B200000400004081B200000400004097
+:104B300081B200000400004081B200000400004087
+:104B400081B200000400004081B200000400004077
+:104B500081B200000400004081B200000400004067
+:104B600081B200000400004081B200000400004057
+:104B700081B200000400004081B200000400004047
+:104B800081B200000400004081B200000400004037
+:104B900081B200000400004081B200000400004027
+:104BA00081B200000400004081B200000400004017
+:104BB00081B200000400004081B200000400004007
+:104BC00081B200000400004081B2000004000040F7
+:104BD00081B200000400004081B2000004000040E7
+:104BE00081B200000400004081B2000004000040D7
+:104BF00081B200000400004081B2000004000040C7
+:104C000081B200000400004081B2000004000040B6
+:104C100081B200000400004081B2000004000040A6
+:104C200081B200000400004081B200000400004096
+:104C300081B200000400004081B200000400004086
+:104C400081B200000400004081B200000400004076
+:104C500081B200000400004081B200000400004066
+:104C600081B200000400004081B200000400004056
+:104C700081B200000400004081B200000400004046
+:104C800081B200000400004081B200000400004036
+:104C900081B200000400004081B200000400004026
+:104CA00081B200000400004081B200000400004016
+:104CB00081B200000400004081B200000400004006
+:104CC00081B200000400004081B2000004000040F6
+:104CD00081B200000400004081B2000004000040E6
+:104CE00081B200000400004081B2000004000040D6
+:104CF00081B200000400004081B2000004000040C6
+:104D000081B200000400004081B2000004000040B5
+:104D100081B200000400004081B2000004000040A5
+:104D200081B200000400004081B200000400004095
+:104D300081B200000400004081B200000400004085
+:104D400081B200000400004081B200000400004075
+:104D500081B200000400004081B200000400004065
+:104D600081B200000400004081B200000400004055
+:104D700081B200000400004081B200000400004045
+:104D800081B200000400004081B200000400004035
+:104D900081B200000400004081B200000400004025
+:104DA00081B200000400004081B200000400004015
+:104DB00081B200000400004081B200000400004005
+:104DC00081B200000400004081B2000004000040F5
+:104DD00081B200000400004081B2000004000040E5
+:104DE00081B200000400004081B2000004000040D5
+:104DF00081B200000400004081B2000004000040C5
+:104E000081B200000400004081B2000004000040B4
+:104E100081B200000400004081B2000004000040A4
+:104E200081B200000400004081B200000400004094
+:104E300081B200000400004081B200000400004084
+:104E400081B200000400004081B200000400004074
+:104E500081B200000400004081B200000400004064
+:104E600081B200000400004081B200000400004054
+:104E700081B200000400004081B200000400004044
+:104E800081B200000400004081B200000400004034
+:104E900081B200000400004081B200000400004024
+:104EA00081B200000400004081B200000400004014
+:104EB00081B200000400004081B200000400004004
+:104EC00081B200000400004081B2000004000040F4
+:104ED00081B200000400004081B2000004000040E4
+:104EE00081B200000400004081B2000004000040D4
+:104EF00081B200000400004081B2000004000040C4
+:104F000081B200000400004081B2000004000040B3
+:104F100081B200000400004081B2000004000040A3
+:104F200081B200000400004081B200000400004093
+:104F300081B200000400004081B200000400004083
+:104F400081B200000400004081B200000400004073
+:104F500081B200000400004081B200000400004063
+:104F600081B200000400004081B200000400004053
+:104F700081B200000400004081B200000400004043
+:104F800081B200000400004081B200000400004033
+:104F900081B200000400004081B200000400004023
+:104FA00081B200000400004081B200000400004013
+:104FB00081B200000400004081B200000400004003
+:104FC00081B200000400004081B2000004000040F3
+:104FD00081B200000400004081B2000004000040E3
+:104FE00081B200000400004081B2000004000040D3
+:104FF00081B200000400004081B2000004000040C3
+:1050000081B200000400004081B2000004000040B2
+:1050100081B200000400004081B2000004000040A2
+:1050200081B200000400004081B200000400004092
+:1050300081B200000400004081B200000400004082
+:1050400081B200000400004081B200000400004072
+:1050500081B200000400004081B200000400004062
+:1050600081B200000400004081B200000400004052
+:1050700081B200000400004081B200000400004042
+:1050800081B200000400004081B200000400004032
+:1050900081B200000400004081B200000400004022
+:1050A00081B200000400004081B200000400004012
+:1050B00081B200000400004081B200000400004002
+:1050C00081B200000400004081B2000004000040F2
+:1050D00081B200000400004081B2000004000040E2
+:1050E00081B200000400004081B2000004000040D2
+:1050F00081B200000400004081B2000004000040C2
+:1051000081B200000400004081B2000004000040B1
+:1051100081B200000400004081B2000004000040A1
+:1051200081B200000400004081B200000400004091
+:1051300081B200000400004081B200000400004081
+:1051400081B200000400004081B200000400004071
+:1051500081B200000400004081B200000400004061
+:1051600081B200000400004081B200000400004051
+:1051700081B200000400004081B200000400004041
+:1051800081B200000400004081B200000400004031
+:1051900081B200000400004081B200000400004021
+:1051A00081B200000400004081B200000400004011
+:1051B00081B200000400004081B200000400004001
+:1051C00081B200000400004081B2000004000040F1
+:1051D00081B200000400004081B2000004000040E1
+:1051E00081B200000400004081B2000004000040D1
+:1051F00081B200000400004081B2000004000040C1
+:1052000081B200000400004081B2000004000040B0
+:1052100081B200000400004081B2000004000040A0
+:1052200081B200000400004081B200000400004090
+:1052300081B200000400004081B200000400004080
+:1052400081B200000400004081B200000400004070
+:1052500081B200000400004081B200000400004060
+:1052600081B200000400004081B200000400004050
+:1052700081B200000400004081B200000400004040
+:1052800081B200000400004081B200000400004030
+:1052900081B200000400004081B200000400004020
+:1052A00081B200000400004081B200000400004010
+:1052B00081B200000400004081B200000400004000
+:1052C00081B200000400004081B2000004000040F0
+:1052D00081B200000400004081B2000004000040E0
+:1052E00081B200000400004081B2000004000040D0
+:1052F00081B200000400004081B2000004000040C0
+:1053000081B200000400004081B2000004000040AF
+:1053100081B200000400004081B20000040000409F
+:1053200081B200000400004081B20000040000408F
+:1053300081B200000400004081B20000040000407F
+:1053400081B200000400004081B20000040000406F
+:1053500081B200000400004081B20000040000405F
+:1053600081B200000400004081B20000040000404F
+:1053700081B200000400004081B20000040000403F
+:1053800081B200000400004081B20000040000402F
+:1053900081B200000400004081B20000040000401F
+:1053A00081B200000400004081B20000040000400F
+:1053B00081B200000400004081B2000004000040FF
+:1053C00081B200000400004081B2000004000040EF
+:1053D00081B200000400004081B2000004000040DF
+:1053E00081B200000400004081B2000004000040CF
+:1053F00081B200000400004081B2000004000040BF
+:1054000081B200000400004081B2000004000040AE
+:1054100081B200000400004081B20000040000409E
+:1054200081B200000400004081B20000040000408E
+:1054300081B200000400004081B20000040000407E
+:1054400081B200000400004081B20000040000406E
+:1054500081B200000400004081B20000040000405E
+:1054600081B200000400004081B20000040000404E
+:1054700081B200000400004081B20000040000403E
+:1054800081B200000400004081B20000040000402E
+:1054900081B200000400004081B20000040000401E
+:1054A00081B200000400004081B20000040000400E
+:1054B00081B200000400004081B2000004000040FE
+:1054C00081B200000400004081B2000004000040EE
+:1054D00081B200000400004081B2000004000040DE
+:1054E00081B200000400004081B2000004000040CE
+:1054F00081B200000400004081B2000004000040BE
+:1055000081B200000400004081B2000004000040AD
+:1055100081B200000400004081B20000040000409D
+:1055200081B200000400004081B20000040000408D
+:1055300081B200000400004081B20000040000407D
+:1055400081B200000400004081B20000040000406D
+:1055500081B200000400004081B20000040000405D
+:1055600081B200000400004081B20000040000404D
+:1055700081B200000400004081B20000040000403D
+:1055800081B200000400004081B20000040000402D
+:1055900081B200000400004081B20000040000401D
+:1055A00081B200000400004081B20000040000400D
+:1055B00081B200000400004081B2000004000040FD
+:1055C00081B200000400004081B2000004000040ED
+:1055D00081B200000400004081B2000004000040DD
+:1055E00081B200000400004081B2000004000040CD
+:1055F00081B200000400004081B2000004000040BD
+:1056000081B200000400004081B2000004000040AC
+:1056100081B200000400004081B20000040000409C
+:1056200081B200000400004081B20000040000408C
+:1056300081B200000400004081B20000040000407C
+:1056400081B200000400004081B20000040000406C
+:1056500081B200000400004081B20000040000405C
+:1056600081B200000400004081B20000040000404C
+:1056700081B200000400004081B20000040000403C
+:1056800081B200000400004081B20000040000402C
+:1056900081B200000400004081B20000040000401C
+:1056A00081B200000400004081B20000040000400C
+:1056B00081B200000400004081B2000004000040FC
+:1056C00081B200000400004081B2000004000040EC
+:1056D00081B200000400004081B2000004000040DC
+:1056E00081B200000400004081B2000004000040CC
+:1056F00081B200000400004081B2000004000040BC
+:1057000081B200000400004081B2000004000040AB
+:1057100081B200000400004081B20000040000409B
+:1057200081B200000400004081B20000040000408B
+:1057300081B200000400004081B20000040000407B
+:1057400081B200000400004081B20000040000406B
+:1057500081B200000400004081B20000040000405B
+:1057600081B200000400004081B20000040000404B
+:1057700081B200000400004081B20000040000403B
+:1057800081B200000400004081B20000040000402B
+:1057900081B200000400004081B20000040000401B
+:1057A00081B200000400004081B20000040000400B
+:1057B00081B200000400004081B2000004000040FB
+:1057C00081B200000400004081B2000004000040EB
+:1057D00081B200000400004081B2000004000040DB
+:1057E00081B200000400004081B2000004000040CB
+:1057F00081B200000400004081B2000004000040BB
+:1058000081B200000400004081B2000004000040AA
+:1058100081B200000400004081B20000040000409A
+:1058200081B200000400004081B20000040000408A
+:1058300081B200000400004081B20000040000407A
+:1058400081B200000400004081B20000040000406A
+:1058500081B200000400004081B20000040000405A
+:1058600081B200000400004081B20000040000404A
+:1058700081B200000400004081B20000040000403A
+:1058800081B200000400004081B20000040000402A
+:1058900081B200000400004081B20000040000401A
+:1058A00081B200000400004081B20000040000400A
+:1058B00081B200000400004081B2000004000040FA
+:1058C00081B200000400004081B2000004000040EA
+:1058D00081B200000400004081B2000004000040DA
+:1058E00081B200000400004081B2000004000040CA
+:1058F00081B200000400004081B2000004000040BA
+:1059000081B200000400004081B2000004000040A9
+:1059100081B200000400004081B200000400004099
+:1059200081B200000400004081B200000400004089
+:1059300081B200000400004081B200000400004079
+:1059400081B200000400004081B200000400004069
+:1059500081B200000400004081B200000400004059
+:1059600081B200000400004081B200000400004049
+:1059700081B200000400004081B200000400004039
+:1059800081B200000400004081B200000400004029
+:1059900081B200000400004081B200000400004019
+:1059A00081B200000400004081B200000400004009
+:1059B00081B200000400004081B2000004000040F9
+:1059C00081B200000400004081B2000004000040E9
+:1059D00081B200000400004081B2000004000040D9
+:1059E00081B200000400004081B2000004000040C9
+:1059F00081B200000400004081B2000004000040B9
+:105A000081B200000400004081B2000004000040A8
+:105A100081B200000400004081B200000400004098
+:105A200081B200000400004081B200000400004088
+:105A300081B200000400004081B200000400004078
+:105A400081B200000400004081B200000400004068
+:105A500081B200000400004081B200000400004058
+:105A600081B200000400004081B200000400004048
+:105A700081B200000400004081B200000400004038
+:105A800081B200000400004081B200000400004028
+:105A900081B200000400004081B200000400004018
+:105AA00081B200000400004081B200000400004008
+:105AB00081B200000400004081B2000004000040F8
+:105AC00081B200000400004081B2000004000040E8
+:105AD00081B200000400004081B2000004000040D8
+:105AE00081B200000400004081B2000004000040C8
+:105AF00081B200000400004081B2000004000040B8
+:105B000081B200000400004081B2000004000040A7
+:105B100081B200000400004081B200000400004097
+:105B200081B200000400004081B200000400004087
+:105B300081B200000400004081B200000400004077
+:105B400081B200000400004081B200000400004067
+:105B500081B200000400004081B200000400004057
+:105B600081B200000400004081B200000400004047
+:105B700081B200000400004081B200000400004037
+:105B800081B200000400004081B200000400004027
+:105B900081B200000400004081B200000400004017
+:105BA00081B200000400004081B200000400004007
+:105BB00081B200000400004081B2000004000040F7
+:105BC00081B200000400004081B2000004000040E7
+:105BD00081B200000400004081B2000004000040D7
+:105BE00081B200000400004081B2000004000040C7
+:105BF00081B200000400004081B2000004000040B7
+:105C000081B200000400004081B2000004000040A6
+:105C100081B200000400004081B200000400004096
+:105C200081B200000400004081B200000400004086
+:105C300081B200000400004081B200000400004076
+:105C400081B200000400004081B200000400004066
+:105C500081B200000400004081B200000400004056
+:105C600081B200000400004081B200000400004046
+:105C700081B200000400004081B200000400004036
+:105C800081B200000400004081B200000400004026
+:105C900081B200000400004081B200000400004016
+:105CA00081B200000400004081B200000400004006
+:105CB00081B200000400004081B2000004000040F6
+:105CC00081B200000400004081B2000004000040E6
+:105CD00081B200000400004081B2000004000040D6
+:105CE00081B200000400004081B2000004000040C6
+:105CF00081B200000400004081B2000004000040B6
+:105D000081B200000400004081B2000004000040A5
+:105D100081B200000400004081B200000400004095
+:105D200081B200000400004081B200000400004085
+:105D300081B200000400004081B200000400004075
+:105D400081B200000400004081B200000400004065
+:105D500081B200000400004081B200000400004055
+:105D600081B200000400004081B200000400004045
+:105D700081B200000400004081B200000400004035
+:105D800081B200000400004081B200000400004025
+:105D900081B200000400004081B200000400004015
+:105DA00081B200000400004081B200000400004005
+:105DB00081B200000400004081B2000004000040F5
+:105DC00081B200000400004081B2000004000040E5
+:105DD00081B200000400004081B2000004000040D5
+:105DE00081B200000400004081B2000004000040C5
+:105DF00081B200000400004081B2000004000040B5
+:105E000081B200000400004081B2000004000040A4
+:105E100081B200000400004081B200000400004094
+:105E200081B200000400004081B200000400004084
+:105E300081B200000400004081B200000400004074
+:105E400081B200000400004081B200000400004064
+:105E500081B200000400004081B200000400004054
+:105E600081B200000400004081B200000400004044
+:105E700081B200000400004081B200000400004034
+:105E800081B200000400004081B200000400004024
+:105E900081B200000400004081B200000400004014
+:105EA00081B200000400004081B200000400004004
+:105EB00081B200000400004081B2000004000040F4
+:105EC00081B200000400004081B2000004000040E4
+:105ED00081B200000400004081B2000004000040D4
+:105EE00081B200000400004081B2000004000040C4
+:105EF00081B200000400004081B2000004000040B4
+:105F000081B200000400004081B2000004000040A3
+:105F100081B200000400004081B200000400004093
+:105F200081B200000400004081B200000400004083
+:105F300081B200000400004081B200000400004073
+:105F400081B200000400004081B200000400004063
+:105F500081B200000400004081B200000400004053
+:105F600081B200000400004081B200000400004043
+:105F700081B200000400004081B200000400004033
+:105F800081B200000400004081B200000400004023
+:105F900081B200000400004081B200000400004013
+:105FA00081B200000400004081B200000400004003
+:105FB00081B200000400004081B2000004000040F3
+:105FC00081B200000400004081B2000004000040E3
+:105FD00081B200000400004081B2000004000040D3
+:105FE00081B200000400004081B2000004000040C3
+:105FF00081B200000400004081B2000004000040B3
+:1060000081B200000400004081B2000004000040A2
+:1060100081B200000400004081B200000400004092
+:1060200081B200000400004081B200000400004082
+:1060300081B200000400004081B200000400004072
+:1060400081B200000400004081B200000400004062
+:1060500081B200000400004081B200000400004052
+:1060600081B200000400004081B200000400004042
+:1060700081B200000400004081B200000400004032
+:1060800081B200000400004081B200000400004022
+:1060900081B200000400004081B200000400004012
+:1060A00081B200000400004081B200000400004002
+:1060B00081B200000400004081B2000004000040F2
+:1060C00081B200000400004081B2000004000040E2
+:1060D00081B200000400004081B2000004000040D2
+:1060E00081B200000400004081B2000004000040C2
+:1060F00081B200000400004081B2000004000040B2
+:1061000081B200000400004081B2000004000040A1
+:1061100081B200000400004081B200000400004091
+:1061200081B200000400004081B200000400004081
+:1061300081B200000400004081B200000400004071
+:1061400081B200000400004081B200000400004061
+:1061500081B200000400004081B200000400004051
+:1061600081B200000400004081B200000400004041
+:1061700081B200000400004081B200000400004031
+:1061800081B200000400004081B200000400004021
+:1061900081B200000400004081B200000400004011
+:1061A00081B200000400004081B200000400004001
+:1061B00081B200000400004081B2000004000040F1
+:1061C00081B200000400004081B2000004000040E1
+:1061D00081B200000400004081B2000004000040D1
+:1061E00081B200000400004081B2000004000040C1
+:1061F00081B200000400004081B2000004000040B1
+:1062000081B200000400004081B2000004000040A0
+:1062100081B200000400004081B200000400004090
+:1062200081B200000400004081B200000400004080
+:1062300081B200000400004081B200000400004070
+:1062400081B200000400004081B200000400004060
+:1062500081B200000400004081B200000400004050
+:1062600081B200000400004081B200000400004040
+:1062700081B200000400004081B200000400004030
+:1062800081B200000400004081B200000400004020
+:1062900081B200000400004081B200000400004010
+:1062A00081B200000400004081B200000400004000
+:1062B00081B200000400004081B2000004000040F0
+:1062C00081B200000400004081B2000004000040E0
+:1062D00081B200000400004081B2000004000040D0
+:1062E00081B200000400004081B2000004000040C0
+:1062F00081B200000400004081B2000004000040B0
+:1063000081B200000400004081B20000040000409F
+:1063100081B200000400004081B20000040000408F
+:1063200081B200000400004081B20000040000407F
+:1063300081B200000400004081B20000040000406F
+:1063400081B200000400004081B20000040000405F
+:1063500081B200000400004081B20000040000404F
+:1063600081B200000400004081B20000040000403F
+:1063700081B200000400004081B20000040000402F
+:1063800081B200000400004081B20000040000401F
+:1063900081B200000400004081B20000040000400F
+:1063A00081B200000400004081B2000004000040FF
+:1063B00081B200000400004081B2000004000040EF
+:1063C00081B200000400004081B2000004000040DF
+:1063D00081B200000400004081B2000004000040CF
+:1063E00081B200000400004081B2000004000040BF
+:1063F00081B200000400004081B2000004000040AF
+:1064000081B200000400004081B20000040000409E
+:1064100081B200000400004081B20000040000408E
+:1064200081B200000400004081B20000040000407E
+:1064300081B200000400004081B20000040000406E
+:1064400081B200000400004081B20000040000405E
+:1064500081B200000400004081B20000040000404E
+:1064600081B200000400004081B20000040000403E
+:1064700081B200000400004081B20000040000402E
+:1064800081B200000400004081B20000040000401E
+:1064900081B200000400004081B20000040000400E
+:1064A00081B200000400004081B2000004000040FE
+:1064B00081B200000400004081B2000004000040EE
+:1064C00081B200000400004081B2000004000040DE
+:1064D00081B200000400004081B2000004000040CE
+:1064E00081B200000400004081B2000004000040BE
+:1064F00081B200000400004081B2000004000040AE
+:1065000081B200000400004081B20000040000409D
+:1065100081B200000400004081B20000040000408D
+:1065200081B200000400004081B20000040000407D
+:1065300081B200000400004081B20000040000406D
+:1065400081B200000400004081B20000040000405D
+:1065500081B200000400004081B20000040000404D
+:1065600081B200000400004081B20000040000403D
+:1065700081B200000400004081B20000040000402D
+:1065800081B200000400004081B20000040000401D
+:1065900081B200000400004081B20000040000400D
+:1065A00081B200000400004081B2000004000040FD
+:1065B00081B200000400004081B2000004000040ED
+:1065C00081B200000400004081B2000004000040DD
+:1065D00081B200000400004081B2000004000040CD
+:1065E00081B200000400004081B2000004000040BD
+:1065F00081B200000400004081B2000004000040AD
+:1066000081B200000400004081B20000040000409C
+:1066100081B200000400004081B20000040000408C
+:1066200081B200000400004081B20000040000407C
+:1066300081B200000400004081B20000040000406C
+:1066400081B200000400004081B20000040000405C
+:1066500081B200000400004081B20000040000404C
+:1066600081B200000400004081B20000040000403C
+:1066700081B200000400004081B20000040000402C
+:1066800081B200000400004081B20000040000401C
+:1066900081B200000400004081B20000040000400C
+:1066A00081B200000400004081B2000004000040FC
+:1066B00081B200000400004081B2000004000040EC
+:1066C00081B200000400004081B2000004000040DC
+:1066D00081B200000400004081B2000004000040CC
+:1066E00081B200000400004081B2000004000040BC
+:1066F00081B200000400004081B2000004000040AC
+:1067000081B200000400004081B20000040000409B
+:1067100081B200000400004081B20000040000408B
+:1067200081B200000400004081B20000040000407B
+:1067300081B200000400004081B20000040000406B
+:1067400081B200000400004081B20000040000405B
+:1067500081B200000400004081B20000040000404B
+:1067600081B200000400004081B20000040000403B
+:1067700081B200000400004081B20000040000402B
+:1067800081B200000400004081B20000040000401B
+:1067900081B200000400004081B20000040000400B
+:1067A00081B200000400004081B2000004000040FB
+:1067B00081B200000400004081B2000004000040EB
+:1067C00081B200000400004081B2000004000040DB
+:1067D00081B200000400004081B2000004000040CB
+:1067E00081B200000400004081B2000004000040BB
+:1067F00081B200000400004081B2000004000040AB
+:1068000081B200000400004081B20000040000409A
+:1068100081B200000400004081B20000040000408A
+:1068200081B200000400004081B20000040000407A
+:1068300081B200000400004081B20000040000406A
+:1068400081B200000400004081B20000040000405A
+:1068500081B200000400004081B20000040000404A
+:1068600081B200000400004081B20000040000403A
+:1068700081B200000400004081B20000040000402A
+:1068800081B200000400004081B20000040000401A
+:1068900081B200000400004081B20000040000400A
+:1068A00081B200000400004081B2000004000040FA
+:1068B00081B200000400004081B2000004000040EA
+:1068C00081B200000400004081B2000004000040DA
+:1068D00081B200000400004081B2000004000040CA
+:1068E00081B200000400004081B2000004000040BA
+:1068F00081B200000400004081B2000004000040AA
+:1069000081B200000400004081B200000400004099
+:1069100081B200000400004081B200000400004089
+:1069200081B200000400004081B200000400004079
+:1069300081B200000400004081B200000400004069
+:1069400081B200000400004081B200000400004059
+:1069500081B200000400004081B200000400004049
+:1069600081B200000400004081B200000400004039
+:1069700081B200000400004081B200000400004029
+:1069800081B200000400004081B200000400004019
+:1069900081B200000400004081B200000400004009
+:1069A00081B200000400004081B2000004000040F9
+:1069B00081B200000400004081B2000004000040E9
+:1069C00081B200000400004081B2000004000040D9
+:1069D00081B200000400004081B2000004000040C9
+:1069E00081B200000400004081B2000004000040B9
+:1069F00081B200000400004081B2000004000040A9
+:106A000081B200000400004081B200000400004098
+:106A100081B200000400004081B200000400004088
+:106A200081B200000400004081B200000400004078
+:106A300081B200000400004081B200000400004068
+:106A400081B200000400004081B200000400004058
+:106A500081B200000400004081B200000400004048
+:106A600081B200000400004081B200000400004038
+:106A700081B200000400004081B200000400004028
+:106A800081B200000400004081B200000400004018
+:106A900081B200000400004081B200000400004008
+:106AA00081B200000400004081B2000004000040F8
+:106AB00081B200000400004081B2000004000040E8
+:106AC00081B200000400004081B2000004000040D8
+:106AD00081B200000400004081B2000004000040C8
+:106AE00081B200000400004081B2000004000040B8
+:106AF00081B200000400004081B2000004000040A8
+:106B000081B200000400004081B200000400004097
+:106B100081B200000400004081B200000400004087
+:106B200081B200000400004081B200000400004077
+:106B300081B200000400004081B200000400004067
+:106B400081B200000400004081B200000400004057
+:106B500081B200000400004081B200000400004047
+:106B600081B200000400004081B200000400004037
+:106B700081B200000400004081B200000400004027
+:106B800081B200000400004081B200000400004017
+:106B900081B200000400004081B200000400004007
+:106BA00081B200000400004081B2000004000040F7
+:106BB00081B200000400004081B2000004000040E7
+:106BC00081B200000400004081B2000004000040D7
+:106BD00081B200000400004081B2000004000040C7
+:106BE00081B200000400004081B2000004000040B7
+:106BF00081B200000400004081B2000004000040A7
+:106C000081B200000400004081B200000400004096
+:106C100081B200000400004081B200000400004086
+:106C200081B200000400004081B200000400004076
+:106C300081B200000400004081B200000400004066
+:106C400081B200000400004081B200000400004056
+:106C500081B200000400004081B200000400004046
+:106C600081B200000400004081B200000400004036
+:106C700081B200000400004081B200000400004026
+:106C800081B200000400004081B200000400004016
+:106C900081B200000400004081B200000400004006
+:106CA00081B200000400004081B2000004000040F6
+:106CB00081B200000400004081B2000004000040E6
+:106CC00081B200000400004081B2000004000040D6
+:106CD00081B200000400004081B2000004000040C6
+:106CE00081B200000400004081B2000004000040B6
+:106CF00081B200000400004081B2000004000040A6
+:106D000081B200000400004081B200000400004095
+:106D100081B200000400004081B200000400004085
+:106D200081B200000400004081B200000400004075
+:106D300081B200000400004081B200000400004065
+:106D400081B200000400004081B200000400004055
+:106D500081B200000400004081B200000400004045
+:106D600081B200000400004081B200000400004035
+:106D700081B200000400004081B200000400004025
+:106D800081B200000400004081B200000400004015
+:106D900081B200000400004081B200000400004005
+:106DA00081B200000400004081B2000004000040F5
+:106DB00081B200000400004081B2000004000040E5
+:106DC00081B200000400004081B2000004000040D5
+:106DD00081B200000400004081B2000004000040C5
+:106DE00081B200000400004081B2000004000040B5
+:106DF00081B200000400004081B2000004000040A5
+:106E000081B200000400004081B200000400004094
+:106E100081B200000400004081B200000400004084
+:106E200081B200000400004081B200000400004074
+:106E300081B200000400004081B200000400004064
+:106E400081B200000400004081B200000400004054
+:106E500081B200000400004081B200000400004044
+:106E600081B200000400004081B200000400004034
+:106E700081B200000400004081B200000400004024
+:106E800081B200000400004081B200000400004014
+:106E900081B200000400004081B200000400004004
+:106EA00081B200000400004081B2000004000040F4
+:106EB00081B200000400004081B2000004000040E4
+:106EC00081B200000400004081B2000004000040D4
+:106ED00081B200000400004081B2000004000040C4
+:106EE00081B200000400004081B2000004000040B4
+:106EF00081B200000400004081B2000004000040A4
+:106F000081B200000400004081B200000400004093
+:106F100081B200000400004081B200000400004083
+:106F200081B200000400004081B200000400004073
+:106F300081B200000400004081B200000400004063
+:106F400081B200000400004081B200000400004053
+:106F500081B200000400004081B200000400004043
+:106F600081B200000400004081B200000400004033
+:106F700081B200000400004081B200000400004023
+:106F800081B200000400004081B200000400004013
+:106F900081B200000400004081B200000400004003
+:106FA00081B200000400004081B2000004000040F3
+:106FB00081B200000400004081B2000004000040E3
+:106FC00081B200000400004081B2000004000040D3
+:106FD00081B200000400004081B2000004000040C3
+:106FE00081B200000400004081B2000004000040B3
+:106FF00081B200000400004081B2000004000040A3
+:1070000081B200000400004081B200000400004092
+:1070100081B200000400004081B200000400004082
+:1070200081B200000400004081B200000400004072
+:1070300081B200000400004081B200000400004062
+:1070400081B200000400004081B200000400004052
+:1070500081B200000400004081B200000400004042
+:1070600081B200000400004081B200000400004032
+:1070700081B200000400004081B200000400004022
+:1070800081B200000400004081B200000400004012
+:1070900081B200000400004081B200000400004002
+:1070A00081B200000400004081B2000004000040F2
+:1070B00081B200000400004081B2000004000040E2
+:1070C00081B200000400004081B2000004000040D2
+:1070D00081B200000400004081B2000004000040C2
+:1070E00081B200000400004081B2000004000040B2
+:1070F00081B200000400004081B2000004000040A2
+:1071000081B200000400004081B200000400004091
+:1071100081B200000400004081B200000400004081
+:1071200081B200000400004081B200000400004071
+:1071300081B200000400004081B200000400004061
+:1071400081B200000400004081B200000400004051
+:1071500081B200000400004081B200000400004041
+:1071600081B200000400004081B200000400004031
+:1071700081B200000400004081B200000400004021
+:1071800081B200000400004081B200000400004011
+:1071900081B200000400004081B200000400004001
+:1071A00081B200000400004081B2000004000040F1
+:1071B00081B200000400004081B2000004000040E1
+:1071C00081B200000400004081B2000004000040D1
+:1071D00081B200000400004081B2000004000040C1
+:1071E00081B200000400004081B2000004000040B1
+:1071F00081B200000400004081B2000004000040A1
+:1072000081B200000400004081B200000400004090
+:1072100081B200000400004081B200000400004080
+:1072200081B200000400004081B200000400004070
+:1072300081B200000400004081B200000400004060
+:1072400081B200000400004081B200000400004050
+:1072500081B200000400004081B200000400004040
+:1072600081B200000400004081B200000400004030
+:1072700081B200000400004081B200000400004020
+:1072800081B200000400004081B200000400004010
+:1072900081B200000400004081B200000400004000
+:1072A00081B200000400004081B2000004000040F0
+:1072B00081B200000400004081B2000004000040E0
+:1072C00081B200000400004081B2000004000040D0
+:1072D00081B200000400004081B2000004000040C0
+:1072E00081B200000400004081B2000004000040B0
+:1072F00081B200000400004081B2000004000040A0
+:1073000081B200000400004081B20000040000408F
+:1073100081B200000400004081B20000040000407F
+:1073200081B200000400004081B20000040000406F
+:1073300081B200000400004081B20000040000405F
+:1073400081B200000400004081B20000040000404F
+:1073500081B200000400004081B20000040000403F
+:1073600081B200000400004081B20000040000402F
+:1073700081B200000400004081B20000040000401F
+:1073800081B200000400004081B20000040000400F
+:1073900081B200000400004081B2000004000040FF
+:1073A00081B200000400004081B2000004000040EF
+:1073B00081B200000400004081B2000004000040DF
+:1073C00081B200000400004081B2000004000040CF
+:1073D00081B200000400004081B2000004000040BF
+:1073E00081B200000400004081B2000004000040AF
+:1073F00081B200000400004081B20000040000409F
+:1074000081B200000400004081B20000040000408E
+:1074100081B200000400004081B20000040000407E
+:1074200081B200000400004081B20000040000406E
+:1074300081B200000400004081B20000040000405E
+:1074400081B200000400004081B20000040000404E
+:1074500081B200000400004081B20000040000403E
+:1074600081B200000400004081B20000040000402E
+:1074700081B200000400004081B20000040000401E
+:1074800081B200000400004081B20000040000400E
+:1074900081B200000400004081B2000004000040FE
+:1074A00081B200000400004081B2000004000040EE
+:1074B00081B200000400004081B2000004000040DE
+:1074C00081B200000400004081B2000004000040CE
+:1074D00081B200000400004081B2000004000040BE
+:1074E00081B200000400004081B2000004000040AE
+:1074F00081B200000400004081B20000040000409E
+:1075000081B200000400004081B20000040000408D
+:1075100081B200000400004081B20000040000407D
+:1075200081B200000400004081B20000040000406D
+:1075300081B200000400004081B20000040000405D
+:1075400081B200000400004081B20000040000404D
+:1075500081B200000400004081B20000040000403D
+:1075600081B200000400004081B20000040000402D
+:1075700081B200000400004081B20000040000401D
+:1075800081B200000400004081B20000040000400D
+:1075900081B200000400004081B2000004000040FD
+:1075A00081B200000400004081B2000004000040ED
+:1075B00081B200000400004081B2000004000040DD
+:1075C00081B200000400004081B2000004000040CD
+:1075D00081B200000400004081B2000004000040BD
+:1075E00081B200000400004081B2000004000040AD
+:1075F00081B200000400004081B20000040000409D
+:1076000081B200000400004081B20000040000408C
+:1076100081B200000400004081B20000040000407C
+:1076200081B200000400004081B20000040000406C
+:1076300081B200000400004081B20000040000405C
+:1076400081B200000400004081B20000040000404C
+:1076500081B200000400004081B20000040000403C
+:1076600081B200000400004081B20000040000402C
+:1076700081B200000400004081B20000040000401C
+:1076800081B200000400004081B20000040000400C
+:1076900081B200000400004081B2000004000040FC
+:1076A00081B200000400004081B2000004000040EC
+:1076B00081B200000400004081B2000004000040DC
+:1076C00081B200000400004081B2000004000040CC
+:1076D00081B200000400004081B2000004000040BC
+:1076E00081B200000400004081B2000004000040AC
+:1076F00081B200000400004081B20000040000409C
+:1077000081B200000400004081B20000040000408B
+:1077100081B200000400004081B20000040000407B
+:1077200081B200000400004081B20000040000406B
+:1077300081B200000400004081B20000040000405B
+:1077400081B200000400004081B20000040000404B
+:1077500081B200000400004081B20000040000403B
+:1077600081B200000400004081B20000040000402B
+:1077700081B200000400004081B20000040000401B
+:1077800081B200000400004081B20000040000400B
+:1077900081B200000400004081B2000004000040FB
+:1077A00081B200000400004081B2000004000040EB
+:1077B00081B200000400004081B2000004000040DB
+:1077C00081B200000400004081B2000004000040CB
+:1077D00081B200000400004081B2000004000040BB
+:1077E00081B200000400004081B2000004000040AB
+:1077F00081B200000400004081B20000040000409B
+:1078000081B200000400004081B20000040000408A
+:1078100081B200000400004081B20000040000407A
+:1078200081B200000400004081B20000040000406A
+:1078300081B200000400004081B20000040000405A
+:1078400081B200000400004081B20000040000404A
+:1078500081B200000400004081B20000040000403A
+:1078600081B200000400004081B20000040000402A
+:1078700081B200000400004081B20000040000401A
+:1078800081B200000400004081B20000040000400A
+:1078900081B200000400004081B2000004000040FA
+:1078A00081B200000400004081B2000004000040EA
+:1078B00081B200000400004081B2000004000040DA
+:1078C00081B200000400004081B2000004000040CA
+:1078D00081B200000400004081B2000004000040BA
+:1078E00081B200000400004081B2000004000040AA
+:1078F00081B200000400004081B20000040000409A
+:1079000081B200000400004081B200000400004089
+:1079100081B200000400004081B200000400004079
+:1079200081B200000400004081B200000400004069
+:1079300081B200000400004081B200000400004059
+:1079400081B200000400004081B200000400004049
+:1079500081B200000400004081B200000400004039
+:1079600081B200000400004081B200000400004029
+:1079700081B200000400004081B200000400004019
+:1079800081B200000400004081B200000400004009
+:1079900081B200000400004081B2000004000040F9
+:1079A00081B200000400004081B2000004000040E9
+:1079B00081B200000400004081B2000004000040D9
+:1079C00081B200000400004081B2000004000040C9
+:1079D00081B200000400004081B2000004000040B9
+:1079E00081B200000400004081B2000004000040A9
+:1079F00081B200000400004081B200000400004099
+:107A000081B200000400004081B200000400004088
+:107A100081B200000400004081B200000400004078
+:107A200081B200000400004081B200000400004068
+:107A300081B200000400004081B200000400004058
+:107A400081B200000400004081B200000400004048
+:107A500081B200000400004081B200000400004038
+:107A600081B200000400004081B200000400004028
+:107A700081B200000400004081B200000400004018
+:107A800081B200000400004081B200000400004008
+:107A900081B200000400004081B2000004000040F8
+:107AA00081B200000400004081B2000004000040E8
+:107AB00081B200000400004081B2000004000040D8
+:107AC00081B200000400004081B2000004000040C8
+:107AD00081B200000400004081B2000004000040B8
+:107AE00081B200000400004081B2000004000040A8
+:107AF00081B200000400004081B200000400004098
+:107B000081B200000400004081B200000400004087
+:107B100081B200000400004081B200000400004077
+:107B200081B200000400004081B200000400004067
+:107B300081B200000400004081B200000400004057
+:107B400081B200000400004081B200000400004047
+:107B500081B200000400004081B200000400004037
+:107B600081B200000400004081B200000400004027
+:107B700081B200000400004081B200000400004017
+:107B800081B200000400004081B200000400004007
+:107B900081B200000400004081B2000004000040F7
+:107BA00081B200000400004081B2000004000040E7
+:107BB00081B200000400004081B2000004000040D7
+:107BC00081B200000400004081B2000004000040C7
+:107BD00081B200000400004081B2000004000040B7
+:107BE00081B200000400004081B2000004000040A7
+:107BF00081B200000400004081B200000400004097
+:107C000081B200000400004081B200000400004086
+:107C100081B200000400004081B200000400004076
+:107C200081B200000400004081B200000400004066
+:107C300081B200000400004081B200000400004056
+:107C400081B200000400004081B200000400004046
+:107C500081B200000400004081B200000400004036
+:107C600081B200000400004081B200000400004026
+:107C700081B200000400004081B200000400004016
+:107C800081B200000400004081B200000400004006
+:107C900081B200000400004081B2000004000040F6
+:107CA00081B200000400004081B2000004000040E6
+:107CB00081B200000400004081B2000004000040D6
+:107CC00081B200000400004081B2000004000040C6
+:107CD00081B200000400004081B2000004000040B6
+:107CE00081B200000400004081B2000004000040A6
+:107CF00081B200000400004081B200000400004096
+:107D000081B200000400004081B200000400004085
+:107D100081B200000400004081B200000400004075
+:107D200081B200000400004081B200000400004065
+:107D300081B200000400004081B200000400004055
+:107D400081B200000400004081B200000400004045
+:107D500081B200000400004081B200000400004035
+:107D600081B200000400004081B200000400004025
+:107D700081B200000400004081B200000400004015
+:107D800081B200000400004081B200000400004005
+:107D900081B200000400004081B2000004000040F5
+:107DA00081B200000400004081B2000004000040E5
+:107DB00081B200000400004081B2000004000040D5
+:107DC00081B200000400004081B2000004000040C5
+:107DD00081B200000400004081B2000004000040B5
+:107DE00081B200000400004081B2000004000040A5
+:107DF00081B200000400004081B200000400004095
+:107E000081B200000400004081B200000400004084
+:107E100081B200000400004081B200000400004074
+:107E200081B200000400004081B200000400004064
+:107E300081B200000400004081B200000400004054
+:107E400081B200000400004081B200000400004044
+:107E500081B200000400004081B200000400004034
+:107E600081B200000400004081B200000400004024
+:107E700081B200000400004081B200000400004014
+:107E800081B200000400004081B200000400004004
+:107E900081B200000400004081B2000004000040F4
+:107EA00081B200000400004081B2000004000040E4
+:107EB00081B200000400004081B2000004000040D4
+:107EC00081B200000400004081B2000004000040C4
+:107ED00081B200000400004081B2000004000040B4
+:107EE00081B200000400004081B2000004000040A4
+:107EF00081B200000400004081B200000400004094
+:107F000081B200000400004081B200000400004083
+:107F100081B200000400004081B200000400004073
+:107F200081B200000400004081B200000400004063
+:107F300081B200000400004081B200000400004053
+:107F400081B200000400004081B200000400004043
+:107F500081B200000400004081B200000400004033
+:107F600081B200000400004081B200000400004023
+:107F700081B200000400004081B200000400004013
+:107F800081B200000400004081B200000400004003
+:107F900081B200000400004081B2000004000040F3
+:107FA00081B200000400004081B2000004000040E3
+:107FB00081B200000400004081B2000004000040D3
+:107FC00081B200000400004081B20000F70F00BC45
+:107FD00080B200000380004081B2000003800040B6
+:107FE00081B200000380004081B2000003800040A5
+:107FF00081B200000380004081B200000380004095
+:1080000081B200000380004081B200000380004084
+:1080100081B200003180004081B200003480004015
+:1080200081B200003580004081B2000004000040B1
+:1080300081B200001B80818080320000EC89A24068
+:10804000916F00000000004C90B301005C952EA2DF
+:1080500080B00100FF000080F489010090952AC8DB
+:10806000E5B10100000000A1F0B1010000000040F6
+:10807000F0B10100000000A4F0B10100000000D048
+:10808000F0B10100000000D1F0B10100000000D209
+:10809000F0B101000000004CF0B10100000000D47C
+:1080A000F0B10100000000D3F0B10100000000EECB
+:1080B000F0B101000000004EF0B1010000000040EE
+:1080C00044B1010018801181983000000000514037
+:1080D00081B201001A8011829830000000005240E5
+:1080E00081B20100EC890048FD930000B603004016
+:1080F000A19901002380A242FD7F00002080008022
+:1081000080320000228011818230000022805140A4
+:1081100081B2000022801182823000002280524011
+:1081200081B200002C800048FD9300002780008071
+:10813000803200002680A253077C000000005153CB
+:10814000079001002A800052079000002980A25267
+:10815000077C00000000525207900100000000530D
+:108160000790010000000048FD9301000000004559
+:10817000F39301005C952EA252B30100FF00008032
+:10818000F48901000000004CE4B10100000000A9E6
+:1081900045B101003080004C80B200000000454035
+:1081A00081B201000000554081B201001B840540EE
+:1081B00049B100001B84054049B1000000000540A2
+:1081C00049B10100E1800040813201000000004B14
+:1081D000DEB20100770000404B9901000000004032
+:1081E000FD93010000000048FD83010002000040F3
+:1081F0009B9B0100000000A59CB30100F699004084
+:108200008132010058952044E0B1010000C000A671
+:1082100036B10100D014004047990100050000402C
+:10822000F599010000380040F59901000006004072
+:10823000F599010000000040F59901000518004083
+:10824000F599010002090040F59901000400004081
+:10825000F599010050030040813201007B0300408A
+:1082600081320100E083004081320100108400402F
+:108270008132010008840040813201006095204075
+:10828000E1B1010070952040E1B10100000000491A
+:10829000DD9101000000004091B3010000000040AA
+:1082A00085B301005C952040E1B101001A820040D5
+:1082B0008132010071830040813201000200009789
+:1082C00080980100000000402EB101000200004033
+:1082D0002EDD01009001004093980100290100402B
+:1082E000813201005C810040AF3301007999004088
+:1082F000813201000000454081B20100000055407C
+:1083000081B201004984004081B2000004000040B5
+:1083100081B200000400004081B20000040000406F
+:1083200081B200000400004081B20000040000405F
+:1083300081B200000400004081B20000040000404F
+:1083400081B200000400004081B20000040000403F
+:1083500081B200007701004181C00000718051406E
+:1083600081B200007280524081B20000738055409B
+:1083700081B200007480564081B2000055019181A5
+:10838000803000005A01454081B2000055019182C1
+:10839000803000005A01464081B200005A01004876
+:1083A000FD9300005A010048FD9300005A01004966
+:1083B000FD8300005A01004AFD83000000000040D8
+:1083C00049B10100AE0300CBA3C9010000000020A9
+:1083D00046B10100000000D2F1B10100000000D35D
+:1083E000F1B1010000000042F0B1010000000045C1
+:1083F00061B101002000002062DD01000000A8D072
+:10840000E1B100007C80004081B20000000000A8C3
+:1084100098B00100048000408BB30000B10300401D
+:10842000A19901008480A241976F000000000045DF
+:10843000A1C101000000000080B001000000A20402
+:108440008094000080153F4297E301000000004047
+:1084500049B10100000060030294010000000040E7
+:1084600007B00100040000CB99CB0100000000CC54
+:10847000F38301008E80A241976F0000000000CBC3
+:10848000F3930100AE0300CBA3C90100000000205C
+:1084900044B1010000000044F1B1010000000000FF
+:1084A000F0B1010000000004F0B10100000000A1E3
+:1084B000E0B10100050000406199010020000020AA
+:1084C00062DD01009580A84081320000C6020020D4
+:1084D000423101000000A241056C0100000080CB88
+:1084E000DB910100000019418BB3010060000040E6
+:1084F000619901009B80A8B18C33000060000040AE
+:10850000619901009D80A8B194330000A38014C636
+:1085100081320000180000C683F401006A84224FF3
+:10852000830400007F80004081B20000FF0100C68C
+:1085300081880100000000C697A301007F801F5CB6
+:10854000975300009E831DC68132000000002F4318
+:1085500081F00100A980004010C9000005810040A1
+:1085600081B200003681004081B20000DA8100CA89
+:1085700063B300002D81004081B200001481004DE2
+:1085800083B000001E81004E61B100000D810040EB
+:1085900085B000001481004C83B00000F0800040E2
+:1085A00085B000009181004049B100003D8100404C
+:1085B000C1B100008D81004081B200000D810040FA
+:1085C00085B00000DD81004049B100006A8400CA26
+:1085D0009BB3000046810040C1B100004E810040C5
+:1085E000C1B1000055810040C1B10000568100407A
+:1085F000C1B1000057810040C1B100005881004066
+:10860000C1B100005981004081B000005981004192
+:1086100081B00000CE81004081B20000DD8300BB4C
+:10862000ABB30000DB8100CACFB30000D3800040B1
+:1086300049B10000DF80004081B20000DC810040D1
+:1086400081B200006A84004081B20000DA800040FC
+:1086500081B200006A8400CA77B300001581004D22
+:1086600083B000001C81004E61B100000D8100BB91
+:1086700085B000001581004C83B000000D8100BB67
+:1086800085B00000F08000BB85B00000E2800040B3
+:1086900081B200006A8400CA4DB3000064820040C9
+:1086A00049B100008F82004049B10000C8142EBBC0
+:1086B00085B00100000000EE82B001000000004122
+:1086C000E0B10100FF7F00A2A08B01000000004488
+:1086D000A5B30100758000CAA733010002810040E4
+:1086E00081B200004E01004D933001004E01004E5A
+:1086F000933001004E01004C93300100088400408B
+:10870000813201006A84004081B20000549500402B
+:10871000459901006A8400CAE5B10000000080406C
+:1087200097B00100E88022428F6F0000EA8022416A
+:108730008F6F0000EC801ECA81320000EE801FCADD
+:1087400081320000000000CAC9B101006A84004201
+:108750008FB30000000000CACDB101006A8400415F
+:108760008FB30000000000CACFB101006A8400404E
+:108770008FB30000008100A6C6B101006A840040EA
+:1087800081B20000008000A6C6B101006A840040EA
+:108790008FB30000781800404999010010002F9C09
+:1087A00089B00100078100403933010018002F9B78
+:1087B00089B00100078100403733010000002F9A83
+:1087C00089B00100078100403533010008002F996E
+:1087D00089B001000781004033330100008000AE02
+:1087E00047C9010080000040F1990100000000CA63
+:1087F000F1B1010000000042F0B10100401800405A
+:10880000E19901000000004561B10100200000AEC7
+:1088100063DD01000281284081320000FF800040BA
+:1088200081B2000002814240813200000000005C01
+:10883000699301006A841A449393000005814240C1
+:108840008132000004810058699300000000004458
+:10885000F0D101000000A44081B200000C81A240D0
+:10886000E16D00000000004445D10100000080409F
+:10887000E1B1010000008041E1D101000D81375CD0
+:10888000613100000000004262B101001181284006
+:10889000813200000E81004081B20000000000CA59
+:1088A00063B101001181A840813200006A84174041
+:1088B00081B200001681004081B00000168100BB2B
+:1088C00081B000000000004160B1010000000040E4
+:1088D00062B101001781A84081320000000000CA87
+:1088E00063B101006A842840813200001981004090
+:1088F00081B2000050950040479901001F8100BBE4
+:1089000087B0000050952F4087B0010021812240A0
+:10891000957F00006A8460409583000002002DF07E
+:1089200084B0010022813640813200000000004204
+:1089300062B101002381A8408132000000000043A1
+:1089400062B101002581A84081320000000000CA08
+:1089500063B101002781A840813200000000164069
+:1089600081B201006A84224143510000000800CA1C
+:1089700095CB01002281004185C000002F81A242D9
+:10898000676F00000000004167B301002F81424083
+:10899000813200000000004065B30100000000408B
+:1089A0009383010000001ACA699701006A84264077
+:1089B0008132000034814240813200006A841A44CE
+:1089C000939300006A842043956F00006A8480CAF4
+:1089D000673300006A842240656F00006A84006F7C
+:1089E000DB910000C100004081320100358022404F
+:1089F000803200006A84004081B200000000005F05
+:108A0000959301004281A244216F00000000005FA5
+:108A1000958301000000005E95930100000000575F
+:108A200095930100000000CAC3B101004581225B9B
+:108A3000957F00000000004BFD9301006A84004018
+:108A400081B2000049812240AF6F00001BF500CACF
+:108A5000959B01004A81004081B200001BFD00CAC5
+:108A6000959B0100000000CA7FB30100260100CAE7
+:108A7000C53101000000005F958301006A8400CACF
+:108A8000C5B10000DF6F00CA959B010000000055D2
+:108A900095930100000000CAC7B101006A84225FFB
+:108AA000957F000026010040813201000000005F38
+:108AB000958301006A8400CAC7B100006A8400CAB5
+:108AC000C9B100006A8400CACBB100006A8400CA40
+:108AD000CDB100006A8400CACFB1000000002E4270
+:108AE00081E001009814004048C901006A8400CA6E
+:108AF000E1B100000000004009B10100200000A623
+:108B000082B001005E81A25E0B7D0000008000410A
+:108B1000089901006081A25E0B7D0000208000A604
+:108B200008B1010062819F85823000006181A24FFF
+:108B30000B7D00000000004121B30100028000A66F
+:108B400082B00100C9810040813201001000004163
+:108B500084E40100038000A682B00100C9810040C6
+:108B600081320100F0FF00418688010000000043CF
+:108B7000849401000F0000A686B0010010C40043D9
+:108B8000869801007581A243846C000000000043B8
+:108B900021B30100200000A682B001001C000041AA
+:108BA00082DC01007281A25E0B7D000004000041A6
+:108BB000089901007E81004081B20000410100A6B9
+:108BC00086B00100500C0043869801007A81A243D0
+:108BD000846C00000000004121B301007E81004050
+:108BE00081B20000410100A686B00100600C004384
+:108BF000869801007E81A243846C00000000004240
+:108C000021B30100200000A682B001007F81A25E96
+:108C10000B7D000040130041089901008781224329
+:108C2000216F0000200000A682B001001200004168
+:108C300082DC01008481A25E0B7D00000004004103
+:108C4000089901008C81004081B20000200000A63C
+:108C500082B001001900004182DC01008981A25E1E
+:108C60000B7D000000A00041089901008C810040AC
+:108C700081B200000000804081B20100200000A607
+:108C800080B00100000000CA819401008F81A25EC3
+:108C90000B7D00006A84004008B10000C8142EBBA0
+:108CA00085B001009281A25E0B7D000000000040B3
+:108CB00087B00100A1812243216F0000B0812244CE
+:108CC000216F0000118000A682B00100C981004020
+:108CD00081320100B881224A837C000000000040FC
+:108CE000879001009C81224D837C000000000041A0
+:108CF000879001009E81224F837C0000000000438A
+:108D000087900100A081224E837C00000000004279
+:108D100087900100B881004081B20000018000A668
+:108D200082B00100C981004081320100018000A6AB
+:108D300082B00100C981004081320100B881224225
+:108D4000837C000000000040879001001C8000A68A
+:108D500082B00100C981004081320100AB8122450F
+:108D6000837C00000000004187900100AD81224417
+:108D7000837C00000000004387900100AF81224304
+:108D8000837C00000000004287900100B881004011
+:108D900081B20000018000A682B00100C9810040BC
+:108DA00081320100018000A682B00100C98100402B
+:108DB00081320100B8812242837C00000000004023
+:108DC00087900100000000438790010000000041EF
+:108DD00087900100008000A682B00100C981004098
+:108DE00081320100BC81224B837C000000000040E6
+:108DF0008780010000000043E0B101000000004056
+:108E0000AFB30100C5812240877C0000C581A2412B
+:108E1000877C000000000041AEB30100000000406C
+:108E200081B30100C4812242877C0000C581000B10
+:108E30007DB300000000000F7DB30100FF7F00A2A2
+:108E4000A08B010000000044A5B30100758000CA9A
+:108E5000A73301000281004081B2000020000041E0
+:108E600082DC0100CA81A25E0B7D0000000000418F
+:108E700008B10100CC819F85823000000000804055
+:108E800081B20100D18114F781300000D181A24963
+:108E9000FD7F000000000048FD930100D48115F81B
+:108EA00081140000D481A24AFD7F00000000004828
+:108EB000FD930100D681A2C881320000400000402D
+:108EC00080DC01000010004080DC01000000004058
+:108ED000EFB30100D8814240F1330000048100402B
+:108EE000689700006A8400BB6BB300006A8400BB13
+:108EF000B1B300006A84004081B20000CC142E405F
+:108F000087B00100FF7F00A2A08B0100D8000043C2
+:108F1000B2330100000068DA89B001007C00004033
+:108F20008B9801000000005089F001000000004112
+:108F300089D0010003000044888C01000000004239
+:108F400087C0010000000041A5B30100D800004324
+:108F5000B2330100000000DAF1B10100000000426C
+:108F600087C0010000000041A5C30100F881224430
+:108F700089500000F88122448B500000E781A25004
+:108F8000A56F000000000042A5E30100000000CA38
+:108F9000A7B30100758000BB85300100CC142ED230
+:108FA00095C30100AE0300CBA3C90100000000205F
+:108FB00042B101000000005081B00100F581A241E2
+:108FC00081500000F481A2F280300000E78100406F
+:108FD000A5B3000000000042A5E30100000000CAA4
+:108FE000A7B30100758000BB8530010002810040FD
+:108FF00081B20000D9000041B3730100000080502D
+:10900000B5F30100D8000041B3F30000000000D91F
+:10901000B3FB0100003000A6B8B30100F20000402D
+:1090200081320100250100422D01010000020040B3
+:1090300083980100EB0000408132010000000050E5
+:1090400081B001002601004081320100098210DA5E
+:10905000B56B00000A8200412D8100000000004134
+:109060002D910100280100408132010025010040BE
+:109070002D110100000000402D8101000682A24157
+:1090800081500000260100422D0101002501004011
+:1090900081320100260100422D110100250100400E
+:1090A0002D110100158204402D0100002501004012
+:1090B000813201001182004081B20000280100408D
+:1090C00081320100250100422D010100F200004023
+:1090D000B9330100000000422D81010000008041F1
+:1090E0002D8101000000804081B20100000300409A
+:1090F000819801000000004018B10100800000408C
+:109100008398010000190040459901000000424089
+:1091100081B20100000043FFF1B10100000000FF37
+:10912000F1B101000000004181C0010000000040D9
+:1091300018B101001F82A2418350000000160040B8
+:1091400045990100001900404399010000000047C3
+:1091500043C101000000004083B00100000000F3A3
+:1091600080B001000000005B81D0010000000041E0
+:1091700080D0010000000040F6B101000000005B5B
+:1091800043C101000000004183C001002982A254B4
+:10919000836C000000000040F7B1010000000041B6
+:1091A00083C001003082A206836C00000000804072
+:1091B00081B201000000800791B00100E180004011
+:1091C000813201003982A240976C000028000040E3
+:1091D000B39B01003A82004081B2000028000040A9
+:1091E000B39B0100FC81004081320100000000DAE5
+:1091F000F5B10100FC810042B3430100000000DA38
+:10920000F5B10100FC810042B3430100000000DA27
+:10921000F5B101004E000040B39B0100FC8100400D
+:1092200081320100080000DAF7F50100500000402B
+:1092300091980100000000478FB00100FC810048B8
+:10924000B2330100000000DAF7B10100080000DAD3
+:10925000F7F501000000004291C001004582A241E3
+:109260008F5000000000004145D10100080000407F
+:10927000B39B0100FC81004081320100000000DA54
+:10928000FDB101000A000040B39B0100FC810040D9
+:1092900081320100000000DAFDB101001800004039
+:1092A000B39B0100FC81004081320100000000DA24
+:1092B000FDB1010016000040B39B0100FC8100409D
+:1092C00081320100000000DAFDB10100348200406B
+:1092D000813201001E000048B2CB0100FC81004039
+:1092E00081320100000000DA91C001000000004856
+:1092F000B2CB0100FC8100408132010000006EDA37
+:109300008FB0010002000048B2CB0100FC81004098
+:1093100081320100000000DAFDB1010004000048C4
+:10932000B2CB0100FC81004081320100000080DAF4
+:10933000FDB101006F822250FD7F00006F82224547
+:10934000FD7F000040160040459901003582004035
+:109350004931010008000048B2CB0100FE81004005
+:10936000813201006D82A2408F6C00007282222047
+:10937000B56F00006F82004081B20000DB820040C8
+:109380008132010072822240976C00006F8242405D
+:10939000813200000000004F6993010004810058F1
+:1093A000699300005416004047990100000000FE38
+:1093B000F4B101000000004081B20100000000FE95
+:1093C000F4B101000000004081B20100000000FE85
+:1093D000F4B101000000004081B20100000000FE75
+:1093E000F4B101000000004081B20100000000FE65
+:1093F000F4B101000000004081B20100000000FE55
+:10940000F4B101000000004081B20100000000FE44
+:10941000F4B1010046000040B39B0100FC81004014
+:1094200081320100080000DAF7F501004800004031
+:10943000959801000000004497B00100FC81004AAB
+:10944000B2330100000000DAF7B10100080000DAD1
+:10945000F7F501000000004295C001008582A2419D
+:10946000975000002A000040A59B010040160040D4
+:10947000A19B0100000000CAA7B30100758000BBDA
+:10948000853001000281004081B20000A7822245A0
+:10949000FD7F0000E0150040479901001A0000A27E
+:1094A00080DC010000000050F1B10100F015004027
+:1094B000F1990100000000CAF1B10100070000406D
+:1094C00061990100A000004062DD01009682A8BB06
+:1094D000E13100000000005083B001009982A241F8
+:1094E000835000009882A2F282300000E1800040A8
+:1094F000813201009F82A240976C0000280000404A
+:10950000B39B0100A082004081B20000280000400F
+:10951000B39B0100F015004043990100FC8100401D
+:1095200081320100A782A2FAB46F0000FC810042E0
+:10953000B3430100A782A2FAB46F0000FC8100428D
+:10954000B3430100AA8222FAB46F0000A78242400E
+:10955000813200000000004E699301000481005830
+:109560006993000040160040459901003582004093
+:1095700049310100F6150040439901005C16004096
+:109580004599010000006EFA8EB001000000004015
+:1095900081B20100000000FEF4B1010000000040B3
+:1095A00081B20100000000FEF4B1010000000040A3
+:1095B00081B20100000000F0B4B30100B882A24003
+:1095C0008F6C0000FC152020E1B10100BD8200403D
+:1095D00081B20000DB82004081320100BD82224066
+:1095E000976C0000BA824240813200000000004FB8
+:1095F000699301000481005869930000348200409F
+:10960000813201001E000048B2CB0100FC81004005
+:1096100081320100C2822250B56F0000000000506C
+:1096200091C0010000000048B2CB0100F6150040D7
+:1096300043990100FF8100F2B433010002000048A9
+:10964000B2CB0100F815004043990100FF8100F200
+:10965000B433010004000048B2CB0100FA15004009
+:1096600043990100FF8100F2B43301000800004873
+:10967000B2CB0100FC15004043990100000000F04E
+:1096800094B00100FFFF004AB48B0100FF8100404D
+:10969000813201000A000048B2CB01001000004AEC
+:1096A000B4F70100FF8100408132010034820040A4
+:1096B000813201001E000048B2CB0100FC81004055
+:1096C00081320100D8822250B56F0000D98200504B
+:1096D000B5B3000000000040B5B30100FF810040B9
+:1096E000813201000281004081B20000001600407A
+:1096F0004799010030310040F599010032330040B4
+:10970000F599010034350040F599010036370040E5
+:10971000F599010038390040F599010041420040B7
+:10972000F599010043440040F59901004546004089
+:10973000F599010047480040F5990100494A004069
+:10974000F59901002C0000408398010000000040C2
+:10975000F7B10100E782A2418350000080162E0677
+:1097600083B00100360000FBF6A90100EA82A241A5
+:10977000835000002200004083980100000000FB9D
+:10978000F6B10100ED82A24183500000620000406A
+:1097900095980100008300408132010000162D06DB
+:1097A00083B0010080160040459901005C0000FB79
+:1097B000F6A90100F382A24183500000000000706E
+:1097C000F9B1010000000071F9B101000000007260
+:1097D000F9B1010000000073F9B10100000000744C
+:1097E000F9B1010054000040959801000083004049
+:1097F000813201000000007095B00100FF822270EC
+:10980000B56F00000000804197B00100000080406B
+:1098100097B00100456700A6E0B201000123007087
+:10982000E19A0100CDEF00A6E2B2010089AB007120
+:10983000E39A0100BA9800A6E4B20100FEDC0072CF
+:10984000E59A0100321000A6E6B2010076540073DA
+:10985000E79A0100D2C300A6E8B20100F0E100746B
+:10986000E99A01008016004A44C90100000000077F
+:1098700081B001000000004A80D0010000000040DB
+:10988000F7B101000D83A241815000008016004A0B
+:1098900044C90100FC162A47E7B501000300004A4D
+:1098A000E8E50100000000408DB0010050030040D9
+:1098B000A399010080163D468DE001000000005094
+:1098C00089B00100000000FC40B001000000004130
+:1098D000A3C101001683A24189500000000000705E
+:1098E000EBB2010000000071EDB201000000007257
+:1098F000EFB2010000000073F1B20100000000743B
+:10990000F3B201000000004083B001000F000041ED
+:109910008088010050030040A2C901003383A05099
+:10992000836C00000D00004098C801000000004F4B
+:10993000998401005003004CA2C9010000000020DE
+:1099400086B001000800004098C801000000004FE8
+:10995000998401005003004CA2C9010000000020BE
+:1099600086A401000200004098C801000000004FDA
+:10997000998401005003004CA2C90100000000209E
+:1099800086A4010050030040A2C90100000000436A
+:1099900040A401000100002088E401000000005FF5
+:1099A00041F00100000000444094010005000075F2
+:1099B00089E401001B00007585F4010000000044EB
+:1099C000849401003D83A353836C00000000007663
+:1099D00089B0010000000077898401000000007652
+:1099E0008BB00100000000208BA401000000007873
+:1099F0008B8401004C8300458894000027000041BF
+:109A000080CE01004283AA4081320000000000762F
+:109A100089B001000000007789A401004C83007820
+:109A200089A400003B00004180CE01003F83AA4092
+:109A3000813200000000007689B00100000000774C
+:109A400089840100000000768BB0010000000078DE
+:109A50008B8401000000004588940100000000771D
+:109A60008BB00100000000788B8401004C8300451E
+:109A7000889400000000004484C0010000000079C8
+:109A800085C001000000002084C001005383A3535F
+:109A9000836C0000825A00A684C0010099790042BC
+:109AA00084C801006083004081B2000027000041AB
+:109AB00080CE01005883AA4081320000D96E00A6F2
+:109AC00084C00100A1EB004284C801006083004013
+:109AD00081B200003B00004180CE01005D83AA40BE
+:109AE000813200001B8F00A684C00100DCBC004254
+:109AF00084C801006083004081B2000062CA00A6F1
+:109B000084C00100D6C1004284C8010060830040C7
+:109B100081B2000000000078F3B20100000000777D
+:109B2000F1B201001E00007689E401000200007617
+:109B3000EFF6010000000044EE9601000000007501
+:109B4000EDB2010000000042EAB201000000004155
+:109B500083C001004F00004180CE01001F832A40D6
+:109B60008132000000000075E1C2010000000076B3
+:109B7000E3C2010000000077E5C2010000000078A8
+:109B8000E7C2010000000079E9C2010013838141AE
+:109B90008D4000000000804081B201009D83A24BF7
+:109BA000B76F00009D83A2412F7D00000000005090
+:109BB000FD930100401600404599010035820040A8
+:109BC000493101009C8322408F6C0000080000484E
+:109BD000B2CB0100FE81004081320100DB820040F7
+:109BE000813201009C83A240976C00005E16004009
+:109BF000439901007C1620F6E0B10100000000400E
+:109C000031B301008083224F8F7C0000000000519F
+:109C1000FD930100828322408F7C000086830054E4
+:109C2000FD930000848322428F7C000000000052DC
+:109C3000FD930100868322418F7C000000000053C9
+:109C4000FD9301009A832251FD7F00003482004081
+:109C5000813201000C000048B2CB0100FC810040C1
+:109C6000813201009583A240B56F00001E000048BC
+:109C7000B2CB0100FC81004896300100000000DA00
+:109C800097C001000400004BB2CB0100FC810040F2
+:109C9000813201000E000048B2CB0100FF8100407C
+:109CA000813201000C000048B2CB010000000030FE
+:109CB000B5B30100FF810040813201000E00004871
+:109CC000B2CB0100FC810040813201009983224027
+:109CD000B56F00009D830054FD930000000000510B
+:109CE000FD8301001C0000FE7FD901009D83A6407A
+:109CF0008132000000000055FD930100000080400B
+:109D000081B20100B6030040A199010000002F417B
+:109D100099B30100A8832244816C0000B0832248DB
+:109D2000816C0000AA83224C816C0000B483225015
+:109D3000816C0000B5832254816C0000B7832258E7
+:109D4000816C0000BC83225C816C000055010040E6
+:109D500081B20000000000BC09B001006A8400CAA2
+:109D600001B000000000004003B00100000000410D
+:109D7000F3830100AE83A242056C000000000041A5
+:109D800005B001006A8422CA071400006A840045F5
+:109D9000F39300006A842043956F00006A8480CAB0
+:109DA000053000006A842201803000006A8400CB04
+:109DB000DB9100005C0100BCABB30000000000BC04
+:109DC000B1B301006A8400CACFB30000FF0000CA2B
+:109DD000818801006A84A240747D000060002040F8
+:109DE00060990100B983A8B182300000B8830040B7
+:109DF00081B200006A8400CA79B300000000004EFE
+:109E000081B0010000000043CB8301000000454009
+:109E100081B20100BF83A241815000000000454093
+:109E200081B201000000454081B20100CA839182E5
+:109E3000823000000000008A80B00100B69F004020
+:109E400080CE0100C883A64081320000CA835640FC
+:109E500081B20000B6030040A19901000000005348
+:109E600007900100B6030040A199010000000052D4
+:109E700007900100F39F00418BB300000000004EEB
+:109E800081B0010000000042CD8301000000464087
+:109E900081B20100CF83A241815000000000464002
+:109EA00081B201000000464081B20100DA83918155
+:109EB000823000000000008980B00100B69F0040A1
+:109EC00080CE0100D883A64081320000DA8355405D
+:109ED00081B20000B6030040A199010000000052C9
+:109EE00007900100B6030040A19901000000005353
+:109EF00007900100F39F00418BB30000B1030040C5
+:109F0000A1990100C4142F4099B301005C010040E5
+:109F100049B1000058152D408DB00100D0142DF02E
+:109F200088B00100000000408FB00100010000A6D1
+:109F300090B0010000F80048909801000000004532
+:109F400093B00100000000FA8AB001006A030040EB
+:109F500081320100020000A680B00100EC832240A3
+:109F6000826C0000F0830040813201004703004012
+:109F700081320100000000418DC00100F583225FA5
+:109F80008D6C0000E783A24193500000E583004000
+:109F900081B20000FF070047848801000000A6404E
+:109FA00081B20000F59F00478030010000020047A9
+:109FB0008EC80100F083004081B200000000004420
+:109FC00050B30100FB832018896C0000040000A638
+:109FD00084B00100200000A686B0010000100040FF
+:109FE000559B0100FE83004081B20000040000A6E2
+:109FF00084B00100200000A686B0010000100040DF
+:10A00000559B01000000004250D30100000000A851
+:10A010004FB30100000000434ED301005E03004037
+:10A02000813201006C03004280300100F083004067
+:10A0300081320100078422A78F6C00004903004091
+:10A04000813201000484004081B2000000008040A1
+:10A0500081B20100A0942E4397B00100000000409F
+:10A06000F1B101000984A2419750000050952040B1
+:10A07000E1B10100AC942E4397B001000000004014
+:10A08000F1B101000D84A241975000000000804012
+:10A0900081B20100AE030040A3990100000000401E
+:10A0A00081B0010060150040859801000800004063
+:10A0B00040E40100000000594194010000000050FC
+:10A0C00041E0010000000042409401000000004116
+:10A0D00081C001000000A341816C0100000000412B
+:10A0E000A3C101001384005085C000004984A2412F
+:10A0F000017D000021842258737D0000780000401B
+:10A10000619901001C84A8B19C30000030003845E2
+:10A110009DE001000100000E10C90000218433C43D
+:10A12000813000002484A1AD9D2000001B841340D9
+:10A1300081B200000000134E5A8301003000384500
+:10A140009DE001002C8422AB800400002A84A24000
+:10A15000017D00002C84225F577D0000278A00408B
+:10A1600081B200002C84225E577D00008A8A004064
+:10A1700081B2000031842254737D000074000040DD
+:10A18000619901002C84A8B1003000000086A25F14
+:10A19000017C00006289004081B200003384A25F2C
+:10A1A000592700003584A25C737D00003C84A25EC8
+:10A1B000737D00004684225C737D00004784374035
+:10A1C000813200007C000040619901003684A8B112
+:10A1D000363000007C000040619901003884A8B14D
+:10A1E000003000001F000000028801002F86174089
+:10A1F00081B2000047843440813200007E0000407C
+:10A20000619901003D84A8B11230000044845221BC
+:10A2100013040000000014412FC30100FF3F000998
+:10A22000008C01000000004301F00100878400342D
+:10A2300013840000FF3F1409008C0100E7840043F1
+:10A2400001F000000000004081B20100478433406B
+:10A25000813200001B84134E5A930000EC89A248FF
+:10A26000FD7F00004E842259737D0000790000407C
+:10A27000619901004A8428B17E3100004B8400407E
+:10A2800081B20000528421AC9C20000000000041FB
+:10A290001FC301000400A05F9D6C00000000004E81
+:10A2A000589101005684225A737D00007A000040C4
+:10A2B000619901005384A8B17E310000010000CFF4
+:10A2C00011C900005C84A240937F00005C8422449A
+:10A2D000937F0000588442A5803000005B84A24038
+:10A2E000937F000071841A409393000000001A408D
+:10A2F00081B201009A80A240737D0000A1892244AE
+:10A30000216F000098892240657D0000A689A25B2C
+:10A31000737D00000400A249337D0000668422485A
+:10A32000337D0000FF01009980D80100000000503B
+:10A3300081E00100A8982F4033B1010000000040E7
+:10A34000E0C1010069842240AF6F000069842240AF
+:10A35000816F0000F5891FA5826F000049840040CD
+:10A3600081B200001B8400408BB300000000005845
+:10A3700061B101000000004E62B101001B84284061
+:10A38000813200006C84004081B200006F84334051
+:10A390001F3000001B84134E5A9300007384A0CE1C
+:10A3A000815000008584A0CD816C0000000000A5D4
+:10A3B0009CB30100000000B181B00100858422B58A
+:10A3C0008114000080152F4049B10100778442407C
+:10A3D00081320000000060B465970100D0152E4066
+:10A3E00069B3010000001A44938301001A0000A21F
+:10A3F00080DC010000000044F1B10100000000B168
+:10A40000F1B10100000000B5F1B10100050000400C
+:10A41000619901000000004062B101008084A8A1A0
+:10A42000E03100005C8400889EB300005C84A2419F
+:10A43000676F00005C84006FDB9100008584424000
+:10A44000813200005C841A40938300000099000967
+:10A4500046C901003F0000F30C8801009084A64229
+:10A460001360000055970095033001008B84454030
+:10A470008132000075000040619901008C84A8B110
+:10A480000C3000005C971D1094300100918400583E
+:10A490001F9000004E970095033001001B84008838
+:10A4A0001CB0000000002D0348B1010004002DF095
+:10A4B0002EB00100EE070040979801009884234BCE
+:10A4C000E46D00009884224BFD7F000000000040F6
+:10A4D0001F90010022002F4081B201009B8483174E
+:10A4E0008032000026000040479901009D848517B6
+:10A4F000803200000000004847C10100A3842255BB
+:10A500002F7C00000000004243D101000F0000FA40
+:10A51000968801000000004297E001000000004220
+:10A5200097D00100A484004B44C10000120000A297
+:10A5300044C90100280000F602CC01000A0000A175
+:10A5400042C90100000000F816B00100000028F028
+:10A5500010B00100000000F01AB00100000000A2DD
+:10A560002AB00100C0283C460DE0010000002D4447
+:10A5700095B00100B084A2F80E300000C0842241E2
+:10A580009550000000002D5049C10100AC840040EE
+:10A5900081B20000AD84A2F8166C0000AD84A2F870
+:10A5A000106C0000AD84A2F01A6C0000BE8422582A
+:10A5B0001F7C000000993F4213F00100B584474022
+:10A5C00081320000B984A2F3740600000000000686
+:10A5D000E6950100BE841F4081B200000000000625
+:10A5E00096B001003F001FF30C88010000000055E9
+:10A5F00061B101000000004B62B10100BC84A840C1
+:10A6000081320000BE84474081320000C6841F4171
+:10A610002DC30000C48422581F7C00000000005598
+:10A6200061B101000000000662B10100C284A840CF
+:10A6300081320000C484474081320000EE841F4113
+:10A640002DC30000030000071AF401002196000743
+:10A6500016300100D5842241816C0000CC84224256
+:10A66000816C00001B8400881CB00000D484225F31
+:10A670000F7C00001597005F01100100D28422407A
+:10A68000956C00000480000342C90100000000F244
+:10A6900002B001008A960052953001009196004B5D
+:10A6A00002B000006797000996300100058A00405B
+:10A6B0000FB00000DD84A25A1F7C00009B95004073
+:10A6C00081320100DD842220856C0000DA849C0F39
+:10A6D000803200001B8400881CB000007C96005C67
+:10A6E0001F0001009B980042613101001B8400881B
+:10A6F0001CB00000E69900079630010000002D050F
+:10A7000048B10100E08482F0183000006C8B0045F5
+:10A710008FB00000282000A696B00100E484221724
+:10A72000960400000B98004B953001006C8B004B99
+:10A730008FB000002197000348310100FC940040D5
+:10A74000813001006C8B004081B2000000002E10AF
+:10A7500048B101000000685003B001000000000390
+:10A76000F0B101000000004261B1010000000010E2
+:10A7700062B10100EB84A800E03100001B84008876
+:10A780001CB0000000002D0348B101000000004093
+:10A790000FB00100000000F82EB00100000000F230
+:10A7A00002B001000000004017B00100004100A607
+:10A7B00096B00100EE072E47979001000185221701
+:10A7C00096040000FF84224BFD7F0000FF8423A23B
+:10A7D000026C00008A96005295300100040022416C
+:10A7E000975000000C002D0012B00100000000F096
+:10A7F00000B001000000005C018001009196004B58
+:10A8000002B000000000000900B00100000000508C
+:10A8100003B001001E85005C1790000013852243E1
+:10A820002F7C0000000000451F9001000C85225F76
+:10A830002F7C000000002E1048B1010000000058DD
+:10A84000F1B1010010000003F0C901001000000088
+:10A85000E0C9010008854542613100000000001098
+:10A8600062B101000985A840813200001B841D8867
+:10A870001CB0000020002D0348B10100FF0F00F6BE
+:10A88000808801001085A2A6816C0000138500F26B
+:10A890003AB00000FD85A24BFD7F0000E29500402C
+:10A8A000813201001B8A004081B200001E85224ACD
+:10A8B0002F7C00001E8522482F7C00000A002D03FB
+:10A8C00048B101003F0000F2868801001F000043EC
+:10A8D000848801000500004380F4010098943D4203
+:10A8E00081E001001E85A242E07D0000FD85A24BB3
+:10A8F000FD7F0000E2950040813201001B8A00408C
+:10A9000081B200001E85474081320000000000A394
+:10A9100009B0010000001F4147C30100248522A1A6
+:10A92000096C00006B8400881CB0000021850003C6
+:10A9300048B100005E85A392036C00000A990040B4
+:10A94000953001000000004143C3010000000016E3
+:10A9500080B201001B8A2708803200002B85225C10
+:10A96000177C00002C8500002AB0000012000000B7
+:10A970002AC801000200000880C801003085A243F7
+:10A980002F7C00000E980040813201004C85005E53
+:10A9900017900000040000018CCC01000E98004CC0
+:10A9A0000330010000002E4602B00100100000102C
+:10A9B00048C901000C000001F0CD01002C0000404E
+:10A9C000F0C9010000000016F0B1010010000015F0
+:10A9D000E0C901000000004361B10100A00000A433
+:10A9E00062DD01003985A854171000004C85005E17
+:10A9F00017900000120000002AC801004B85224376
+:10AA00002F7C0000040000018CCC01000000004CF1
+:10AA100003B001002F9800436131010000002E4671
+:10AA200002B001001000001048C901000C00000134
+:10AA3000F0CD01000C000009F0C901000000001871
+:10AA4000F0B1010010000015E0C901000000004352
+:10AA500061B10100A00000A462DD01004C85285412
+:10AA6000171000004885004081B200002F98004375
+:10AA7000613101004E8522502F7C000000000056FD
+:10AA80001790010007000017988801005185A24126
+:10AA9000996C000000000055179001000000004371
+:10AAA00061B101004000001062DD01005285A84044
+:10AAB000813200001B8400881CB000001698004002
+:10AAC00081320100598522432F7C0000168000034B
+:10AAD00044C901000000001DE4B10100B797005E09
+:10AAE000051001005C85A25F2F7C0000CE94000160
+:10AAF00038430100E2950040813201001B8A00408A
+:10AB000081B200006085A24BFD7F0000FA85004104
+:10AB100043C300000000004027B0010000000040D7
+:10AB20002DB001000000004011B001006385350127
+:10AB3000863000006D000040619901006B8528B1EE
+:10AB4000303000006485224D757D00000000001645
+:10AB500080B20100EA85A740116C000000000041AE
+:10AB600043C30100F985004081B200006D00004040
+:10AB7000619901006B85A8B1123000000000001639
+:10AB800080B201007585A740116C000000000041F3
+:10AB900043C301000000000910B0010000000018CC
+:10ABA0002CB00100DE07004380CE01006485AA407E
+:10ABB000813200007A85004081B2000040003E43AF
+:10ABC00027E0010000000009F0B1010000000018BA
+:10ABD000E0B101000000004127C001006485A30B23
+:10ABE00087500000000015401BB00100000000402D
+:10ABF00023B00100120000002AC8010040002D40CF
+:10AC000039B001008285A240276C000022000008B4
+:10AC100012C80100DE07004025980100858500402C
+:10AC200081B20000000000F812B00100000000F046
+:10AC300030B001000000000B25B001000000001042
+:10AC400032B0010014002001E0B10100EE07004025
+:10AC5000379801008A852301366C0000000000014E
+:10AC600036B001009585824123400000208000100D
+:10AC700042C9010091852240E36D000000000043BD
+:10AC800061B101004000001062DD01008E85A84026
+:10AC9000813200001B8400881CB000000196004334
+:10ACA000233001000000001032B00100000000411C
+:10ACB00023B001000000000348B10100008000192A
+:10ACC00044C90100A48522451F7C00000000004CFF
+:10ACD000F1B1010000000009F0B10100000000180E
+:10ACE000F0B101000000004361B101002000001933
+:10ACF00062DD01009B85A815E031000000000050D6
+:10AD000003D001000000005033C001000000004CDF
+:10AD100025D001000C002D4C13C001000000005094
+:10AD200037D00100000000502BC001008A8500458B
+:10AD30001F800000A685A312366C0000A785681B43
+:10AD400028B000000000681228B0010000000009CF
+:10AD5000F0B1010000000018F0B101000000004354
+:10AD600061B101002000001962DD0100AA85A8156B
+:10AD7000E0310000D0852214025000000000005095
+:10AD800033C001000000001424D001000C002D1479
+:10AD900012C00100C985A21436500000BA85225C99
+:10ADA0001F7C00003080001042C90100B88522409D
+:10ADB000E36D00000000004261B10100400000109E
+:10ADC00062DD0100B585A840813200001B84008847
+:10ADD0001CB000000000000348B101000C002D5C15
+:10ADE0001F800100100000F02AC801000000005C74
+:10ADF0002B800100F007004037980100BF85230138
+:10AE0000366C00000000000136B00100CA85221B2C
+:10AE1000026C00003000001048C9010000002E5CE8
+:10AE20001F90010000000050F1B10100000000037C
+:10AE3000F0B10100FF070015E08D010000000042A5
+:10AE400061B10100A00000A462DD0100C685A84038
+:10AE500081320000CA85000348B1000000000014E0
+:10AE60002AC001008A85A240256C00000000004134
+:10AE700039C0010040003D4339E001000000000BF3
+:10AE800025B00100000000F812B001008A8500F032
+:10AE900030B000000080001942C90100D685224070
+:10AEA000E36D00000000004361B1010040000019A3
+:10AEB00062DD0100D385A840813200001B84008838
+:10AEC0001CB00000019600402B30010018002E033A
+:10AED00048B10100DA8522502F7C000000000056A6
+:10AEE000179001000700001798880100DD85A24136
+:10AEF000996C00000000005517900100E085224386
+:10AF00002F7C000000000054179001001600201D47
+:10AF1000E4B10100E285A340276C0000E485605F96
+:10AF2000179000000084000B16DC01000000601385
+:10AF300016940100B797005E051001001B8AA25FFE
+:10AF40002F7C00001480000342C90100000000F2C1
+:10AF500002B00100CE940001384301001B8A00407A
+:10AF600081B200000000004083B001000000004DED
+:10AF700061B101000000001662B10100EC85A8403B
+:10AF8000813200000000000862B10100EE85A84097
+:10AF900081320000F9852213826C000040003D439D
+:10AFA00083E00100000000F810B00100000000F094
+:10AFB0002CB001000000001662B10100F485A84029
+:10AFC000813200000000000862B10100F685A8404F
+:10AFD00081320000F085004183C000000000154070
+:10AFE00081B20100008200A604B00100A0980040D8
+:10AFF00047990100E9890041893001008A96005291
+:10B00000953001009196004B02B000001B8A004071
+:10B010000FB000000000005F018001001000000080
+:10B020000EF401003F00000000880100030000074B
+:10B030001AF4010021960007163001000B86224108
+:10B04000816C000009862242816C00001B8400880C
+:10B050001CB000000A86225F0F7C0000058A0040B9
+:10B060000FB000001386A25A1F7C00009B95004081
+:10B070008132010013862220856C000010869C0F0F
+:10B08000803200001B8400881CB000007C96005CAD
+:10B090001F0001009B980042613101001B84008861
+:10B0A0001CB00000E69900079630010000002D0555
+:10B0B00048B10100000000F018B001001986223AE2
+:10B0C000016C0000000000008EB001006C8B00409D
+:10B0D00001B000000000004081B201002E002D05EB
+:10B0E00048B101001D86A240E76D00000A00004043
+:10B0F0008F9801006C8B004001B000006695004005
+:10B10000813201004E970095033001001B840088B6
+:10B110001CB0000000002D0348B1010022002DF0FA
+:10B120002EB00100282000A696B001002686221726
+:10B13000960400000B98004B953001006C8B004C7E
+:10B140008FB0000028868317803200000000004482
+:10B1500043C101002A8685178032000000000048A4
+:10B1600043C10100280000F602CC0100120000A13A
+:10B170002AC801002197004081320100FC9400415F
+:10B18000813001006C8B004081B2000000000001A2
+:10B1900000D0010000002E1048B10100280000403E
+:10B1A000F199010000000003F0B10100000000006F
+:10B1B000F0B1010034864647613100000000001004
+:10B1C00062B101003586A81BE03100001B841E8897
+:10B1D0001CB000000000004503E0010008002D0342
+:10B1E00048B101005A8601FB08300000AD8687FB9C
+:10B1F00022300000000000FA0EB00100000000F84C
+:10B2000014B00100030000071AF4010021960007A2
+:10B210001630010050862241816C00004486224293
+:10B22000816C00001B8400881CB000004F86225FE8
+:10B230000F7C0000380000047E8901004886A65F6C
+:10B240000F00000074950040053001004D8600405D
+:10B2500081B20000130000408798010000002D0318
+:10B2600048B101000C002DF082B00100000000F098
+:10B2700084B0010000970040053001000000005C30
+:10B280001F900100058A00400FB000005886A25AA6
+:10B290001F7C00009B9500408132010058862220CF
+:10B2A000856C000055869C0F803200001B8400884E
+:10B2B0001CB000007C96005C1F0001009B980042BF
+:10B2C000613101001B8400881CB00000E699000772
+:10B2D0009630010000002D0548B10100000000F08B
+:10B2E00018B001005C862104802000005D860040CB
+:10B2F00010C90000AE8A004B81B000007C8600437C
+:10B3000081B00000808600FB22B00000AE8A0041C0
+:10B3100081B000006C8B004E8FB000007886005A20
+:10B320008FB00000658600478FB00000AE8A0053E2
+:10B3300081B00000AE8A005681B0000032002D05B9
+:10B3400048B101006C8BA00AE46D00006B86A2413D
+:10B35000197C00006A86220A803200006C8B005340
+:10B360008FB000006C8B00548FB000007486220AEE
+:10B37000803200006E86A20AE46D00006C8B005DD6
+:10B380008FB00000000000F280B001000000000A51
+:10B3900080D001007286A091816C00006C8B005EF1
+:10B3A0008FB00000250000408F9801006C8B00409A
+:10B3B00081B2000076862091E56D00006C8B005410
+:10B3C0008FB00000210000408F9801006C8B00407E
+:10B3D00081B2000032002D0548B101006C8BA00A3B
+:10B3E000E46D0000240000408F9801006C8B004049
+:10B3F00081B2000037002D0548B10100040000F3C0
+:10B4000082F40100AE8AA042836C0000AE8A005430
+:10B4100081B00000000000F20EB001000300000740
+:10B420001AF4010000B5000D42C901000700000731
+:10B43000168801008986220BE67D00000A00004084
+:10B4400087980100559900408132010000000040BA
+:10B450000FB00100058A005C1F9000009B862250FF
+:10B46000FD7F00009686A254FD7F00008E86225547
+:10B47000FD7F000082000040879801008686004022
+:10B4800081B2000086862253FD7F000014800003F5
+:10B4900042C90100000000F096B001001000004B0E
+:10B4A00080F401000CBC004087980100968622437E
+:10B4B000806C0000FFFF004B808801008686A2435D
+:10B4C000806C00007C9600404799010097864340BD
+:10B4D000813200009A86A0F0306F00008C861B40FD
+:10B4E00081B2000000001B4131C30100A59500405E
+:10B4F000253001009F869C0F803200001B8400884D
+:10B500001CB000007C96005C1F000100148000034A
+:10B5100042C90100000000F096B0010000002F05B4
+:10B5200048B101001000000718E401000008000CF9
+:10B53000E0990100E69900079630010000B5000D82
+:10B5400046C90100A6863040813200000000000B91
+:10B55000E6910100000200A146C901000000000BB5
+:10B56000E691010004002E0548B1010000001040E2
+:10B57000E1B10100AE8A004081B00000000000FB94
+:10B5800028B00100000000FB86B00100000000F8B8
+:10B5900014B00100B7862246237C0000B386224007
+:10B5A000877C0000000000481F900100B586224102
+:10B5B000877C0000000000471F900100B7862242F0
+:10B5C000877C0000000000451F900100B786471BE4
+:10B5D0002C300000000000A013B0010000001F414B
+:10B5E00041C30100E6862392156C0000E686A24561
+:10B5F0001F7C0000EA86224BFD7F0000170000D070
+:10B60000A2C901000000004027B001000200000AAA
+:10B6100024C80100DD9500400F300100E4862208B7
+:10B620004030000000000041A3C10100F0070012FB
+:10B6300024CC0100C086AA4127400000010000136D
+:10B6400080CC0100E086264023300000000000404E
+:10B6500083B001006000000384C8010010000010E6
+:10B6600048CD0100170000D0A2C90100CD86A2403C
+:10B67000836C0000D986004183B000000080004246
+:10B6800044990100000068213896010000002E5006
+:10B6900049C10100D286A244236C0000300000039F
+:10B6A00048C9010000000044F1B101000C00002075
+:10B6B000F0C901000000004461B10100A00000A435
+:10B6C00062DD0100D586A842E031000000000044A0
+:10B6D00085C001000000004123C0010000000041BE
+:10B6E000A3C10100CB86A24181500000E086224028
+:10B6F000236C00000000004461B101004000001014
+:10B7000062DD0100DD86A840813200001B840088D4
+:10B710001CB000000000000348B10100EE0700402B
+:10B7200025980100170000D02AC80100F3860017F1
+:10B7300010B00000C097004081320100EA8600404E
+:10B7400081B20000DD95009225300100000000402C
+:10B7500031B00100EA8622082E300000F386004155
+:10B7600027B00000808000A604B001000600004061
+:10B77000879801005599000A8C30010000000040B4
+:10B780000FB001000000005C1F900100F286229FB4
+:10B79000136C0000020000881CCC01006B84004088
+:10B7A00081B20000058A00413FC300000000004054
+:10B7B0000FB001002800000180CE010007872A4059
+:10B7C000813000000080001044C9010040000040AA
+:10B7D00081980100FC86A2481F7C0000FC86A247DD
+:10B7E0001F7C0000FC86A307036C00008000004063
+:10B7F00081980100FF86A340026C00002800000130
+:10B80000F0CD0100018700400FB00000280000408B
+:10B81000F0CD0100040000400ECC01002800000320
+:10B82000F0C9010028000000F0C901000000001666
+:10B83000E0B101000000004761B1010020000010EC
+:10B8400062DD01000587A85C1F10000000000040B9
+:10B8500043990100000000F008B00100A0012D4054
+:10B8600000C00100ED88220F4205000018879C0FE0
+:10B87000803200000000005C1F800100008000108A
+:10B8800042C9010013872240E36D00000000004719
+:10B8900061B101004000001062DD01001087A84086
+:10B8A000813200001B8400881CB00000188722072A
+:10B8B000803200000000000342B1010000000007D8
+:10B8C00042C10100008000A1469901000000005F14
+:10B8D000E1910100D787A2451F7C00001000000302
+:10B8E00048C9010000002D5429C00100000000F8E3
+:10B8F00018B00100000000F804B00100000000F8DA
+:10B900000EB00100420000030AC801000C0000A4B0
+:10B910000CC801000000004017B001000000001436
+:10B9200002B001000000001424D001000000001447
+:10B9300010C001001200000810C801000000004003
+:10B9400023B00100FE7F000544C90100298720942F
+:10B95000156C00002A870094E5B100000000000A81
+:10B96000E4B10100438722018032000000003C4422
+:10B9700023E0010000002EA480B0010000000010B0
+:10B9800048C101003087A307026C000031876801BD
+:10B990001AB00000000068071AB001000000000D96
+:10B9A00002D0010000000005F0B101000000000C11
+:10B9B000F0B1010000000002E0B101000000000D44
+:10B9C0000AC001003D872240036C00003D872242EF
+:10B9D000236C00000000004123C00100000000476C
+:10B9E00061B10100A00000A462DD0100658728406C
+:10B9F000813200003A87004081B200000000001050
+:10BA000080C001000000004761B10100000000405B
+:10BA100062B101003F87A840233000001B840088EA
+:10BA20001CB000006587004081B2000000003C446B
+:10BA300023E00100000000A486B0010000002E10E9
+:10BA400048C101004887A3120E6C000049876807AF
+:10BA50001AB00000000068121AB001004C8780087C
+:10BA6000F03100000100001198C801000000004CF6
+:10BA70001E9001000000000CF0B101000000000267
+:10BA8000E0B101000000001086C001000000004687
+:10BA900061B10100011F004362DD01005087A85C15
+:10BAA0001F1000008387220D146C00005687220DA2
+:10BAB000246C00000000000D10C001005A87000D2A
+:10BAC00024D00000000000412BC001000000001540
+:10BAD000A2B101001000002010C80100F0070040D2
+:10BAE000259801005C872242236C00006587004195
+:10BAF00023C000000000004661B1010040000010BA
+:10BB000062DD01005D87A85C1F0000001B840088C7
+:10BB10001CB000000000001048B1010063872247FC
+:10BB20001F7C000011960043233001000E00000F1F
+:10BB30001E8C01000000004023B001008387220D0D
+:10BB4000145000008287A20D0E500000718722461B
+:10BB50001F7C0000000000461F80010030800010A4
+:10BB600042C901006F872240E36D000000000047DA
+:10BB700061B101004000001062DD01006C87A84047
+:10BB8000813200001B8400881CB00000208000036C
+:10BB9000469901000000005FE191010000002D06C0
+:10BBA00048B10100000000F818B00100000000F8E2
+:10BBB00004B0010076871FF00E3000002A87004C89
+:10BBC0000DC0000000002E5F0F8001002A872307B0
+:10BBD000146C00003000001048C90100240000402F
+:10BBE000F199010000000003F0B101000000000025
+:10BBF000F0B1010000000016F0B1010024000000C7
+:10BC000000C801000000004761B10100A00000A4CD
+:10BC100062DD01007F87A8461F1000002A8700030D
+:10BC20000CB000002A87000D18C0000004002E147C
+:10BC30000AD001001200000548CD0100FE7F00057A
+:10BC400042C901000C002AF2E0B1010089872240BC
+:10BC5000316C000000006018389601001E000040A2
+:10BC600043990100008100F680CE01008D87A64037
+:10BC7000813200000000004443C101008F87220B85
+:10BC8000ED6D0000080000A142C90100020000A102
+:10BC900046C901000F0000FA948801000200004A22
+:10BCA00086E40100000000F60EB0010097872247ED
+:10BCB0001F7C000004001F430E5000009787A04621
+:10BCC0000F400000000000410FC001009B87224888
+:10BCD0001F7C00000000004091B0010004000FA292
+:10BCE000423100009E87004089B000000C0000A295
+:10BCF00042C901000000004389B001000000004378
+:10BD000095D00100000000FC82B00100A187A04195
+:10BD1000904000000000004191C00100A68722472A
+:10BD20001F7C0000A687A043896C0000A6872045E1
+:10BD3000896C0000A687A0410E4000000000004171
+:10BD40000FC001000000004189C001009E87A24190
+:10BD500095500000AF8722481F7C0000100000486B
+:10BD600092F40100FFFF004890880100AD879048E1
+:10BD7000924000000000004193C001000A0000A2B0
+:10BD800044C901000000662093A401003080001027
+:10BD900044C9010012000014F0C90100000000179E
+:10BDA000F0B1010012000005E0CD010030000010EC
+:10BDB00080C801000000004461B101002000004083
+:10BDC00062DD0100B587A84081320000C287225C95
+:10BDD0001F7C000000003C4423E0010000002D1007
+:10BDE00048C10100BF872240E36D0000000000460B
+:10BDF00061B101004000001062DD0100BC87A84075
+:10BE0000813200001B8400881CB00000C287875C60
+:10BE10001F0000000000001048B101001196004111
+:10BE200023400100C487A2471F7C000058890017E7
+:10BE300010B0000000002F0348B10100C787A00721
+:10BE4000164000000000004117C001000000000B78
+:10BE5000E4B101000000005017F00100CB8790F220
+:10BE6000164000000000004117C0010000006620DD
+:10BE700017A40100100000142AC80100000000509F
+:10BE80002BE00100000000F22A9401003080001035
+:10BE900042C90100D5872240E36D00000000004444
+:10BEA00061B101004000001062DD0100D287A840AE
+:10BEB000813200001B8400881CB000000080001745
+:10BEC00010DC01005889004081B20000A5950040B7
+:10BED00081320100DB87225C1F7C00001B8400880C
+:10BEE0001CB000007C96005C1F0001000080000573
+:10BEF00044C9010000000040E1B1010004002D032D
+:10BF000048B10100000000F03CB00100280000141E
+:10BF100002C801000000000134B0010000002D053E
+:10BF200032B00100220000050AC801001000000321
+:10BF300048C90100000000F818B00100000000F836
+:10BF400004B00100000000F80EB001000C0000A4D5
+:10BF50000CC801000000004017B0010000000040C4
+:10BF600023B00100218822018032000000003C44FF
+:10BF700023E0010000002EA480B0010000000010AA
+:10BF800048C10100F087A307026C0000F187680137
+:10BF90001AB00000000068071AB001000000000D90
+:10BFA00002D0010000000005F0B101000000000C0B
+:10BFB000F0B1010000000002E0B101000000000D3E
+:10BFC0000AC0010003882240036C0000FD87224262
+:10BFD000236C00000000004123C001000000004766
+:10BFE00061B10100A00000A462DD01003D8828408D
+:10BFF00081320000FA87004081B20000000000108A
+:10C0000080C001000000004761B101000000004055
+:10C0100062B10100FF87A840233000001B84008824
+:10C020001CB000003D88004081B2000000000010FC
+:10C0300080C001000000004761B101000000004025
+:10C0400062B101000588A840233000001B840088ED
+:10C050001CB000002200001948C9010000002D1486
+:10C0600048C101000F0000F23A88010000000042C0
+:10C070003BE001000E00001402C801000000001D9A
+:10C0800002C001001188231A02500000000000467F
+:10C0900003C001003D88000134C000000C002D1DCC
+:10C0A00048C10100F00000F23088010000000042A9
+:10C0B00031F001000000001402B001000000001D7A
+:10C0C00002C001000000001802C001001988221AF5
+:10C0D000025000003D88000134C000002200001919
+:10C0E00048C9010002002D1448C10100000000F6FB
+:10C0F00014B001000000001D14D001000000001861
+:10C1000014D001000000001E24B00100120000172E
+:10C1100010C801003D88001A10C0000000003C4417
+:10C1200023E00100000000A486B0010000002E10F2
+:10C1300048C101002688A3120E6C000027886807FA
+:10C140001AB00000000068121AB001002A888008A6
+:10C15000F03100000100001198C801000000004CFF
+:10C160001E9001000000000CF0B101000000000270
+:10C17000E0B101000000001086C001000000004690
+:10C1800061B10100011F004362DD01002E88A85C3F
+:10C190001F1000005A88220D145000005A88220DEA
+:10C1A000245000000000000D10C00100358822421C
+:10C1B000236C00003D88004123C0000000000046C1
+:10C1C00061B101004000001062DD01003688A85C0A
+:10C1D0001F0000001B8400881CB00000000000103D
+:10C1E00048B1010011960043233001000E00000FFA
+:10C1F0001E8C01000000004023B001005988A20DF0
+:10C200000E500000488822461F7C000000000046B7
+:10C210001F8001003080001042C901004688224082
+:10C22000E36D00000000004761B101004000001014
+:10C2300062DD01004388A840813200001B84008831
+:10C240001CB0000020800003469901000000005F40
+:10C25000E191010000002D0648B10100000000F846
+:10C2600018B00100000000F804B001004D881FF074
+:10C270000E300000EA87004C0DC0000000002E5F69
+:10C280000F800100EA872307146C000030000010C3
+:10C2900048C9010024000040F1990100000000039A
+:10C2A000F0B1010000000000F0B101000000001634
+:10C2B000F0B101002400000000C8010000000047A8
+:10C2C00061B10100A00000A462DD01005688A8460B
+:10C2D0001F100000EA8700030CB00000EA87000D81
+:10C2E00018C000007788A2441F7C000000000019DD
+:10C2F0000AB001002200000548C901000A002D14FF
+:10C3000048C1010002002040E5B1010004002040C6
+:10C31000E5B101000D002D1D48C10100090000F329
+:10C32000388801000D002050E7B1010004002D40C5
+:10C330003FB00100000000F432B0010004002040D2
+:10C34000E1B101002200000548C9010000002D14E0
+:10C3500048C101000200001D94F4010000000040EB
+:10C3600091B001006C88A0FC9040000000000041EA
+:10C3700091C001006A88A241955000000480000528
+:10C3800044C9010000000048F0B10100000000189D
+:10C3900048C101000200001894F4010000002D18AB
+:10C3A00090B001007488A0FC9040000000000041A3
+:10C3B00091C001007288A241955000000000004821
+:10C3C000E0B1010010002040E5B1010022000005AD
+:10C3D00048C901000000001448C1010004800005A4
+:10C3E00042C90100000000F880B00100000000F028
+:10C3F00016C001007C8842303D0700000000009E0E
+:10C4000085B0010000001A413DC301000400204234
+:10C41000ECB101000000001E82B0010002002E1DE0
+:10C4200082C001000000661882C0010000000042C6
+:10C4300080C001008688A0418044000000000041C7
+:10C4400081C001001000004092F401000A002E306B
+:10C45000818401008A8890409240000000000041E1
+:10C4600093C001000000662093A401000000001D9D
+:10C4700048C1010004002019E8B101000000001EBD
+:10C4800016C001009088A019164400000000004169
+:10C4900017C001000D002F1E32C001009588A24078
+:10C4A000156C00009488A01C16400000000000419C
+:10C4B00017C00100000063F338940100100000056C
+:10C4C00048C9010004002E1E98B001000000601A47
+:10C4D00098C001000C002040E1B10100A388224671
+:10C4E0001F7C0000000000461F800100308000100B
+:10C4F00042C90100A1882240E36D0000000000470E
+:10C5000061B101004000001062DD01009E88A8407A
+:10C51000813200001B8400881CB0000020800003D2
+:10C52000469901000000005FE19101003080001099
+:10C5300044C901001200001AF0C9010000000017F0
+:10C54000F0B1010010000005E0C90100300000104A
+:10C5500080C801000000004461B1010020000040DB
+:10C5600062DD0100A988A84081320000B788225C02
+:10C570001F7C000000003C4423E0010000002D105F
+:10C5800048C10100B3882240E36D0000000000466E
+:10C5900061B101004000001062DD0100B088A840D8
+:10C5A000813200001B8400881CB000000000005C89
+:10C5B0001F8001000000001048B1010011960041E9
+:10C5C000234001000E00000F1E8C010020002F05EB
+:10C5D00048B101000000000BE4B101000000005070
+:10C5E00017F00100BC8890F21640000000000041E6
+:10C5F00017C001000000662017A4010010000014FD
+:10C600002AC801000000001D2AC0010000000050DF
+:10C610002BE00100000000F22A940100308000109D
+:10C6200042C90100C7882240E36D000000000044B9
+:10C6300061B101004000001062DD0100C488A84023
+:10C64000813200001B8400881CB0000000800017AD
+:10C6500010DC0100E4882240156C0000CF88A24461
+:10C660001F7C0000000000441F900100CE88229F24
+:10C67000136C0000020000881CCC01006B84004099
+:10C6800081B20000000000413FC3010066990040F4
+:10C6900081320100D288A241877C00000000001E88
+:10C6A0003EC00100E4882240156C0000D588201EA1
+:10C6B000146C00000000000A3CB00100DD95001E73
+:10C6C00024300100DA8822082E30000000000052D9
+:10C6D00011C001000000001A10C001003D88004098
+:10C6E00017B000006B8400881CB00000DD9500408E
+:10C6F00081320100D788A2082E300000808000A679
+:10C7000004B001000600004087980100008000038B
+:10C710004499010004002204E03100005599001FF3
+:10C720008C300100000000400FB00100058A005C61
+:10C730001F900000008000034499010004002204BF
+:10C74000E03100006699004081320100E988A24191
+:10C75000877C0000EA88001E3EC000000000001F29
+:10C760008CB001000000004005B001005599004068
+:10C770000F300100058A005C1F900000F5889C0FB7
+:10C78000803200000000005C1F800100008000106B
+:10C7900042C90100F5882240E36D00000000004717
+:10C7A00061B101004000001062DD0100F288A84084
+:10C7B000813200001B8400881CB00000FA88220728
+:10C7C000803200000000000342B1010000000007B9
+:10C7D00042C10100008000A1469901000000005FF5
+:10C7E000E191010004002E0348B10100FD8820946E
+:10C7F000156C0000FE880094E1B100000000000A02
+:10C80000E0B1010001892240316C00000C000040C1
+:10C8100045990100000060183896010000002E10B4
+:10C8200048B1010000000050F1B101000000000813
+:10C83000F0B1010000000003E0B10100000000447D
+:10C8400061B101000000001062B101000689A8403A
+:10C85000233000001B8400881CB0000000002D5213
+:10C8600011C001001000000348C90100000000F8D9
+:10C8700018B00100000000F804B00100000000F84A
+:10C880000EB001000C0000A40CC8010000003C44E4
+:10C8900023E00100000000A486B0010000002E107B
+:10C8A00048C101001489A3120E6C000015896807A5
+:10C8B0001AB00000000068121AB001000000001059
+:10C8C00086C0010000000008F0B101000000000C6B
+:10C8D000F0B1010000000002E0B1010000000046DC
+:10C8E00061B10100011F004362DD01001A89A85CEB
+:10C8F0001F1000004B89220D146C00002089220DAE
+:10C90000246C00000000000D10C001002489000DFF
+:10C9100024D00000000000412BC0010000000015E1
+:10C92000A2B101001000002010C80100F007004073
+:10C930002598010026892242236C00002D890041A0
+:10C9400023C000000000004661B10100400000105B
+:10C9500062DD01002789A85C1F0000001B8400889D
+:10C960001CB000000000001048B10100D794004343
+:10C97000233001000000004023B001000400220D1C
+:10C98000145000004A89A20D0E5000003989224639
+:10C990001F7C0000000000461F8001003080001056
+:10C9A00042C9010037892240E36D000000000047C2
+:10C9B00061B101004000001062DD01003489A8402F
+:10C9C000813200001B8400881CB00000208000031E
+:10C9D000469901000000005FE191010000002D0672
+:10C9E00048B10100000000F818B00100000000F894
+:10C9F00004B001003E891FF00E3000000F89004C8A
+:10CA00000DC0000000002E5F0F8001000F8923077A
+:10CA1000146C00003000001048C9010024000040E0
+:10CA2000F199010000000003F0B1010000000000D6
+:10CA3000F0B1010000000016F0B101002400000078
+:10CA400000C801000000004761B10100A00000A47F
+:10CA500062DD01004789A8461F1000000F8900030E
+:10CA60000CB000000F89000D18C000005489225C32
+:10CA70001F7C00000000005C1F80010000003C449F
+:10CA800023E0010000002D1048C10100548922401C
+:10CA9000E36D00000000004661B10100400000109D
+:10CAA00062DD01005189A840813200001B840088AA
+:10CAB0001CB000000000001048B10100D7940041F4
+:10CAC000234001000000001710B001005889004009
+:10CAD0002BB0000000800003449901000000000416
+:10CAE000E0B101005D89229F136C00000200008804
+:10CAF0001CCC01006B84004081B2000066990041AB
+:10CB00003F430100000000408DB0010000000040E4
+:10CB100005B00100559900400F3001001B8A005CF0
+:10CB20001F900000100000000EF401000000003A09
+:10CB300001840100030000071AF401002196000798
+:10CB4000163001006C892241816C00006A89224202
+:10CB5000816C00001B8400881CB000006B89225F80
+:10CB60000F7C0000058A00400FB000007489A25AB3
+:10CB70001F7C00009B9500408132010074892220B7
+:10CB8000856C000071899C0F803200001B84008836
+:10CB90001CB000007C96005C1F0001009B980042C6
+:10CBA000613101001B8400881CB00000E699000779
+:10CBB0009630010000002D0548B10100000000F092
+:10CBC00018B001000000000080B00100AE8AA25F32
+:10CBD000816C0000A8002D431980010037002DF062
+:10CBE00024B00100040000F38EF401000F0000F3F4
+:10CBF00090880100838922488E6C00003600004036
+:10CC00004399010058003D43E7E1010083891FF08B
+:10CC1000246C0000828923418F6C0000AE8A00479B
+:10CC200081B00000AE8A004881B0000040000040A2
+:10CC300043990100B0002DF014B001008889220A48
+:10CC4000904000003999004091300100AE8AA24026
+:10CC500080320000B0002D4581B00100948922F09F
+:10CC60002C300000A3002D3083B00100AC002DF368
+:10CC700082E001008E89A3412C6C000000000016A8
+:10CC800082B0010098002DF082C0010088002DF0D4
+:10CC900082D00100000000F298E80100AE8A204C2A
+:10CCA000826C00007C002D4198E80100AE8A20F0E3
+:10CCB000986C0000058A220A803200004002000CB5
+:10CCC0007E890100058AA64081320000AE8A0049B3
+:10CCD00081B00000200000A680B001009C892243A2
+:10CCE000216F00001380004080DC01009D8900401E
+:10CCF00081B200001A80004080DC01009D89A25EA4
+:10CD00000B7D00000000004008B101009F899F8555
+:10CD100080320000A389004081B200005F8422407D
+:10CD2000577D00000100004057990100A38942404F
+:10CD300081320000000000449393010049841A5B93
+:10CD4000699300007B00004061990100A689A8B1A9
+:10CD500080300000CF891D4080320000C089224011
+:10CD6000AF6F0000C089225B817C00000400225D5F
+:10CD7000737D00007D00004061990100AC89A8B17D
+:10CD8000943000000000005F61B101000000004A23
+:10CD900062B10100AF89A84081320000B1894340EF
+:10CDA00081320000BF892257737D00007700004068
+:10CDB00061990100B389A8B1943000007700004068
+:10CDC00061990100B589A8B19630000000000048C3
+:10CDD00061B101000000004A62B10100B889A84AAF
+:10CDE00080330000BD89225F957C00000000004B6D
+:10CDF00062B10100BB89A84BAC33000000001BA549
+:10CE000082B30100C08900BE83C3000000001B4044
+:10CE100081B301004018004049990100040000A6B8
+:10CE200086B00100CD89A240860400001B849C408E
+:10CE300080320000FFFF004088880100E98900502F
+:10CE4000473101003600004488CC0100C9895240B6
+:10CE500081320000E98900404731010000000041B3
+:10CE600089B00100E989004847310100E9890005DE
+:10CE7000473101001B84004081B2000028000040BF
+:10CE8000479901001B840041E1C10000781800406F
+:10CE900049990100D6892254817C0000D189424001
+:10CEA00081320000008200B469DF010000001A44F2
+:10CEB000939301002800004047990100E98900414F
+:10CEC00089300100E4890F4080320000FF7F00407C
+:10CED00088880100E989005047310100360000448C
+:10CEE00088CC0100DC8999408032000000000048B5
+:10CEF00089D00100DE899B40803200000000004C98
+:10CF000089D00100E0891F4480320000E989004097
+:10CF1000473101000000004189B00100E989004863
+:10CF200047310100E9890058473101001B84004066
+:10CF300081B200001000004086F401006F00004341
+:10CF4000868801001B84260547310000E9890041DD
+:10CF5000893001001B84004081B200000000A04421
+:10CF6000F04101000000004081B20100000080415A
+:10CF7000E1C10100040000CB81C80100EF8922401B
+:10CF8000F27F00008180006F97330100F189224019
+:10CF9000737D00009B8000418BB30000EC89225917
+:10CFA000737D00007900004061990100EC8928B18F
+:10CFB0007E310000F289004081B20000040022C0EE
+:10CFC00095300000000000D697B00100FA89225D7C
+:10CFD000737D00007D00004061990100F889A8B1CF
+:10CFE000803000000000005E7F830100000000BF71
+:10CFF000C5B10100040000408198010025010040F6
+:10D0000081320100FD89A24181500000FF89435F08
+:10D010007F130000260100BFC53101000000005F42
+:10D020007F8301000000005E7F9301008B9800BFAA
+:10D03000C53101001B84004081B200000C8A9C0FA6
+:10D04000803200000080001042C901000C8A22409A
+:10D05000E36D00000000004561B1010040000010D8
+:10D0600062DD0100098AA840813200001B8400882B
+:10D070001CB0000077952202803200000D8A4240E9
+:10D0800081320000000000449393010077951A025A
+:10D0900068970000178A9C0F803200000080001003
+:10D0A00042C90100178A2240E36D000000000045DC
+:10D0B00061B101004000001062DD0100148AA84047
+:10D0C000813200001B8400881CB000008195220280
+:10D0D00080320000188A4240813200000000004483
+:10D0E0009393010081951A0268970000228A9C0F91
+:10D0F000803200000080001042C90100228A2240D4
+:10D10000E36D00000000004561B101004000001027
+:10D1100062DD01001F8AA840813200001B84008864
+:10D120001CB000006F84220280320000238A42403B
+:10D1300081320000000000449393010000001A02B5
+:10D14000689701006F84004005B00000008000A6D1
+:10D1500056B1010056952F4005B00100738AA240D8
+:10D16000E76D0000B8942941E7B1010000000054C8
+:10D17000EF930100000000F20EB001002900004012
+:10D180000D9801000900000712E40100000000A74B
+:10D1900013C00100030000071AF401000700000794
+:10D1A00016880100FFFF001034D8010000000003C2
+:10D1B000349401000000004023B00100201800401A
+:10D1C0001198010000B5000D42C90100578A220BD9
+:10D1D000E67D0000388A444081320000FFFF0007EE
+:10D1E000848901003F8A05C224300000679800400E
+:10D1F0008132010000002D0548B10100748A1CF045
+:10D2000018300100578A004081B2000000001C4025
+:10D2100081B201004E8AA048236C0000000000503B
+:10D2200035D001000080001A42C90100488A22401E
+:10D23000E36D00000000004261B101004000001AEF
+:10D2400062DD0100458AA840813200001B8400880D
+:10D250001CB000002098004043990100748A00F837
+:10D2600018300100498AA24123500000FFFF00103E
+:10D2700034D801000000000334940100201800405D
+:10D280001198010000002E1A48B10100000000446E
+:10D29000F1B1010000000008F0B1010000000042FF
+:10D2A00061B101002000001A62DD0100528AA80964
+:10D2B000E03100000000004123C0010000000050E8
+:10D2C00035C001000000004411C00100638A224102
+:10D2D0000D500000000000410FC001005F8AA0AAAD
+:10D2E0000F6C0000000000410FB0010009000007B2
+:10D2F00012E40100000000A713C00100000000407C
+:10D300001BB00100368A004117B00000000200097E
+:10D3100012C80100368A8341174000000000004017
+:10D3200017B00100368A00411BC000006E8A2340FE
+:10D33000236C00000000005035D001000080001A6E
+:10D3400042C901006B8A2240E36D000000000042E8
+:10D3500061B101004000001A62DD0100688AA84046
+:10D36000813200001B8400881CB00000209800401F
+:10D3700043990100748A00F8183001006C8AA241B8
+:10D3800023500000000000410FC00100718AA0AAD4
+:10D390000F6C0000000000410FB00100B89420079E
+:10D3A000E4B1010056952040E7B10100058A004034
+:10D3B0000FB00000FFFF000C80D80100C002000C7D
+:10D3C0007E890100868A2654613100007C8A870CA0
+:10D3D000803200000F000040629901007C8A2840E2
+:10D3E000813200007C8AA254777D0000788A004058
+:10D3F00081B20000818A2246197C00000D000040A5
+:10D40000629901000000A84081B200000000A2540F
+:10D41000777D01007D8A004081B20000868A224922
+:10D42000197C00000E000040629901000000A84035
+:10D4300081B200000000A254777D0100818A004083
+:10D4400081B2000010000040629901000000A84075
+:10D4500081B200000000A254777D0100868A00405E
+:10D4600081B2000030942F55F1930100004000A6D6
+:10D4700056B101006F84A241E551000064000040F4
+:10D48000E59901008E8A424081320000918AA29380
+:10D49000576F00000000004157C3010000001AABA5
+:10D4A00027B301006F842250FD7F00006F8422515A
+:10D4B000FD7F00006F84A2411D53000050460040D4
+:10D4C0001D9B010034820040813201000E000048A3
+:10D4D000B2CB0100FC810040493101009D8A22400D
+:10D4E000B56F00000E000048B2CB0100FF81004183
+:10D4F000B55301006F84004081B20000000000516C
+:10D50000FD8301004016004045990100358200402E
+:10D51000493101001E000048B2CB0100FC810040EF
+:10D5200081320100000000DA91C0010004000048CF
+:10D53000B2CB0100FF810040B533010060162040EE
+:10D54000E5B10100DB820040B5330100080000486E
+:10D55000B2CB0100FFFF004AB48B0100FF81004005
+:10D56000813201000A000048B2CB01001000004ADD
+:10D57000B4F70100FF810040813201006F84004058
+:10D5800081B200000500004043990100000000F353
+:10D5900008B0010004002040E6B101000300004093
+:10D5A00096E401000000000496C00100B48A004B1C
+:10D5B00010C90000D78D004109B000000400002010
+:10D5C0008FB00000040000208FB000000400002095
+:10D5D0008FB00000040000208FB000000400002085
+:10D5E0008FB00000040000208FB000000400002075
+:10D5F0008FB00000040000208FB000000B8E0041AF
+:10D6000009B00000040000208FB0000004000020DA
+:10D610008FB00000040000208FB000000400002044
+:10D620008FB00000040000208FB000000400002034
+:10D630008FB00000040000208FB000000400002024
+:10D640008FB000003D8E004509B000003D8E0045C2
+:10D6500009B000003D8E004509B000003D8E004538
+:10D6600009B00000040000208FB00000040000207A
+:10D670008FB00000040000208FB0000004000020E4
+:10D680008FB000007C8E004309B00000A58E0043DF
+:10D6900009B00000A98E004409B0000011900045B7
+:10D6A00009B00000040000208FB00000040000203A
+:10D6B0008FB00000040000208FB0000004000020A4
+:10D6C0008FB00000040000208FB00000B58E004332
+:10D6D00009B00000B48E004309B00000D58D0045AC
+:10D6E00009B00000040000208FB0000004000020FA
+:10D6F0008FB00000040000208FB000000400002064
+:10D700008FB00000758F004209B00000758F004394
+:10D7100009B00000758F004409B00000D58D0045A8
+:10D7200009B00000040000208FB0000004000020B9
+:10D730008FB00000040000208FB000000400002023
+:10D740008FB00000040000208FB00000A18F0043C4
+:10D7500009B00000040000208FB00000D58D004506
+:10D7600009B00000040000208FB000000400002079
+:10D770008FB00000040000208FB0000004000020E3
+:10D780008FB00000040000208FB00000BF8F004366
+:10D7900009B00000BF8F004409B00000D58D0045DE
+:10D7A00009B00000040000208FB000000400002039
+:10D7B0008FB00000040000208FB0000004000020A3
+:10D7C0008FB00000040000208FB00000BF8F004227
+:10D7D00009B00000040000208FB00000D58D004586
+:10D7E00009B00000040000208FB0000004000020F9
+:10D7F0008FB00000040000208FB000000400002063
+:10D800008FB00000040000208FB00000E78F0044BC
+:10D8100009B00000040000208FB00000D58D004545
+:10D8200009B00000040000208FB0000004000020B8
+:10D830008FB00000040000208FB000000400002022
+:10D840008FB00000D58D004209B00000F88F004570
+:10D8500009B00000F88F004509B00000D58D0045E3
+:10D8600009B00000040000208FB000000400002078
+:10D870008FB00000040000208FB0000004000020E2
+:10D880008FB00000FA8F004209B00000FA8F004309
+:10D8900009B00000FA8F004409B00000FA8F00457B
+:10D8A00009B00000040000208FB000000400002038
+:10D8B0008FB00000040000208FB0000004000020A2
+:10D8C0008FB00000040000208FB000000400002092
+:10D8D0008FB000000290004409B00000D58D0045D3
+:10D8E00009B00000040000208FB0000004000020F8
+:10D8F0008FB00000040000208FB000000400002062
+:10D900008FB000001390004209B000000390004364
+:10D9100009B000001390004409B00000D58D004507
+:10D9200009B00000040000208FB0000004000020B7
+:10D930008FB00000040000208FB000000400002021
+:10D940008FB00000040000208FB00000149000434E
+:10D9500009B000000A90004409B00000D58D0045D0
+:10D9600009B00000040000208FB000000400002077
+:10D970008FB00000040000208FB00000D58D004162
+:10D9800009B00000738F004209B00000738F00439C
+:10D9900009B00000738F004409B00000D58D004528
+:10D9A00009B00000040000208FB000000400002037
+:10D9B0008FB00000040000208FB00000D58D004122
+:10D9C00009B000001590004209B000001590004316
+:10D9D00009B000001590004409B00000D58D004545
+:10D9E00009B00000040000208FB0000004000020F7
+:10D9F0008FB00000040000208FB000000400002061
+:10DA00008FB00000040000208FB000000400002050
+:10DA10008FB00000040000208FB000001C90004573
+:10DA200009B00000040000208FB0000004000020B6
+:10DA30008FB00000040000208FB000001E90004254
+:10DA400009B00000040000208FB000000400002096
+:10DA50008FB00000040000208FB000000400002000
+:10DA60008FB00000040000208FB0000004000020F0
+:10DA70008FB00000040000208FB0000004000020E0
+:10DA80008FB000002A90004309B00000939000433B
+:10DA900009B00000A98E004409B0000011900045B3
+:10DAA00009B00000040000208FB000000400002036
+:10DAB0008FB00000040000208FB0000004000020A0
+:10DAC0008FB00000040000208FB000009B90004346
+:10DAD00009B00000A98E004409B000001190004573
+:10DAE00009B00000040000208FB0000004000020F6
+:10DAF0008FB00000040000208FB000000400002060
+:10DB00008FB00000040000208FB00000AC900043F4
+:10DB100009B00000040000208FB00000D58D004542
+:10DB200009B00000040000208FB0000004000020B5
+:10DB30008FB00000040000208FB00000040000201F
+:10DB40008FB00000798E004309B000009790004329
+:10DB500009B00000A98E004409B0000011900045F2
+:10DB600009B00000040000208FB000000400002075
+:10DB70008FB0000007002D0548B10100000000F340
+:10DB800008B0010006002047E6B10100040000478C
+:10DB900096E401000000004796D001000000004715
+:10DBA00096D001000000000496C00100748B004B69
+:10DBB00010C90000C490004909B000000400002012
+:10DBC00085B000000400002085B0000004000020A3
+:10DBD00085B000000400002085B000000400002093
+:10DBE00085B000000400002085B000000400002083
+:10DBF00085B000000400002085B000000400002073
+:10DC000085B000000400002085B000000400002062
+:10DC100085B000000400002085B000000400002052
+:10DC200085B000000400002085B00000FD90004297
+:10DC300009B000000400002085B0000004000020AE
+:10DC400085B000000400002085B000000400002022
+:10DC500085B000000400002085B000000400002012
+:10DC600085B000000400002085B000000400002002
+:10DC700085B000000400002085B0000004000020F2
+:10DC800085B000000400002085B0000004000020E2
+:10DC900085B000000400002085B00000039100461C
+:10DCA00009B000000400002085B00000040000203E
+:10DCB00085B000000400002085B0000004000020B2
+:10DCC00085B000000400002085B0000004000020A2
+:10DCD00085B000000400002085B000000400002092
+:10DCE00085B000000400002085B000000400002082
+:10DCF00085B000000400002085B000000400002072
+:10DD000085B000000400002085B000000400002061
+:10DD100085B000001191004209B00000040000200D
+:10DD200085B000003391004209B0000004000020DB
+:10DD300085B000000400002085B000000400002031
+:10DD400085B000000400002085B000000400002021
+:10DD500085B000000400002085B000002E91004A2C
+:10DD600009B000000400002085B00000040000207D
+:10DD700085B000000400002085B0000004000020F1
+:10DD800085B000003691004309B000000400002077
+:10DD900085B000008F91004409B00000040000200D
+:10DDA00085B000000400002085B0000004000020C1
+:10DDB00085B000000400002085B0000004000020B1
+:10DDC00085B000000400002085B000008E91004B5B
+:10DDD00009B000000400002085B00000040000200D
+:10DDE00085B000000400002085B0000006910041CD
+:10DDF00009B000000400002085B000000691004337
+:10DE000009B000000691004409B0000006910045E9
+:10DE100009B000000691004609B0000006910047D5
+:10DE200009B000000691004809B0000006910049C1
+:10DE300009B000000691004A09B000000691004BAD
+:10DE400009B000000691004C09B000000691004D99
+:10DE500009B000000400002085B00000040000208C
+:10DE600085B00000EE91004209B0000004000020DF
+:10DE700085B00000EE91004409B0000004000020CD
+:10DE800085B000000400002085B0000004000020E0
+:10DE900085B000000400002085B0000004000020D0
+:10DEA00085B000000400002085B00000EE91004B1A
+:10DEB00009B000000400002085B00000040000202C
+:10DEC00085B000000400002085B0000004000020A0
+:10DED00085B000000400002085B0000006920045D7
+:10DEE00009B000000400002085B0000004000020FC
+:10DEF00085B000000400002085B000000400002070
+:10DF000085B000001D92004709B000000400002009
+:10DF100085B00000FA91004509B00000040000201F
+:10DF200085B000000400002085B000007C9400460D
+:10DF300009B000000400002085B0000004000020AB
+:10DF400085B000000400002085B00000040000201F
+:10DF500085B000000400002085B000003391004629
+:10DF600009B000001191004609B000002C91004753
+:10DF700009B000002C91004809B000000400002006
+:10DF800085B000000400002085B0000004000020DF
+:10DF900085B000002E91004A09B000000400002066
+:10DFA00085B000000400002085B0000004000020BF
+:10DFB00085B000000400002085B0000004000020AF
+:10DFC00085B000000400002085B000008F9100455E
+:10DFD00009B000003691004309B000002C910047C1
+:10DFE00009B000002C91004809B000000400002096
+:10DFF00085B000000400002085B00000040000206F
+:10E0000085B000008E91004C09B000000400002093
+:10E0100085B000000400002085B00000040000204E
+:10E0200085B000000400002085B00000040000203E
+:10E0300085B000000400002085B000002392004459
+:10E0400009B000002392004209B00000C08D0047D3
+:10E0500009B00000C08D004809B000000400002095
+:10E0600085B000000400002085B0000004000020FE
+:10E0700085B000002392004B09B00000040000208E
+:10E0800085B000000400002085B00000069100412A
+:10E0900009B000004692004709B0000004000020CB
+:10E0A00085B000002E92004709B000000400002057
+:10E0B00085B000000400002085B0000004000020AE
+:10E0C00085B000000400002085B00000040000209E
+:10E0D00085B000000400002085B000002E920047AB
+:10E0E00009B000000400002085B0000004000020FA
+:10E0F00085B000000400002085B00000040000206E
+:10E1000085B000000400002085B00000040000205D
+:10E1100085B000000400002085B000002E9200476A
+:10E1200009B000004692004709B000002C9100475A
+:10E1300009B000002C91004809B000000400002044
+:10E1400085B000000400002085B00000040000201D
+:10E1500085B000002E92004709B0000004000020A6
+:10E1600085B000000400002085B0000004000020FD
+:10E1700085B000000400002085B0000004000020ED
+:10E1800085B000000400002085B0000004000020DD
+:10E1900085B000000400002085B0000055920047C3
+:10E1A00009B000005592004809B0000004000020AA
+:10E1B00085B000000400002085B0000004000020AD
+:10E1C00085B000000400002085B00000040000209D
+:10E1D00085B000000400002085B00000B892004027
+:10E1E00009B00000D692004709B00000CA9200486A
+:10E1F00009B000002692004709B0000026920047AF
+:10E2000009B00000D692004709B00000DD92004737
+:10E2100009B00000DD92004809B0000004000020B1
+:10E2200085B00000CA92004809B00000269200475D
+:10E2300009B000002692004709B00000CA920048C9
+:10E2400009B000000400002085B000000400002098
+:10E2500085B000000400002085B00000EE9100436E
+:10E2600009B000000400002085B00000EE910045D8
+:10E2700009B00000EE91004609B000002C91004763
+:10E2800009B000002C91004809B0000004000020F3
+:10E2900085B00000EE91004A09B0000004000020A3
+:10E2A00085B00000EE91004C09B000000400002091
+:10E2B00085B000000400002085B0000004000020AC
+:10E2C00085B000004592004709B00000399200482F
+:10E2D00009B000002D92004709B000002D920047C0
+:10E2E00009B000004592004709B00000C08D00470A
+:10E2F00009B00000C08D004809B0000004000020F3
+:10E3000085B000003992004809B000002D92004706
+:10E3100009B000002D92004709B000003992004872
+:10E3200009B000000400002085B0000004000020B7
+:10E3300085B00000DF92004209B000000400002018
+:10E3400085B00000DF92004409B000000400002006
+:10E3500085B000000400002085B00000040000200B
+:10E3600085B000000400002085B0000004000020FB
+:10E3700085B000000400002085B00000DF92004B53
+:10E3800009B000000400002085B000000400002057
+:10E3900085B000000400002085B0000004000020CB
+:10E3A00085B000000400002085B00000DF9200432B
+:10E3B00009B000000400002085B00000DF92004595
+:10E3C00009B00000DF92004609B00000DF9200476C
+:10E3D00009B00000DF92004809B0000004000020EE
+:10E3E00085B00000DF92004A09B000000400002060
+:10E3F00085B00000DF92004C09B00000DF92004CB5
+:10E4000009B000000400002085B0000004000020D6
+:10E4100085B000000400002085B00000FA9200469C
+:10E4200009B000000400002085B0000004000020B6
+:10E4300085B000000400002085B00000040000202A
+:10E4400085B000001D92004709B0000004000020C4
+:10E4500085B00000FA92004609B0000004000020D8
+:10E4600085B000000400002085B0000004000020FA
+:10E4700085B000000400002085B0000004000020EA
+:10E4800085B000000400002085B00000069400461E
+:10E4900009B000000400002085B000000400002046
+:10E4A00085B000000400002085B0000004000020BA
+:10E4B00085B000001D92004709B000000400002054
+:10E4C00085B000000694004609B00000040000205A
+:10E4D00085B000000400002085B0000006940046CE
+:10E4E00009B000000400002085B0000004000020F6
+:10E4F00085B000000400002085B00000040000206A
+:10E5000085B000002B94004209B0000004000020F8
+:10E5100085B000000400002085B000000400002049
+:10E5200085B000000400002085B000000400002039
+:10E5300085B000000400002085B000002A94004A45
+:10E5400009B000000400002085B000000400002095
+:10E5500085B000000400002085B000000400002009
+:10E5600085B000000400002085B0000004000020F9
+:10E5700085B000000400002085B000002B94004608
+:10E5800009B000000400002085B000002C91004775
+:10E5900009B000002C91004809B0000004000020E0
+:10E5A00085B000000400002085B0000004000020B9
+:10E5B00085B000002A94004A09B000000400002041
+:10E5C00085B000000400002085B000000400002099
+:10E5D00085B000000400002085B000000400002089
+:10E5E00085B000000400002085B000000400002079
+:10E5F00085B000000400002085B000000400002069
+:10E6000085B000000400002085B00000EA920041BF
+:10E6100009B000000400002085B0000004000020C4
+:10E6200085B000000400002085B000000400002038
+:10E6300085B000000400002085B000000400002028
+:10E6400085B00000F792004209B0000004000020ED
+:10E6500085B00000F792004409B0000004000020DB
+:10E6600085B000000400002085B0000004000020F8
+:10E6700085B000000400002085B0000004000020E8
+:10E6800085B000000400002085B00000F792004B28
+:10E6900009B000000400002085B000000400002044
+:10E6A00085B000000400002085B0000004000020B8
+:10E6B00085B000000400002085B00000F792004300
+:10E6C00009B000000400002085B00000F79200456A
+:10E6D00009B00000F792004609B00000F792004729
+:10E6E00009B00000F792004809B0000004000020C3
+:10E6F00085B000000400002085B000000400002068
+:10E7000085B00000F792004C09B000000400002022
+:10E7100085B000000400002085B000000400002047
+:10E7200085B000000400002085B000000692004C77
+:10E7300009B000000400002085B0000004000020A3
+:10E7400085B000000400002085B000000400002017
+:10E7500085B000001D92004709B0000004000020B1
+:10E7600085B00000FA91004C09B0000004000020C0
+:10E7700085B000000400002085B00000CD94004664
+:10E7800009B000000400002085B000000400002053
+:10E7900085B000007194004209B000000400002020
+:10E7A00085B000007194004409B00000040000200E
+:10E7B00085B000000400002085B0000004000020A7
+:10E7C00085B000000400002085B000000400002097
+:10E7D00085B000000400002085B000007194004B5B
+:10E7E00009B000000400002085B0000004000020F3
+:10E7F00085B000000400002085B000000400002067
+:10E8000085B000000400002085B000000400002056
+:10E8100085B000000400002085B000007194004520
+:10E8200009B000007194004609B000002C91004727
+:10E8300009B000002C91004809B00000040000203D
+:10E8400085B000000400002085B000000400002016
+:10E8500085B000007194004C09B000000400002055
+:10E8600085B000000400002085B0000004000020F6
+:10E8700085B00000FA91004209B000007C94004687
+:10E8800009B000000400002085B000000400002052
+:10E8900085B00000FA91004609B000000400002095
+:10E8A00085B000001D92004709B000000400002060
+:10E8B00085B000007C94004609B0000004000020F0
+:10E8C00085B000000400002085B000007C94004664
+:10E8D00009B000000400002085B000000400002002
+:10E8E00085B000000400002085B000008094004343
+:10E8F00009B000000400002085B0000004000020E2
+:10E9000085B000000400002085B000000400002055
+:10E9100085B000001D92004709B0000004000020EF
+:10E9200085B000008094004309B00000040000207E
+:10E9300085B000000400002085B000008094004DE8
+:10E9400009B000000400002085B000000400002091
+:10E9500085B000000400002085B000000400002005
+:10E9600085B000009294004309B00000040000202C
+:10E9700085B000000400002085B0000004000020E5
+:10E9800085B000000400002085B0000004000020D5
+:10E9900085B000000400002085B000006F94004A9C
+:10E9A00009B000000400002085B000000400002031
+:10E9B00085B000000400002085B0000004000020A5
+:10E9C00085B000000400002085B000000400002095
+:10E9D00085B000000400002085B000009294004340
+:10E9E00009B000000400002085B000002C91004711
+:10E9F00009B000002C91004809B00000040000207C
+:10EA000085B000000400002085B000000400002054
+:10EA100085B000006F94004A09B000000400002097
+:10EA200085B000000400002085B000000400002034
+:10EA300085B000000400002085B00000A4940043CD
+:10EA400009B000000400002085B000000400002090
+:10EA500085B000000400002085B000000400002004
+:10EA600085B000001D92004709B00000040000209E
+:10EA700085B00000A494004309B000000400002009
+:10EA800085B000000400002085B00000A494004D73
+:10EA900009B000000400002085B000000400002040
+:10EAA00085B000001191004209B000000400002070
+:10EAB00085B000003391004209B00000040000203E
+:10EAC00085B000000400002085B000000400002094
+:10EAD00085B000000400002085B000000400002084
+:10EAE00085B000000400002085B00000C3940042FF
+:10EAF00009B000000400002085B0000004000020E0
+:10EB000085B000000400002085B000000400002053
+:10EB100085B000000400002085B000000400002043
+:10EB200085B000000400002085B00000339100464D
+:10EB300009B000001191004609B000002C91004777
+:10EB400009B000002C91004809B00000040000202A
+:10EB500085B000000400002085B000000400002003
+:10EB600085B00000C394004609B0000004000020F6
+:10EB700085B000000400002085B0000004000020E3
+:10EB800085B000000400002085B00000C594004A54
+:10EB900009B000000400002085B00000040000203F
+:10EBA00085B000000400002085B0000004000020B3
+:10EBB00085B000001D92004709B00000040000204D
+:10EBC00085B00000C594004A09B000000400002090
+:10EBD00085B000000400002085B000007D94004650
+:10EBE00009B000000400002085B0000004000020EF
+:10EBF00085B000000400002085B000007D94004630
+:10EC000009B000000400002085B0000004000020CE
+:10EC100085B000000400002085B000000400002042
+:10EC200085B000001D92004709B0000004000020DC
+:10EC300085B000007D94004609B00000040000206B
+:10EC400085B000000400002085B000007D940046DF
+:10EC500009B000000400002085B00000040000207E
+:10EC600085B000000400002085B0000004000020F2
+:10EC700085B00000CB94004209B0000004000020E1
+:10EC800085B000000400002085B0000004000020D2
+:10EC900085B000000400002085B0000004000020C2
+:10ECA00085B000000400002085B000006F94004A89
+:10ECB00009B000000400002085B00000040000201E
+:10ECC00085B000000400002085B000000400002092
+:10ECD00085B000000400002085B000000400002082
+:10ECE00085B000000400002085B00000CB940046F1
+:10ECF00009B000000400002085B000002C910047FE
+:10ED000009B000002C91004809B000000400002068
+:10ED100085B000000400002085B000000400002041
+:10ED200085B000006F94004A09B000000400002084
+:10ED300085B000000400002085B000000400002021
+:10ED400085B000003691004D09B00000040000209D
+:10ED500085B000000400002085B000000400002001
+:10ED600085B000000400002085B0000004000020F1
+:10ED700085B000000400002085B0000004000020E1
+:10ED800085B000000400002085B0000004000020D1
+:10ED900085B000000400002085B0000004000020C1
+:10EDA00085B000000400002085B0000004000020B1
+:10EDB00085B000000400002085B0000004000020A1
+:10EDC00085B000000400002085B000000400002091
+:10EDD00085B000003691004D09B000002C9100472D
+:10EDE00009B000002C91004809B000000400002088
+:10EDF00085B000000400002085B000000400002061
+:10EE000085B000000400002085B000000400002050
+:10EE100085B0000007002E4B19900100108A0004F5
+:10EE2000E6B10000C08D2242197C0000C597003A6F
+:10EE300081300100C08D004081B20000C08D2242AF
+:10EE4000197C0000FF1F000F1E8C01003797004047
+:10EE500081320100D08D9C0F803200000000005CE8
+:10EE60001F8001000080001042C90100D08D2240A7
+:10EE7000E36D00000000004561B10100400000109A
+:10EE800062DD0100CD8DA840813200001B84008826
+:10EE90001CB000001986220280320000D18D424051
+:10EEA00081320000000000449393010000001A0228
+:10EEB000689701001986004005B0000005002E4B40
+:10EEC00019900100108A0004E6B100000000004023
+:10EED00087B00100000000408DB0010000800003F9
+:10EEE00042C90100400000A144C90100000000F037
+:10EEF000E0B101005599000607400100000000063E
+:10EF000007D00100D4002E5C1F9001000000000714
+:10EF1000F0B101000C80000342C90100000000F0C4
+:10EF2000F0B101000000004081B20100000000FECD
+:10EF300096B00100000000FE96C00100000000F045
+:10EF4000F0B101000000004081B20100000000FEAD
+:10EF500096C00100000000FE96C00100000000F015
+:10EF6000F0B101000000004081B20100000000FA91
+:10EF700096C00100000000FE96C001000030004B6A
+:10EF8000948801000000004695F001000000004A4E
+:10EF900096C001005E012E34978401000200004BF0
+:10EFA000E4E5010064012040E1B10100090000072F
+:10EFB00086E4010000002EA787C0010010000010A9
+:10EFC00048C9010010000040F199010058010043B8
+:10EFD000F0C9010058010005E0C90100000000442B
+:10EFE00061B10100A00000A462DD0100FA8DA8401B
+:10EFF000813200000000000548B101001A00004005
+:10F000009798010008002E4095B00100028E204B19
+:10F01000946C000000000040F1B10100FF8D004140
+:10F0200095C000001080001042C90100098E2240E6
+:10F03000E36D00000000004461B1010040000010D9
+:10F0400062DD0100058EA840813200001B8400882B
+:10F050001CB000000000000548B10100C597004049
+:10F0600081300100D58D004081B200000C8000038A
+:10F0700042C90100000000F886B00100000000F85D
+:10F0800088B001000E8E424081320000118EA24CE9
+:10F09000FD7F0000128E004CFD930000138E20F0C7
+:10F0A000566F0000000000F056B3010000001A4047
+:10F0B00081B201000080001044C9010064000040DA
+:10F0C000F199010070000005F0C901000000004343
+:10F0D000F0B101000000004761B101002000001004
+:10F0E00062DD0100198EA844E0310000100000101C
+:10F0F0008CC801000080004644C901004000004067
+:10F10000F199010068010005F0C9010064000043A5
+:10F11000F0C901000000004761B101000000004695
+:10F1200062B10100218EA844E03100001B840088F8
+:10F130001CB000000900000786E4010038002EA77B
+:10F1400087C001008B002D0548B10100298E2243A4
+:10F15000E77D00000000004445C101002C8E2244E0
+:10F16000E77D00000000004C45C101000000004A9E
+:10F1700019900100680120A2E4B10100880000405C
+:10F1800043990100308E230BE56D00000000004123
+:10F19000199001000080001044C901005000004097
+:10F1A000F199010058010043F0C901005801000520
+:10F1B000E0C901000000004461B10100000000103E
+:10F1C00062B10100358EA840813200001B840088A6
+:10F1D0001CB000005C002E0548B101000080000357
+:10F1E00042C90100000060F096B00100C5970041DF
+:10F1F00081300100D58D004081B20000408EA249CF
+:10F20000197C00008600004047990100448E0040B0
+:10F21000E5B1000086002F4919800100448EA2F25A
+:10F22000803200008B00004047990100000000423E
+:10F23000E7910100478EA246197C0000A000004023
+:10F24000479901004B8E0040E5B10000A0002F4619
+:10F25000198001004B8EA2F2803200008B0000402A
+:10F260004799010000000041E7910100A80000401B
+:10F270004399010034002DF024B00100000000FB90
+:10F280000CB00100000000FB10B00100000000FB0A
+:10F2900012B001000F0000F316880100040000F313
+:10F2A00014F40100768E2640813200005E8E220A20
+:10F2B000166C000058003D4313E00100000000F808
+:10F2C00082B00100040022F084300000FD9800406C
+:10F2D000813201001B8400881CB000000000000582
+:10F2E00048B101000000004113C001005D8EA04341
+:10F2F000136C00000000004013B00100538E004169
+:10F3000015D00000768E220A8032000058003D435E
+:10F3100013E00100000000F882B00100040022F0B8
+:10F3200084300000FD980040813201004000204000
+:10F33000E1B101001B8400881CB000000000000542
+:10F3400048B10100768E22411550000000000041B6
+:10F3500011C001006A8EA043116C00000000004043
+:10F3600011B0010058003D4311E00100000000F819
+:10F3700036B00100040022F0003000000000005010
+:10F3800083B0010004980047613101001B840088AC
+:10F390001CB00000749500054831010000000045D4
+:10F3A00061B101004000001062DD0100728EA840D2
+:10F3B000813200001B8400881CB00000668E0005AE
+:10F3C00048B1000037002040E7B1010036980051F5
+:10F3D00081300100D58D004081B2000034002E4103
+:10F3E000F5B1010000110040E59901007E8E004852
+:10F3F0001990000034002E41F5B1010000110040C9
+:10F40000E59901000080000342C90100000000F8F6
+:10F4100094B00100838E2245237C0000B0002FF0C1
+:10F420008CB00100000060F08CC001009000004032
+:10F430004399010035002DF08CB0010058003E4387
+:10F44000E7E10100888E2248197C0000000000419D
+:10F450008DC001000000680A8CC0010038002A4AF3
+:10F46000E0B1010028000000E0C901003C00201BC1
+:10F47000E0B101001080000342C90100000000F863
+:10F4800038B00100000000F826B00100040022F8A6
+:10F4900002300000968E2301146C0000000000F87A
+:10F4A00080B00100000000F882B001004C0020F0A4
+:10F4B000E4B1010044002040E0B1010048002041D7
+:10F4C000E0B10100A8002D1032B00100399900F020
+:10F4D000243001009F8EA244816C00009D8E224149
+:10F4E000197C0000A09600403B300100C38EA208AA
+:10F4F0003C3000009F8E004081B20000DD9500404E
+:10F5000081320100C38EA2083C3000005000201C54
+:10F51000E0B1010054002013E0B101004E002001D1
+:10F52000E4B101004000200AE0B101003698005F1C
+:10F5300081300100D58D004081B2000037000040CD
+:10F54000479901007F9600F3943001007E8E224A95
+:10F5500080320000AB8E004081B2000037000040D6
+:10F56000479901007F9600F39430010058003E4314
+:10F5700097E001000000001BF0B101001F006000D7
+:10F58000008C0100D58D85118032000004800003BD
+:10F5900042C90100B0002FF08CB00100000060F003
+:10F5A0008CC001003698005F81300100D58D00408D
+:10F5B00081B20000B58E004919800000BA8E224148
+:10F5C000197C0000A09600403B300100BE8EA208CE
+:10F5D0003C3000003698005F81300100D58D00403E
+:10F5E00081B20000DD95004081320100BE8EA2088C
+:10F5F0003C3000003698005F81300100D58D00401E
+:10F6000081B2000050002D1032B0010054002DF0E6
+:10F6100038B001004E002DF026B0010040002DF260
+:10F6200002B00100000000F014B001003000001032
+:10F630008CC801000080004644C9010068012D44C7
+:10F6400061B10100100068F280C8010000000008EC
+:10F65000F0B1010058010005E0C901000000000BF5
+:10F6600037B001000000004036D001005C012E40A0
+:10F6700010C001000000000680C001000000005220
+:10F6800081D00100D18E2094816C0000CB97009432
+:10F69000E5310100D28E004081B20000CB970040DE
+:10F6A000E43101002000004662DD0100D28EA84056
+:10F6B000233000000E00000F1E8C0100E28E8241FC
+:10F6C000234000002080001042C90100DC8E22404F
+:10F6D000E36D00000000004661B101004000001031
+:10F6E00062DD0100D98EA840813200001B840088B1
+:10F6F0001CB000000000001048B10100119600434A
+:10F70000233001000000000548B101000000001096
+:10F7100032B001000000004123B001000E00000FD4
+:10F720001E8C01000080001944C90100EA8E2241AC
+:10F73000197C0000E68EA3010C6C0000E78E000629
+:10F7400004B000000000000104B00100E98E2002B6
+:10F75000366C00000000001B04B00100ED8E0002BA
+:10F76000F0B10000EC8EA3010C6C0000ED8E680679
+:10F7700004B000000000680104B00100EF8E8008B2
+:10F78000F0310000000000111E9001000000001C7C
+:10F79000F0B101000000004661B10100011F001935
+:10F7A00062DD0100F18EA813E0310000288F2202F3
+:10F7B0001450000044002D020CD00100188FA2024A
+:10F7C00002500000FF8E225C1F7C0000208000039E
+:10F7D00042C90100FE8E2240E36D00000000004798
+:10F7E00061B101004000001062DD0100FA8EA84006
+:10F7F000813200001B8400881CB00000000000055E
+:10F8000048B1010044002D5C1F80010048002DF02C
+:10F8100038B001004C002DF026B0010038002FF266
+:10F8200002B00100198F2201146C00000C8F2246D7
+:10F830001F7C0000000000461F80010020002D03F7
+:10F8400048B101000B8F2240E36D0000000000442E
+:10F8500061B101004000001062DD0100088FA84086
+:10F86000813200001B8400881CB0000038002F0586
+:10F8700048B10100000000F894B0010038002DF0FC
+:10F8800096B001000000004CE1C10100200000031F
+:10F8900048C901000000224AF1B1010044000005FE
+:10F8A000F0C901000000004AF0B101000000004B67
+:10F8B000E0B101000000004761B10100A00000A418
+:10F8C00062DD0100158FA85C1F100000198F000574
+:10F8D00048B100000000000238C00100238F22065A
+:10F8E000803200000000005033C00100218FA202CE
+:10F8F000366C000004008F0D42310000100000F84B
+:10F9000010C801000000005C11800100F0070040F9
+:10F9100037980100D58E00A11AB000000000000247
+:10F9200010C00100D58E000236D000005000201C0F
+:10F93000E0B1010054002013E0B101004E002001AD
+:10F94000E4B101004000200AE0B101002D8F005F0A
+:10F9500001B0000037002D4601B00100040000F3A3
+:10F9600080F401002C8FA043816C00000000005542
+:10F9700001B0010040002040E1B101000080001909
+:10F9800042C90100338F2240E36D000000000046B1
+:10F9900061B101004000001962DD0100308FA84014
+:10F9A000813200001B8400881CB0000011960010FA
+:10F9B000483101003080001042C901003A8F2240D6
+:10F9C000E36D00000000004461B101004000001040
+:10F9D00062DD0100378FA840813200001B8400885F
+:10F9E0001CB0000060012F0548B101000000000BB1
+:10F9F000E4B101000000005017F001003F8F90F2C9
+:10FA0000164000000000004117C001000000662001
+:10FA100017A40100320000A62AC00100000000F275
+:10FA20002A940100488F22491F7C000000000049F1
+:10FA30001F8001000000004005B0010000F0000C34
+:10FA4000188C01000B98004C95300100588F000075
+:10FA500092B000004F8F2240AF6F000000C0001E28
+:10FA600094DC01000000001596B001008898004069
+:10FA7000053001004E8FA240976C0000618F004757
+:10FA800019800000588F000092B000004F8F43484B
+:10FA90006131000000D0001E62DD0100548F28405B
+:10FAA00005300000508F2248777D0000578F0040BE
+:10FAB00081B200000000001562B10100608F284093
+:10FAC00081320000548F004081B2000000001B0012
+:10FAD00092B001005D8F2241197C0000008000037C
+:10FAE00042C90100E29500F8003001005A8FA2419E
+:10FAF0003B500000618F004900B00000FF07001E6E
+:10FB0000008C0100E295004081320100618F0049C4
+:10FB100000B0000000001B4719800100648F225FC5
+:10FB2000016C00006399004081320100B08A00003E
+:10FB300080B000006B8F225C1F7C000020800003DF
+:10FB400042C901006B8F2240E36D000000000047B6
+:10FB500061B101004000001062DD0100688FA84023
+:10FB6000813200001B8400881CB000006B8F4005B0
+:10FB700048310000FFFF000794890100718F85CA9A
+:10FB8000943000006399185C1F0001000E00000F04
+:10FB90001E8C01007889004081B200003698180060
+:10FBA00080300100D58D0047198000000000004022
+:10FBB00019800100D58D2247197C0000DD95004099
+:10FBC00081320100788FA20880320000D58D00407C
+:10FBD00081B20000CB9700400D3001009C01004035
+:10FBE00045990100FFFF000B988801008B002D5004
+:10FBF00017F001007E8F904C16400000000000417D
+:10FC000017C00100808F2243E77D00000000004400
+:10FC100045C101000000662017A4010068010040F2
+:10FC2000439901005C012EF280B001003E000040CB
+:10FC300080CE0100878F2440813200000000004602
+:10FC400081C00100888F0094E5B10000020062408D
+:10FC50007ECD01000000005781C0010000002E1081
+:10FC600048B1010003000040F08D010000000008D1
+:10FC7000F0B1010058010005E0C901000000004496
+:10FC800061B101000000001062B101008E8FA84038
+:10FC9000813200001B8400881CB0000000000005B9
+:10FCA00048B101009A8F2240AF6F00000040000869
+:10FCB00094DC01008898004081320100988F224036
+:10FCC000976C0000E295000800300100D58D0040DF
+:10FCD00081B200000000004005B00100D58D004752
+:10FCE000198000009A8F43486131000000500008DD
+:10FCF00062DD0100A08F2840053000009B8F224864
+:10FD0000777D0000E2951B0800300100D58D004092
+:10FD100081B20000D58D1B471980000035000040DE
+:10FD200047990100010063F384C80100A58FA04337
+:10FD3000856C00000000634085B00100A800004011
+:10FD40004399010037002FF024B00100010063F354
+:10FD500082CC0100B08FA2419E060000D58D2244C6
+:10FD600083700000360000404399010058003D4375
+:10FD7000E7E10100D58D1FF0246C00006399004875
+:10FD800081300100B08A2341836C0000B08A0047B3
+:10FD900081B0000058003D4385E00100000000F8FC
+:10FDA00036B00100000000F000B001002800004063
+:10FDB0008398010004980047613101001B8400888A
+:10FDC0001CB0000000002D0348B1010008002DF018
+:10FDD00094B00100000000F88EB0010090002DF0FA
+:10FDE00014B001000000000548B10100848EA2405B
+:10FDF0008F7C0000BE8F22478F7C0000848E0048DD
+:10FE0000199000002D90004081B2000036002D5D59
+:10FE100005B4010037002DF380B00100000000F3AD
+:10FE20008EB001005C003D4381E00100A8002DF090
+:10FE300094B00100000000F024B001002000001088
+:10FE400086DC01004080000344C90100E394004ABD
+:10FE5000F031010036002F5C1F900100CC8FA250C2
+:10FE60008F50000034002040E1B10100D58D0040EA
+:10FE700081B200000000634181C00100CF8FA04328
+:10FE8000816C00000000634081B001003700204712
+:10FE9000E6B10100D58D2247803200000400004702
+:10FEA0000CF401000000004F8F840100E48F224712
+:10FEB0000C6C000058003D4381E00100E48F1FF00E
+:10FEC000246C00000000005C1F8001000080001016
+:10FED00042C90100DD8F2240E36D000000000045B3
+:10FEE00061B101004000001062DD0100DA8FA8401E
+:10FEF000813200001B8400881CB00000DD8F42406E
+:10FF000005300000000000449393010000001A5DDA
+:10FF100069930100E28F23410D6C0000BF8F000543
+:10FF200048B100006399000548310100B08A0048DB
+:10FF300081B00000D58D22408F6C00003698005FA4
+:10FF400081300100D58D004081B20000A200004048
+:10FF500043990100000000F384B00100A6002D4980
+:10FF600019900100020000F280F40100B8002D4059
+:10FF700081B20100000000F280C0010000000040DA
+:10FF800082F801001900004081980100F38FA04021
+:10FF9000826C00002C01004081980100F38FA34087
+:10FFA000826C00000000004180B00100F58F204C01
+:10FFB000856C00000000004185C0010086002040E3
+:10FFC000E4B10100A2002042E6B10100D58D00405D
+:10FFD00081B20000C597005081300100D58D0040EE
+:10FFE00081B200000480000342C90100040022F035
+:10FFF00080300000000000408DB0010055990040A5
+:020000021000EC
+:1000000087300100B0002F5C1F900100000060F0FD
+:1000100080C001003698005F81300100D58D00401E
+:1000200081B200000400004081B20000D58D22465C
+:10003000197C0000A000004047990100010062F215
+:1000400096CC0100D58DA640813200003698004A3A
+:10005000813001000B98004695300100D58D00409D
+:1000600081B20000D58D2249197C00008600004035
+:1000700047990100010062F280CC0100D58DA640B5
+:10008000813200003698004A813001000B98004709
+:1000900095300100D58D004081B20000749500407C
+:1000A00081320100D58D005C1F900000D58D00408D
+:1000B00081B20000D58D004081B20000BA0000403E
+:1000C00047990100010062F280C801001990904038
+:1000D00080320000FFFF624081980100A4000040D0
+:1000E00047990100D58D2240E56D0000D58D004176
+:1000F000E5C10000C597004D81300100D58D00405D
+:1001000081B200005C00004047990100040022F029
+:100110009630000000000040E1B1010000800003C3
+:1001200044C901000000004BE0B1010000000040A4
+:100130008DB0010055990040873001008B000040D0
+:1001400047990100299080F396300000000000409C
+:10015000E78101000000004719900100D58D005C87
+:100160001F9000003400004045990100010000404C
+:10017000F599010000110040E5990100DD9500406E
+:10018000813201003E90A20880320000370000401A
+:1001900047990100000000F382B0010000006351A4
+:1001A00083D001003400004047990100010063F34F
+:1001B00084CC010036909F428032000000006342F0
+:1001C00085B001000000004503F0010000000001BF
+:1001D00000C001003890375C613100000000001B56
+:1001E00062B101003990A84B191000000000000016
+:1001F00062B101003B90A84081320000058A17409F
+:1002000081B200000080000342C9010090002DF07F
+:1002100094B00100AC002DF030B0010035002DF09D
+:1002200028B0010058003E43E7E10100010000183A
+:10023000F0C901000000004AE0B1010038002000D0
+:10024000E0B101003C00201BE0B101004000204073
+:10025000E1B10100000000402BB001001A980040FD
+:100260000D3001000000001816C001004D90A014D0
+:10027000164400000000004117C001000E0000A25B
+:1002800044C9010000000018F8B10100B0002D14AD
+:10029000F8B1010010500040879801005690224AA2
+:1002A000197C00000030004386C801000030000BBC
+:1002B00016C801005690A4408132000000000041A1
+:1002C00017C0010001006E4386980100519800306C
+:1002D000813001005A90A041174000000000004109
+:1002E00017C001006190224A197C0000080000A29A
+:1002F00044C90100CC002DABF9B10100000000ABF6
+:1003000017C001006090A0F01644000000000041FA
+:1003100017C00100000064F082B0010090000040AE
+:10032000459901000000604131C00100BC0000405F
+:10033000439901006790060C80320000A00020F273
+:10034000E4B1010004000946191000009C010040BE
+:1003500045990100FFFF000B988801008B002D508C
+:1003600017F001006C90904C164000000000004116
+:1003700017C001006E902243E77D0000000000449A
+:1003800045C101000000662017A40100680100407B
+:10039000439901005C012EF280B001003E00004054
+:1003A00080CE01007590244081320000000000469C
+:1003B00081C0010076900094E5B100000200624027
+:1003C0007ECD01000000005781C0010000002E100A
+:1003D00048B1010003000040F08D0100000000085A
+:1003E000F0B1010058010005E0C90100000000441F
+:1003F00061B101000000001062B101007C90A840D2
+:10040000813200001B8400881CB000000000000541
+:1004100048B1010086902240AF6F00000040000804
+:1004200094DC010088980040813201008190A24054
+:10043000976C000035000040479901008A90004009
+:1004400005B000008690434861310000005000086C
+:1004500062DD01008790A8400530000035001B4098
+:1004600047990100010063F384C801008D90A04307
+:10047000856C00000000634085B00100370000403B
+:1004800047990100010063F382CC01008B0000401A
+:100490004799010000000045E79101003698005F90
+:1004A00081300100D58D004081B20000370000404E
+:1004B000479901007F9600F3943001002D90224A65
+:1004C00080320000AB8E004081B200003700004057
+:1004D000479901007F9600F3943001007B8E224AF9
+:1004E00080320000AB8E004081B200003600004038
+:1004F00043990100000000FB12B001000F0000F35F
+:1005000090880100040000F30CF40100A58E22067F
+:10051000906C00005C003D4313E00100A8002DF04A
+:1005200094B0010037002FF024B0010036002A50AB
+:10053000E7D101000000634113C00100A790A04370
+:10054000136C000000000040E7B10100E1940010CE
+:10055000863001001B8400881CB00000A990420571
+:10056000483100000000004493930100A58E1A5DFD
+:100570006993000036002D1086B001005C003D43F9
+:10058000E7E10100A8002DF094B0010035002FF044
+:1005900024B0010001006BFB84C80100B490A043AB
+:1005A000856C000035002040E7B1010000000040EC
+:1005B00081B20100010063F312C80100B790A043AB
+:1005C000136C000000000040E7B101004080000310
+:1005D00044C90100E394004AF03101001B84008803
+:1005E0001CB00000BA9042054831000000000044F1
+:1005F0009393010000001A5D6993010037000040E9
+:1006000047990100110063F382CC0100A98F2241B8
+:100610009E060000350000404399010058003D430C
+:10062000E7E10100000000F836B00100B38F00F0F0
+:1006300000B000005E012D0548B10100C59047F2F1
+:100640001230000000993F4213F00100CA90224787
+:10065000E77D00006B841F881CB00000C490004040
+:1006600081B2000000000047E791010000001F4236
+:10067000199001007500004061990100CC90A8B16B
+:100680000C3000005C970010943001001B8400883F
+:100690001CB000005E012E0548B10100C0A83D4617
+:1006A0000DE001000000004097B00100D69022400C
+:1006B000E16D00000400024197400000D39000501B
+:1006C00043C10000E290224B803200000000624BE8
+:1006D000129401000900000796E40100000000A741
+:1006E00097C001003000001094C801000080004A4B
+:1006F0004499010000000042F1B101005E01004B8D
+:10070000F0C901005E010005E0C9010000000044DD
+:1007100061B101002000004A62DD0100E090A840C4
+:10072000813200000080001044C901000000005028
+:10073000F1B101000400000996E40100000068A87E
+:1007400097C00100D4000005E0C90100000000448A
+:1007500061B101000000001062B10100E890A84002
+:10076000813200001B8400881CB0000000993F42C9
+:1007700013F00100EC904740813200003F0000F38D
+:100780009688010000000040E7B1010000001F55FD
+:1007900061B101000000000662B10100F090A840C4
+:1007A00081320000F590224B803200000000004BA7
+:1007B00062B10100F390A840813200000000009770
+:1007C00013B001000000009697B00100FB902009D3
+:1007D000966C0000FB901F09962400006B84008833
+:1007E0001CB00000F690004081B20000C597005791
+:1007F00081300100C08D000548B100002E0000408E
+:1008000043990100019122F380320000C597004214
+:1008100081300100058A004081B200003698005204
+:1008200081300100C08D004219800000C597003A58
+:10083000813001003698005281300100C08D0040A7
+:1008400081B200000000004005B00100DF960040CA
+:1008500095300100C08D2240956C00000C91A240A3
+:100860001F7C0000E295004081320100058A0040B3
+:1008700081B200000480000342C90100000000F2C0
+:1008800002B001008A960052953001009196004B0B
+:1008900002B00000058A004081B200000A990040C1
+:1008A000953001001891A208803200001891A2161C
+:1008B00080320000058A2242197C00000000004BB3
+:1008C00019900100C597003A81300100058A004067
+:1008D00081B20000002300A616B001001B91831E08
+:1008E000803200000008000B16DC01000000000050
+:1008F0002AC001000E980008803001001F91005EA0
+:10090000179000002F98004361310100EF940040E0
+:100910008D300100169800071614010000800010A9
+:1009200042C9010027912240E36D0000000000430E
+:1009300061B101004000001062DD01002491A84077
+:10094000813200001B8400881CB00000B797005E55
+:1009500005100100E2950040813201002B9122092F
+:10096000803000003698004013300100C58D00052E
+:1009700048B100000F97004081320100C08D004057
+:1009800081B200000000004A1F9001003291224312
+:100990003D7C00000000004419900100000000436D
+:1009A0003D800100339100421990000014002D4554
+:1009B0001F9001008F91831E803200008F910044B0
+:1009C00019900000D4950040813201004791A2089F
+:1009D000803200004791A216803200004391A2426B
+:1009E000197C00000082000204DC0100A098004095
+:1009F00047990100E9890041893001004091A241F5
+:100A0000197C0000E295004081320100058A004017
+:100A100081B200008A960015943001009196004B37
+:100A200002B00000058A004081B200000F9700402C
+:100A3000813201000000004B19900100C597003A77
+:100A400081300100058A004081B200004A912242B3
+:100A5000197C00000F970040813201004B9100404B
+:100A600081B20000DF96004081320100779122417F
+:100A7000197C0000C000001598C801007791A00BF8
+:100A8000996C00003000001080C801000080004018
+:100A90004499010000000050F1B101000000000382
+:100AA000F0B101000000004261B10100000000400F
+:100AB00062B101005391A800E03100001B8400885E
+:100AC0001CB000000000000548B10100C000001586
+:100AD00098C8010030002E0B99D0010000006A5028
+:100AE00099C00100C000620180CC01000C800003AD
+:100AF00042C901002D002DF022B001000000004C81
+:100B000080C001000000005C23800100D4003F4150
+:100B1000E7E101000B000011E4F501002F00204780
+:100B2000E7B501006491230B816C00000000004FC9
+:100B3000E59101000000000880B001000000000BFA
+:100B400003B001000000001502D001000E98000063
+:100B50002A4001000000004361B101004000001084
+:100B600062DD01006991A840813200001B84008889
+:100B70001CB00000E295000548310100C0000001F2
+:100B800080CE010075912611003000001000000099
+:100B90002AC801000000000880B001000000000128
+:100BA00080C00100C00000409998010000000001D1
+:100BB00098D001000E98004C02300100C0000040A7
+:100BC000039801007C91004081B2000030002F08A2
+:100BD00080B00100C0000015F4C90100C000000190
+:100BE000E4CD0100C0000040039801000E98000011
+:100BF0002A400100819122441F7C0000AC002F405C
+:100C000013B0010000000001E0C10100B00000408D
+:100C10004799010082910001E0D10000EF9400406B
+:100C20008D300100806300A616B001001698000701
+:100C3000161401000080001042C901008A91224070
+:100C4000E36D00000000004361B1010040000010AE
+:100C500062DD01008791A840813200001B8400887A
+:100C60001CB00000B797005E051001008D912209AD
+:100C7000803000003698004081320100C08D0005B0
+:100C800048B100008F91004A1F9000000000000052
+:100C900010B0010024002D1510C0010028002DF017
+:100CA00016B0010022002DF026B0010014002FF232
+:100CB0000CB0010000000001E0D1010000000010B4
+:100CC00032B001000000000B1BB0010004001F1532
+:100CD0001A5000000000004023B001000000000195
+:100CE0002AB001007197004035B000002F0020406D
+:100CF000E7B10100D391A2451F7C00002400200B26
+:100D0000E0B1010028002013E0B10100220020061C
+:100D1000E4B10100A991225C1F7C00000000005C8E
+:100D20001F8001003080001042C90100A9912240BB
+:100D3000E36D00000000004761B1010040000010B9
+:100D400062DD0100A591A840813200001B8400886B
+:100D50001CB000000000000548B10100008000192F
+:100D600042C90100CC912240E36D0000BA912242B9
+:100D7000197C0000379700408132010089950040BE
+:100D800081320100C791224B8032000000000043F5
+:100D900061B101004000001062DD0100B091A84087
+:100DA000813200001B8400881CB00000B6912241F3
+:100DB000197C0000F895004011300100B791000542
+:100DC00048B10000E295004081320100B99122094A
+:100DD0008030000036980040813201006F8400406E
+:100DE00005B0000037970040813201008595004032
+:100DF000813201000000004361B101004000001099
+:100E000062DD0100BD91A840813200001B84008892
+:100E10001CB00000C3912241197C0000F8950040ED
+:100E200011300100C491000548B10000E295004076
+:100E300081320100C69122098030000036980040BE
+:100E4000813201006F84004005B0000000000043C3
+:100E500061B101004000001062DD0100C891A840AE
+:100E6000813200001B8400881CB0000000000005D7
+:100E700048B10100CF912241197C0000F895004053
+:100E800011300100D091000548B10000E29500400A
+:100E900081320100D2912209803000003698004052
+:100EA00013300100C58D004005B00000008000191E
+:100EB00042C90100DA912240E36D000000000043C6
+:100EC00061B101004000001062DD0100D691A84030
+:100ED000813200001B8400881CB000000000000567
+:100EE00048B101000000004005B00100DE91224140
+:100EF000197C0000F895004011300100DF910005D9
+:100F000048B10000E29500408132010008002D0A3E
+:100F100084B00100000000F082B001001400204005
+:100F2000E1B10100E491031E80320000E59100412F
+:100F300087B0000021000040879801000097004022
+:100F4000813201000000005C1F900100E99122093C
+:100F5000803000003698004013300100EC912244AC
+:100F6000197C00003698004F8130010000000044D9
+:100F700019800100C08DA24A1F7C0000C58D004071
+:100F800081B20000BA002040E5B10100F2919C1747
+:100F900080320000CC0000404399010013990040CA
+:100FA00081320100A398004013300100C0000040CE
+:100FB00043990100C4002DF082B00100EE9800F0CA
+:100FC00084300100E295004081320100C58D220984
+:100FD000803000003698004013300100C58D00407D
+:100FE00081B200002E00004043990100FE91224092
+:100FF000E76D000032000040439901000692A240D4
+:10100000E56D0000CC960040813201002400200BE9
+:10101000E0B1010028002013E0B101002200200609
+:10102000E4B101001400200AE0B10100C58D2209DD
+:10103000803000003698004013300100C58D00401C
+:1010400081B20000CC9600408132010085960040BC
+:101050008132010014922241197C00000000000B33
+:1010600099B0010004001F1598500000149220014F
+:10107000986C00007000000348C9010000002E4673
+:101080001F90010000000050F1B1010000000003BA
+:10109000F0B101000000004261B10100A00000A415
+:1010A00062DD01001192A800E0310000000000059F
+:1010B00048B10100AC002F0010B001000000000199
+:1010C000E0C1010014002F1510C001000000000A4B
+:1010D00080B001000000600180D0010000000047E6
+:1010E000199001009691220980320000369800097B
+:1010F000803001009691004013B000000080000392
+:1011000042C90100000000F082B00100130000405D
+:10111000879801000000004C43C10100009700F0D7
+:1011200084300100C08D005C1F9000002C00204026
+:10113000E7B101002D002040E7B10100C08D004261
+:1011400019800000F2960040813201000B9800489F
+:10115000953001000000004561B101004000001021
+:1011600062DD01002992A840133000001B84008832
+:101170001CB000002F92000548B100002E920040E4
+:1011800013B000000000000012B001000800004091
+:101190004399010014002DF082B00100040022F0F8
+:1011A0008430000013000040879801000097004041
+:1011B000813201000000005C1F900100479200098D
+:1011C00000B00000C08D8742191000008B002F472F
+:1011D00019800100C08D0040E79100002F00004001
+:1011E0004799010045922247E77D0000669500403F
+:1011F000E731010045922200803200004092A24077
+:101200001F7C0000E29500408132010045920040C1
+:1012100081B20000300000404399010032002DF2FD
+:1012200094B001008A9600F2023001009196004BC2
+:1012300002B000000000000548B1010046920040E5
+:1012400001B000000000004005B001004C922200F7
+:10125000803200004B92A242197C0000DF960040D1
+:10126000813201004C92004081B200000F97004093
+:1012700081320100D892225C1F7C00000000005CDB
+:101280001F8001000080001042C9010054922240DA
+:10129000E36D00000000004561B101004000001056
+:1012A00062DD01005192A840813200001B84008859
+:1012B0001CB00000D892000548B10000D495004051
+:1012C000813201005B92A208803200005B92A2167C
+:1012D00080320000C597004D81300100008200027D
+:1012E00004DC0100058A004081B200007400004067
+:1012F00043990100000000F882B00100000000F0F6
+:1013000084B001000000004196B0010069922242C1
+:10131000961400000080001044C901006400684079
+:101320009798010000000041F0B101000000004268
+:10133000F0B1010070000005E0C9010000000045A7
+:1013400061B101002000001062DD01006692A8403A
+:10135000813200000000005C1F9001000000004589
+:1013600061B101004000001062DD01006A92A85CDA
+:101370001F0000001B8400881CB000005E012D05CA
+:1013800048B101006E9247F21230000000993F42CE
+:1013900013F0010073922247E77D00006B841F88E1
+:1013A0001CB000006D92004081B2000000000047B8
+:1013B000E791010004001F0996E40100008000107D
+:1013C00044C9010000000044F1B10100000068A818
+:1013D00097C0010000000003E0B10100008000039D
+:1013E000449901000000004461B1010000000010B8
+:1013F00062B101007B92A840E13100001B840088AB
+:101400001CB0000000993F4213F001007F92470595
+:10141000483100003F0000F39688010000000040C2
+:10142000E7B1010000001F4081B201008792224B0A
+:10143000803200000000005561B101000000004B47
+:1014400062B101008592A8408132000000000007CF
+:1014500016B001000062000B16DC0100669500402A
+:10146000813201009F922200803200001597005FB8
+:101470000110010089922240956C0000008000104C
+:1014800044C9010000000050F1B101000000000358
+:10149000F0B101000000004261B101000000001045
+:1014A00062B101009192A800E03100001B84008825
+:1014B0001CB000000000000548B1010004800003DA
+:1014C00042C90100000000F202B001008A960052F9
+:1014D00095300100E295004081320100899222415D
+:1014E000975000000C80000342C90100000000F08A
+:1014F00000B001000000005C018001009196004BEB
+:1015000002B000008992000548B100001698004022
+:10151000033001001780000344C9010000F0000CF3
+:10152000968801000000634C97F0010010800003D2
+:1015300044C90100000000ABE1B10100B797005EB3
+:1015400005100100030000071AF40100070000075E
+:101550001688010000B5000D46C90100A99230406F
+:10156000813200000000000BE681010000B7000D91
+:1015700046C901000000000BE68101001000100FB9
+:1015800094F40100E999005F950401006B96004016
+:1015900081320100B3922250FD7F0000B19243409E
+:1015A0008132000000001B4131D3010000002E05F4
+:1015B00048B1010000000040E1B10100000000401E
+:1015C0000FB00100CD95004181300100058A004037
+:1015D00081B20000D495004081320100C592A2087A
+:1015E00080320000C592A216803200000082000204
+:1015F00004DC01000000004503F0010000000001D0
+:1016000000C00100BE92375C613100000000001B89
+:1016100062B10100C292284081320000BF920040B6
+:1016200081B200000000000062B10100C292A84037
+:1016300081320000058A174081B200007400224008
+:10164000F1B1010000000040E1B101000B98004A37
+:1016500095300100F296005C1F1001005B92004083
+:1016600081B200002F00004047990100D692224726
+:10167000E77D000066950040E7310100D692220028
+:1016800080320000D192A2401F7C0000E295004011
+:1016900081320100D692004081B20000300000404B
+:1016A0004399010032002DF294B001008A9600F2B5
+:1016B000023001009196004B02B0000000000005CE
+:1016C00048B101000B98004895300100F296005C8B
+:1016D0001F100100DB928742191000008B002F477A
+:1016E0001980010000000040E79101003698004297
+:1016F00081300100C08D004081B20000F2960040B0
+:1017000081320100C08D005C1F900000BA002040B3
+:10171000E5B10100A398004081320100C000004003
+:1017200043990100C4002DF082B00100EE9800F052
+:1017300084300100E2950040813201003698004576
+:1017400081300100C08D2242197C0000C597003A0B
+:1017500081300100C08D004081B2000004000040D3
+:1017600081B20000D495004081320100F092A208BD
+:1017700080320000F092A21680320000C597004728
+:10178000803001000082000204DC0100058A004074
+:1017900081B200001080000344C9010000E100A6EE
+:1017A00084B0010000000040F1B1010000000040E1
+:1017B000F1B101000000600784940100B797005E5A
+:1017C00005100100C08D004081B200008A00004079
+:1017D00047990100E2950041E7410100C58D0040B5
+:1017E00081B20000CC960040813201008596004015
+:1017F00081320100000000012CB001000000001542
+:1018000010B001000000000010C0010004001F0A19
+:101810002C5000000000001032B001000700000B47
+:10182000968801000C932647972400000000004191
+:1018300097C001000C93234B0C6C00004998004B9F
+:10184000043001000000005033C00100000000021D
+:1018500010C001000000000216C0010000000006D8
+:1018600004B001004998004B045001000D93004062
+:1018700081B2000049980006043001001393A24889
+:101880001F7C0000119384481F100000AC00004032
+:10189000479901001393000AE0C100000000000A0C
+:1018A00002B00100EF9400018C3001000000004301
+:1018B00061B101004000001062DD01001493A840F6
+:1018C000813200001B8400881CB00000000000056D
+:1018D00048B101000000000210C00100219322065F
+:1018E000145000003A9700451F0001000093225C4D
+:1018F0001F7C00000000004761B1010040000010A3
+:1019000062DD01001D93A85C1F0000001B8400889D
+:101910001CB000000093000548B100000000000B5F
+:101920001BB0010008002D4085B00100000000F050
+:1019300082B001000000004005B0010000970041A6
+:10194000873001000000004561B101004000001037
+:1019500062DD01002793A840813200001B840088CB
+:101960001CB000000000000548B101002D932209C1
+:10197000803000003698004013300100319322443B
+:10198000197C00003698004F813001003193A24746
+:101990001F7C00000000004419800100FF070008C0
+:1019A000008C01003F93224A1F7C00003793A2164F
+:1019B00002300000E2950040813201002F002040FB
+:1019C000E7B10100C08D004081B200002D002D085C
+:1019D0002AB001003B932242197C00000F9700407F
+:1019E000813201003C93004081B20000DF9600404C
+:1019F0008132010030002E002AD0010032002A1569
+:101A0000E4B10100C08D0016E4B10000529322162B
+:101A100002300000000000082AB001000A990040CE
+:101A2000953001004493A240116C00005393224072
+:101A30002D6C0000AC00004047990100B0002B0164
+:101A4000E0C10100002B00A616B00100000000015B
+:101A5000E0D101000E980008803001004B93005E39
+:101A6000179000002F9800436131010000000043EF
+:101A700061B101004000001062DD01004C93A840FC
+:101A8000813200001B8400881CB0000000000005AB
+:101A900048B101001698000716140100B797005EC0
+:101AA00005100100E2950040813201002F00204026
+:101AB000E7B10100C58D004081B200000000000BBD
+:101AC0001BB0010004001F151A500000609320167F
+:101AD0001A6C00007000000348C901000000225089
+:101AE000F1B1010000000003F0B1010000000000AE
+:101AF000E0B101000000004261B10100A00000A4BB
+:101B000062DD01005D93A8461F1000000000000583
+:101B100048B101000000000010B0010000000015F5
+:101B200010C001000000000A2AB001000000000AF5
+:101B30002CD00100AC002F4023B0010067938445F6
+:101B40001F1000006893000AE0C100000000000AB6
+:101B500002B001007197004035B00000008000190C
+:101B600042C9010070932240E36D00000000004371
+:101B700061B101004000001062DD01006C93A840DB
+:101B8000813200001B8400881CB0000000000005AA
+:101B900048B101008093A2021A50000081932240B4
+:101BA0002D6C00000080001044C9010000000050AE
+:101BB000F1B1010000000003F0B10100FF070008CF
+:101BC000E08D01000000004261B101000000001042
+:101BD00062B101007793A840813200001B84008825
+:101BE0001CB000000000000548B101002F00204794
+:101BF000E7B501000C80000342C90100100000F0AD
+:101C000010C80100F00700401B9801008193005CA0
+:101C1000118000000000000210C00100F895004093
+:101C20001F0001000000000548B101008593230D4D
+:101C30002C6C0000000000401F9001008E93224693
+:101C40001F7C0000000000461F8001007080000320
+:101C500042C901008E932240E36D00000000004263
+:101C600061B101004000001062DD01008A93A840CC
+:101C7000813200001B8400881CB0000000000005B9
+:101C800048B1010008002D4085B00100000000F0BF
+:101C900082B001000000004005B001000097004143
+:101CA000873001000000004561B1010040000010D4
+:101CB00062DD01009393A840813200001B840088FC
+:101CC0001CB000000000000548B1010099932209F2
+:101CD0008030000036980040133001009D9322446C
+:101CE000197C00003698004F813001009D93A24777
+:101CF0001F7C00000000004419800100FF0700085D
+:101D0000008C0100B293224A1F7C0000A393A2160C
+:101D100002300000E2950040813201002F00204097
+:101D2000E7B10100C08D004081B200002D002D08F8
+:101D30002AB00100AE932242197C0000A793A2F3BF
+:101D400084300000000000A585B0010000000041C3
+:101D500085D00100D4003E4185E00100AB932240D4
+:101D60001F7C00000000005A119001000B000008C9
+:101D7000E4F501000F97004081320100AF9300406D
+:101D800081B20000DF9600408132010030002E0059
+:101D90002AD0010032002A15E4B10100C08D0016DE
+:101DA000E4B10000B593A21602300000E2950040B5
+:101DB000813201000494004081B200002D002D0802
+:101DC0002AB00100C39322471F7C0000BF93224228
+:101DD000197C0000BA93A2F384300000000000A533
+:101DE00085B001000000004185D00100D4003E41D3
+:101DF00085E00100BE9322401F7C00000000005AD5
+:101E0000119001000B000008E4F5010058012D00BD
+:101E10002AD0010060012DF010B00100000000F098
+:101E20002CB001004791004081B200000A990041A6
+:101E300095300100CB93A20880320000CB93A2160C
+:101E4000803200000000004197B00100C993230DCB
+:101E5000026C00000000004197C001009196004B09
+:101E600002B000000494000548B10000AC002F014E
+:101E700014B00100B0002B01E0C10100002B00A64E
+:101E800016B0010000000001E0D10100DB93230D3A
+:101E9000026C00000080001044C9010000000050E6
+:101EA000F1B1010000000003F0B1010000000042A8
+:101EB00061B101000000001062B10100D493A800DC
+:101EC000E03100001B8400881CB000000000000509
+:101ED00048B101000C80000342C90100100000F06D
+:101EE00022C801000000005C238001000000000106
+:101EF00084B00100DE93230D026C00000000000D91
+:101F000002B001000000000880B00100E39322400D
+:101F10001B6C00000E98000184500100EB932240DE
+:101F2000856C00000000000180C0010010800010DE
+:101F300046C901000000004F43810100000000423B
+:101F4000F0B1010020000040F0C9010000000016BF
+:101F5000F0B101000000004361B10100A00000A148
+:101F600062DD0100E993A811E0310000FA93005E00
+:101F700017900000EE93230D026C00000000000D8E
+:101F800002B001000000000184D00100F393224060
+:101F90001B6C00002F98004361310100FA9322402E
+:101FA000856C00000000000112C0010010800010CC
+:101FB00046C901000000004F4381010000000042BB
+:101FC000F0B1010000000009F0B1010000000018AC
+:101FD000F0B10100A00000A162DD0100F893A8119A
+:101FE000E03100000000004361B10100400000103A
+:101FF00062DD0100FB93A80A023000001B84008808
+:102000001CB00000E2950005483101000294230D48
+:10201000026C0000FF070011008C0100E2950040F7
+:10202000813201001698000716140100B797005E70
+:10203000051001002F002040E7B10100C58D0040D0
+:1020400081B200000080000342C90100000000F8D6
+:1020500082B00100000000F88CB00100000000F028
+:102060008EB00100C996004013300100000000400E
+:1020700085B001000097004187300100859600403F
+:10208000813201000080001042C9010015942240F5
+:10209000E36D00000000004561B101004000001048
+:1020A00062DD01001194A840813200001B84008889
+:1020B0001CB000000000000548B10100179422097F
+:1020C0008030000036980040133001000000000B03
+:1020D0001BB00100000000151AD001001E94A2419F
+:1020E000197C00000A99004095300100000000169C
+:1020F00080B201002794270880320000449300003A
+:102100002AC000000A990041953001000000001625
+:1021100080B201002294270880320000CB93000097
+:102120002AC000000000004197B001002594230D53
+:10213000026C00000000004197C001009196004B26
+:1021400002B000000000000548B10100C08D22422D
+:10215000197C0000C597003A81300100C08D004015
+:1021600081B200002B94004A1F9000000A960000E4
+:10217000103001000000001510C001000000001028
+:1021800032B001000700000B968801003994264701
+:10219000972400000000004197C001003994234BB0
+:1021A0000C6C00004998004B043001000000005006
+:1021B00033C001000000000210C001000000000256
+:1021C00016C001000000000604B001004998004B51
+:1021D000045001003A94004081B200004998000682
+:1021E000043001003F94A2441F7C00000000000B5B
+:1021F0001BB001000000000A2CD001000000000A02
+:1022000002B00100EF9400018C3001000080001941
+:1022100042C9010046942240E36D000000000043E3
+:1022200061B101004000001062DD01004294A8404D
+:10223000813200001B8400881CB0000000000005F3
+:1022400048B101000000000210C001004F942206B6
+:10225000145000003A9700451F0001002D94225CA5
+:102260001F7C00000000004761B101004000001029
+:1022700062DD01004B94A85C1F0000001B840088F5
+:102280001CB000002D94000548B1000008002D404E
+:1022900085B00100000000F082B0010000000040A5
+:1022A00005B00100009700418730010000000045A3
+:1022B00061B101004000001062DD01005494A840AB
+:1022C000813200001B8400881CB000000000000563
+:1022D00048B101005A94220980300000369800402D
+:1022E000133001005D942244197C00003698004FA1
+:1022F000813001000000004419800100FF07000840
+:10230000008C01006B94224A1F7C00006394A2168B
+:1023100002300000E2950040813201002F00204091
+:10232000E7B10100C08D004081B200002D002D08F2
+:102330002AB0010067942242197C00000F970040E8
+:10234000813201006894004081B20000DF960040B5
+:102350008132010030002E002AD0010032002A15FF
+:10236000E4B10100C08D0016E4B100004093A21654
+:1023700002300000E2950040813201002F00204031
+:10238000E7B10100C58D004081B200000A96004A05
+:102390001F1001005593001032B000008A00204049
+:1023A000E7B101007594A241197C0000E29500405C
+:1023B000813201007894004081B200008A960015B5
+:1023C000943001009196004B02B00000000000051F
+:1023D00048B101007A942242197C0000C597003A66
+:1023E000813001003698004581300100C08D0040E9
+:1023F00081B20000069200451F900000CC9600407C
+:102400008132010085960040813201005593000120
+:102410002CB00000D4950040813201008D94A208B8
+:10242000803200008D94A2168032000000820002EB
+:1024300004DC01000000004503F001000000000181
+:1024400000C001008694375C613100000000001B71
+:1024500062B101008A9428408132000087940040D4
+:1024600081B200000000000062B101008A94A8401F
+:1024700081320000058A174081B20000580120080F
+:10248000E0B1010060012016E0B10100CC960047E8
+:102490001F10010085960040813201005593000114
+:1024A0002CB00000D49500471F100100A094A20892
+:1024B00080320000A094A216803200009C94A242B8
+:1024C000197C00000082000204DC0100A09800409A
+:1024D00047990100E9890041893001008A96001579
+:1024E000943001009196004B02B00000058A004034
+:1024F00081B200000F970040813201000000004BC4
+:1025000019900100C597003A81300100058A00400A
+:1025100081B2000058012008E0B1010060012016DE
+:10252000E0B101000A9600103230010055930040DE
+:1025300013B00000D495004081320100B194A2088C
+:1025400080320000B194A2168032000000820002A6
+:1025500004DC01000000004503F001000000000160
+:1025600000C00100AA94375C613100000000001B2C
+:1025700062B10100AE94284081320000AB9400406B
+:1025800081B200000000000062B10100AE94A840DA
+:1025900081320000058A174081B2000000800003EC
+:1025A00042C90100000000F882B00100000000F8FC
+:1025B0008CB00100000000F08EB00100C996004010
+:1025C000133001000000004085B001000097004179
+:1025D00087300100859600408132010000800010A4
+:1025E00042C90100C0942240E36D00000000004594
+:1025F00061B101004000001062DD0100BC94A84000
+:10260000813200001B8400881CB00000000000051F
+:1026100048B10100479122098030000036980040FF
+:10262000133001004791004081B2000014002D4595
+:102630001F9001008F91004419900000C894A2419E
+:10264000197C00000000004A1F900100FA9200402F
+:1026500081B20000CC96004A1F1001008596004010
+:1026600081320100559300012CB000000A96004011
+:10267000813201005593001032B0000006920045EF
+:102680001F9000000000004137C30100000000411E
+:1026900033C301003600000102CC01000000D2402B
+:1026A00081B20000D49485178032000000009F485A
+:1026B00003D00000D6949C178032000000009F4C8D
+:1026C00003D000000000800134C3010002002D117E
+:1026D00010C10000DB94004043C10000DB940050B7
+:1026E00043C10000200000A142C90100DF94224044
+:1026F000E56D00000400A240E57D00000000004000
+:1027000023B00100000080491F9001000000A24199
+:1027100023D00000DB94005043D100004080000330
+:1027200044C901000000004AF0B10100000000406F
+:10273000F1B1010000000012F0B10100E695004186
+:10274000E13101000080004344C901001000004055
+:10275000F199010000000048F0B1010000000049BB
+:10276000F0B1010040000003E0C901000000004595
+:1027700061B101000000004362B101000000A84007
+:1027800081B20000EC94004081B20000BA00204009
+:10279000E5B10100B0002F018CD00100000000461F
+:1027A000E0C10100AC002F4013B00100CC002D01AE
+:1027B000E0C10100F6949C1780320000139900409C
+:1027C00081320100F8942247197C00000000005F6C
+:1027D00013900100A398004719100100C0002D4478
+:1027E0001F900100C4002DF082B00100EE9800F0AF
+:1027F00084B0000090002D0548B101000D95A24B5A
+:102800001F7C00006095A24C1F7C00000D951F1CD2
+:10281000E06D00001095A20180320000A8002D4656
+:102820008FB0010006951F1CE06D0000B400004051
+:1028300043990100089522F03A6C00005D951FF065
+:102840003A6C00000000A24080B200000000804FFF
+:102850008FB001008A000040439901005E9520423C
+:10286000E76D00000C952240803200000000805986
+:102870008FB00100000080588FB001000F952240FA
+:10288000803200000000805C8FB001000000805B9F
+:102890008FB00100AC00004043990100B0002DF062
+:1028A00084B001001495A242246C00001D9523F011
+:1028B000026C00001A95A2F0803200005F95A242DF
+:1028C000246C00005F95A241036C00001995A240A2
+:1028D00080320000000080518FB001000000805263
+:1028E0008FB001005F951F12845000005F95A0011A
+:1028F000846C00000D95004081B200008B00004008
+:10290000439901004895A246E77D0000140000406D
+:10291000439901003A9522F0143000002695200AD0
+:10292000026C00003795031E803200002595A240FE
+:1029300080320000000080448FB001000000804918
+:102940008FB001002B95220A026C00002E95A24147
+:10295000197C00002A95A2408032000000008055BA
+:102960008FB00100000080568FB001002D95A2406D
+:1029700080320000000080438FB0010000008048DA
+:102980008FB001000000000182B001000000000AC9
+:1029900082D0010034952091836C00003395A240D1
+:1029A00080320000260080408F9801002700804080
+:1029B0008F9801003695A240803200001F008040B1
+:1029C0008F980100200080408F9801003995A24027
+:1029D00080320000220080408F9801002300804058
+:1029E0008F98010088002D448FB001004395A241CB
+:1029F000197C00004095A2433D7C00004095A2F266
+:102A0000026C00000000A24080B20000000080497B
+:102A10008FB001004295A240803200000000804348
+:102A20008FB00100000080488FB001004095A09158
+:102A3000036C00003E9522433D7C00004795A24078
+:102A400080320000280080408F98010029008040DB
+:102A50008F98010014000040439901005195A2F0A5
+:102A60001430000088002D448FB001004E95A2F272
+:102A7000026C00000000A24080B20000000080490B
+:102A80008FB0010040952241197C00003E952091B5
+:102A9000036C00004095004081B200005595200A6B
+:102AA000026C00005495A240803200000000804477
+:102AB0008FB00100000080498FB001005A95220AB2
+:102AC000026C00002E95A241197C00005995A2408D
+:102AD00080320000000080558FB001000000805659
+:102AE0008FB001005C95A24080320000000080435E
+:102AF0008FB00100000080488FB001006295004354
+:102B000095B000006295004195B0000062950042CA
+:102B100095B000006295004495B000006295004CAD
+:102B200095B000000B980040813201006595A240ED
+:102B3000803200000000804B8FB001000000804C0C
+:102B40008FB001002D000040439901002E002FF3AB
+:102B500084B001006A95A2F3963000000000804026
+:102B600001B001002D002A41E7D10100D4003D4110
+:102B700085E001000B0000F200E401007095225A8C
+:102B8000017C0000000000401F9001007195005A78
+:102B900001800000000000401F8001000000634130
+:102BA00085C001000000A0A5856C01000000E34085
+:102BB00085B001000C80000342C9010012000040F2
+:102BC00087980100559900F08CB000007E95224056
+:102BD0000F6C000000002F0548B101007B95A24B4F
+:102BE000197C00007C9522F0186C00000000604BFE
+:102BF0001990010048960007103001006F840040D2
+:102C000005B000008095225A1F7C0000CD95004041
+:102C1000813001006F84004005B0000000002F05E6
+:102C200048B101000000604B199001004896000770
+:102C3000103001006F84004005B0000000002F0537
+:102C400048B101000000604B199001004896000750
+:102C5000103001000000804005B00100899533402C
+:102C6000813200008C95A1AD952000009A9513400B
+:102C700081B200000000134A5A8301003000394538
+:102C800095E001001F00000F5ED801000000005A0F
+:102C90005F9001000000004045B00100000000040A
+:102CA00048B00100000000054AB001000000000C1F
+:102CB00058B00100000000074EB001001886004027
+:102CC0005D9801000000005861B101000000004A59
+:102CD00062B101000000A84197B000009795004044
+:102CE00081B200000000804097B001009B9544072E
+:102CF00096300000FFFF004B8489010000001CC2D9
+:102D000024B00100A595A245257C00009F953120A7
+:102D100085300000A6952212487F000067981112A6
+:102D2000480301001000001296E401000000004B6F
+:102D30001E9401000000805A1F900100A5953140AB
+:102D400081320000000000B424B00100A6952212D8
+:102D5000487F0000679800408132010000002F0585
+:102D600048B10100B3950BF084300000000011124F
+:102D700048830100B0952250857000005E0100403C
+:102D800043990100679700F296300100E99900121B
+:102D9000943001000000005A1F9001001000001242
+:102DA00096E401000000804B1E94010010000042D8
+:102DB00010F4010000B73F4311F0010007000008C4
+:102DC0008A880100B69530A10C300000B9952245E3
+:102DD000E67D0000A695104081B2000000002A4563
+:102DE000E69101000000101248830100000011402C
+:102DF00081B201000000604B858001005E0100404F
+:102E000043990100679700F296300100008000109E
+:102E100044C90100D8000040819801002E002D0512
+:102E200048B10100C4952240E76D000080000040D9
+:102E300080C8010000000040F0B101000900000856
+:102E400086E40100000068A787C00100000000447C
+:102E500061B101000000001062B10100C895A80531
+:102E6000E03100001000001296E401000014004B55
+:102E700096DC01000000804B1E9401001000000F42
+:102E800084F401001F00004284880100D195224093
+:102E900080320000D295004268B10000000000427C
+:102EA0006AB10100D295315A1F0000000000914222
+:102EB00048930100D4953540813200006D000040F8
+:102EC00061990100DA9528B12C300000D595224D8A
+:102ED000757D0000000000402DB00100000095400D
+:102EE00011B001006D00004061990100DA95A8B1B0
+:102EF000103000000000954081B201007F000040CA
+:102F000061990100E19528B110300000DD959FBA6C
+:102F1000803200000000804011B0010000008024D9
+:102F2000118401000000005F61B101000010000089
+:102F300062DD01000000A84081B20000E39500407E
+:102F400081B20000AC94004047990100E7953240FF
+:102F500081320000ED9522F896300000000000F864
+:102F600090B00100000000F092B001000100004BA1
+:102F7000F0CD010020009248E0C901006C00004043
+:102F800061990100F19528B192300000ED95224C35
+:102F9000757D00000400124091B000006C000040FC
+:102FA00061990100F195A8B190300000FF00004840
+:102FB000968801000000004B90D001000100004BFA
+:102FC000F0CD010020000048F0C901000000924946
+:102FD000E0B101000C002D1048B10100FF0700080E
+:102FE000828C0100FF0700F0008C01000000A2416C
+:102FF00000EC0000FE95221A006C0000E295000033
+:10300000343001000000005049C10100FA95A2418E
+:10301000235000000000804081B201000C002D1000
+:1030200048B10100FF070015828C0100FF0700F086
+:10303000008C01000000A24100EC00000796220D68
+:10304000006C0000E29500001A3001000000005002
+:1030500049C101000396A2412350000000008040B6
+:1030600081B201000C96831E8032000000000044F3
+:103070001990010024002D012CB0010028002DF032
+:1030800016B0010022002DF026B0010014002FF22E
+:103090000CB0010000008040E1B1010002002D11E0
+:1030A00010C100001596004043C100001596005065
+:1030B00043C10000200000A142C901001A9622402D
+:1030C000F56D00000000004243D101000400A24061
+:1030D000E57D00000000004023B0010000008049B1
+:1030E0001F9001001D9622111E7C00001F96A0F06B
+:1030F000164000001F96004117C000001F96A0F464
+:10310000164000000000004117C001000000A2416D
+:1031100023D000001596005243D1000000B5000DE9
+:1031200042C9010022963047170400002596A20BE1
+:10313000E67D00000000904281B0010000B7000D64
+:1031400046C901002996A20BE67D00000000000B95
+:10315000E69101000000904181B0010000001040A4
+:1031600081B201002A96400796300000F399004092
+:10317000813201003496A245957C000001973F41C1
+:1031800095E00100000000F396B001000000004E41
+:10319000E6B1010040973E4097E001000000004E7C
+:1031A000E6B1010040973E409DE001004796003B9C
+:1031B000E7B1000034963040813200003E96A20B09
+:1031C000E67D000000B5000D46C901003A96A20B4D
+:1031D000E67D00000000104081B20100000098422E
+:1031E00081B0010000B7000D46C901000000000BCE
+:1031F000E69101000000104081B2010000009841FA
+:1032000081B00100040021A2952000000000104AB6
+:103210004483010000973E4195E001000000004E0C
+:10322000F6B101000000004EE6B1010040973E40BB
+:103230009DE001000000003BE7B101000000004AF2
+:1032400090B10100FFFF0007928901000000984043
+:1032500081B001000300000886F4010000B70043BC
+:1032600046C9010007000008828801004B9640080B
+:1032700096300000F39900408132010057962245B4
+:10328000957C00005396225A1F7C00001000000F0E
+:1032900096F401005096315F970400000000114B36
+:1032A000489301000000004B6AB101005396304082
+:1032B0008132000000000041E68101000000104062
+:1032C00081B201000000984081B2010000973F41A7
+:1032D00095E00100000000F396B0010040973D40EA
+:1032E00097E00100000063F388B001005F96A23B05
+:1032F000896C00000000004A90B10100010000A6A6
+:1033000092B101006096184A4493000000001840F2
+:1033100081B201003000394597E001006596225ADC
+:103320001F7C00001F04000F98D801000000004C13
+:103330005E940100679600054AB000001F0400A7D4
+:103340005E840100000000404BB001000000005806
+:1033500061B101000000004B62B101000000A84013
+:1033600081B200006896004081B200006B96400771
+:1033700096300000F3990040813201006F9622459B
+:10338000957C00000000984081B20100F199004A4C
+:103390004413010000973F4195E00100000000F355
+:1033A00096B0010040973D4097E00100000063F3B4
+:1033B00088B001003000384597E001000000005F50
+:1033C0000F9001000000005861B101000000004BA7
+:1033D00062B101007796A840813200007096A23B4E
+:1033E000896C0000300038459DE0010000009840E5
+:1033F00081B20100E9990012943001004896005A08
+:103400001F0001000000805A1F9001001100004AB7
+:10341000E6C9010034002F4F95840100000000F33D
+:1034200096B001000100634B84C801000000A04376
+:10343000856C01000000E34085B0010030002D44A0
+:103440001F90010032002DF22AB00100040022F288
+:103450000230000066950010323001003200A040BA
+:10346000E5B101000000004097B00100F007004006
+:10347000999801000000004A02C0010000000050BD
+:1034800003D001000000004197C001000000A34CE0
+:1034900002D000008E96004081B20000000000A81B
+:1034A00036B001009E9622410350000000800010BB
+:1034B00044C9010000000050F1B101007000000398
+:1034C000F0C901000000004261B1010000000010DD
+:1034D00062B101009796A800E03100001B840088CB
+:1034E0001CB00000E2950040813201007C800003A6
+:1034F00042C90100000000F000B001009296005C9B
+:1035000001800000E2950040813201000000001BB4
+:1035100010B1000068012D0682B00100000000F229
+:1035200082C001000080000346C90100DD95004013
+:1035300081320100C5962240116C0000000068082D
+:1035400038960100F007004182CC0100A396AA4101
+:103550003B400000000000F810B001000000005CDB
+:10356000118001000100001D04CC0100C496264614
+:10357000233000000800000312C80100640120F09D
+:10358000E0B10100C3962241055000002000000375
+:1035900048C901000C0000F886C801000000224460
+:1035A000F1B1010000000043F0B10100000000098A
+:1035B000E0B101000000004461B10100A00000A4DE
+:1035C00062DD0100B596A8461F100000C296224198
+:1035D00005500000C096A24123500000000000A149
+:1035E0001AB001000000004461B101004000001069
+:1035F00062DD0100BB96A846233000001B840088D2
+:103600001CB000001000000348C901000000000DBC
+:1036100042B101000000004413C00100B096005008
+:1036200049C100000000000548B10100048000030A
+:103630001AC801000000804081B20100C4962240F7
+:103640003B6C0000000000F800B00100E295005C57
+:1036500001000100C59600413BD0000000008D47ED
+:1036600080320100B0002F5F13B001000000E0F0D5
+:103670008CC001000080000342C90100000000F876
+:1036800094B00100000000F88CB00100D1968CF8D5
+:103690008E3000000000004419900100040022F860
+:1036A00014300000000000F816B00100000000F81F
+:1036B00026B0010008002EF80CB001000C002A4AC8
+:1036C000E0B1010028000000E0C901001000201B4B
+:1036D000E0B10100DE96200A0C6C0000000000F84A
+:1036E00094B00100000000F896B00100200020F026
+:1036F000E4B101001800204AE0B101001C00204B99
+:10370000E0B10100C996004013B000002C002D422A
+:10371000199001002E002FF382B00100000000F389
+:1037200096B00100E496A2A5976C000000008041CD
+:1037300095B00100E796A240976C000000000040A1
+:1037400083B001002D002040E7B10100000063417B
+:1037500097C00100D4003E4183E001000000004119
+:1037600083C00100EC96A0A5836C0000000000401F
+:1037700083B001002C002041E6B10100F196224007
+:103780001F7C00000004000098DC01000B00004CCE
+:10379000E4F50100000080401F8001000B00800064
+:1037A000E4F50100E6950040813201000480000349
+:1037B00044C9010000000040F1B1010000000040D8
+:1037C000F1B101000000604187B0010000800010ED
+:1037D00044C9010000000050F1B1010000000048A0
+:1037E000F0B1010000000049F0B101000000000349
+:1037F000E0B101000000004561B1010020000010AF
+:1038000062DD01000000A85D05900000FD9600400B
+:1038100081B20000E6950040813201000080000383
+:1038200044C9010000000041F0B101000000004265
+:10383000F0B1010000000040F1B1010000000043C0
+:10384000F0B101000080001044C9010000000050E8
+:10385000F1B1010000000048F0B101000000004992
+:10386000F0B1010000000003E0B1010000000045DC
+:1038700061B101002000001062DD01000000A85DC0
+:10388000059000000C97004081B200002D00004020
+:10389000439901002E002FF384B00100010063F36F
+:1038A00096C8010014979F4185500000010000A5B3
+:1038B00085CC01002D00A042E6B101005E012D0083
+:1038C00080B001001997524381600000020000F2AD
+:1038D00082F401001A970041809400000000005F0C
+:1038E000819001000000005E61B101000000004015
+:1038F00062B101000000A84095B000001B979EBB7C
+:10390000803200002097A2401F7C0000E29500401A
+:1039100081B200000000804195B001000400001554
+:1039200042C90100000000542BC00100000000FC4F
+:1039300024B00100000000FC38B00100000000FECF
+:103940003CB00100000000FE3AB0010035979C1722
+:10395000803200002A97A24A197C00000000804CA7
+:103960001F9001000C00001E98F401002997A24846
+:10397000996C00000000001542B101002997A28A4D
+:10398000F16D00000C00000102CC0100000000FC01
+:103990003EB00100010000F428CC0100CC002D0550
+:1039A00048B10100349720F03E6C00000000004B4D
+:1039B0001F9001000000004C2BC00100BF002D052E
+:1039C00048B10100000080F33AE0010000002E4BF6
+:1039D0001990010007002A0CE4B1010000008004E6
+:1039E000E6B1010018000040439901001C002DF0D1
+:1039F00016B0010020002DF026B001000C002FF2BF
+:103A00000CB001000000A20614EC00004197224512
+:103A10001F7C00000000A3062AEC0000000000F854
+:103A200094B00100000000F096B001000C002D40A1
+:103A300081B2010000002A4CE1C1010030000010F9
+:103A400048C901000A000040F19901001800000572
+:103A5000F0C901000000004AF0B101000000004B75
+:103A6000E0B101000000004761B10100A00000A426
+:103A700062DD01004B97A85C1F100000000080056C
+:103A800048B1010000002E1048B10100000068019B
+:103A900096B0010000000003F0B1010051974542CB
+:103AA000613100000000001062B101005297A800CF
+:103AB000E031000000009D4081B2010000002E10A6
+:103AC00048B101000000680196B001000000000349
+:103AD000F0B101005897454261310000200000100C
+:103AE00062DD01005997A800E031000000009D4010
+:103AF00081B201003080004A44C901000000000684
+:103B0000F1B10100C0A83D460DE00100FF7F00A11A
+:103B1000F08901000200000996F40100000000464F
+:103B200097E00100000060A897C00100639746423B
+:103B3000613100003000004A62C901006497A8406A
+:103B40008132000000009E4081B2010000993F4296
+:103B500097F001006897474081320000709722F388
+:103B6000740600003F0000F3948801000000000785
+:103B7000E785010000001F5561B101000000004A07
+:103B800062B101000000A84081B200006D970040C2
+:103B900081B2000000009F4081B20100000000A837
+:103BA00036B0010080978241234000007597A244FF
+:103BB0001F7C0000EF9400018C3001002080001079
+:103BC00042C901007B972240E36D000000000043E2
+:103BD00061B101004000001062DD01007897A8404B
+:103BE000813200001B8400881CB0000000000041EE
+:103BF00023B001000000001032B001008097224184
+:103C0000197C0000F89500432330010000000041BA
+:103C100023B001008297A3150C6C00008397000667
+:103C200004B000000000001504B0010085972002D8
+:103C30001A6C00000000000D04B001000700000B2A
+:103C4000968801008A9726479724000000000041CB
+:103C500097C001008A97234B046C00000000004BC2
+:103C600004B001004998000548310100B4972202D0
+:103C7000145000008E97A2022A500000B497A2456B
+:103C80001F7C0000909722020C50000099970002C0
+:103C900016C000009897225C1F7C00003080001046
+:103CA00042C9010098972240E36D000000000047E0
+:103CB00061B101004000001062DD01009497A8404E
+:103CC000813200001B8400881CB000000000000549
+:103CD00048B101003A97005C1F000100B49722151B
+:103CE000803200000000005033C00100B397A202F0
+:103CF0001A500000A59722461F7C00007080000328
+:103D000042C90100000000461F800100A597224023
+:103D1000E36D00000000004261B1010040000010AE
+:103D200062DD0100A197A840813200001B84008859
+:103D30001CB000000000000548B101000C80000329
+:103D400042C90100100000F010C801002F002F5CD4
+:103D50001180010000000047E7910100F0070040DA
+:103D60001B980100729720151A6C00007000000368
+:103D700048C9010000002250F1B101000000000319
+:103D8000F0B10100FF070008E08D010000000042D3
+:103D900061B10100A00000A462DD0100B097A84657
+:103DA0001F1000007297000548B1000072970002D2
+:103DB00010C00000B697A2441F7C0000EF940001E1
+:103DC0008C3001000000001B10B1000000800010CA
+:103DD00044C901000C000040F199010010000008E6
+:103DE000F0C9010000000016F0B10100100000034E
+:103DF000E0C901000000004561B101002000001091
+:103E000062DD01000000A85C1F900000BD9700402B
+:103E100081B20000170000D0A2C901000000A2403A
+:103E200027EC00000000002000B00100E2950041F6
+:103E3000A3410100C197004127D0000010000007F6
+:103E400096E401000000004B809401000000005443
+:103E500061B101000080004062DD01000000A84067
+:103E600081B20000C897004081B200001A9800405B
+:103E70002B300100AC002D0616C0010090002DF083
+:103E800016C40100D097A0F01644000000000041C5
+:103E900017C001000E0000A244C9010000006CF030
+:103EA00030B00100AC002D4087B0010000006CF084
+:103EB00028B00100D997224A197C00000030004345
+:103EC00086C801000030000B16C80100D997A44035
+:103ED000813200000000004117C00100FA9722065D
+:103EE00080320000E697A206146C0000E397224897
+:103EF000197C0000DE97A04117400000000000413F
+:103F000017C001000000004131C0010090002018DE
+:103F1000E0B101008B002D48198001008B00204585
+:103F2000E7910100E69700408790000008000043F9
+:103F300086980100E697A048174000000000004165
+:103F400017C00100B0000040439901001050004329
+:103F5000FCC9010051980030813001000000004090
+:103F6000E5B10100F197224A197C0000080000A287
+:103F700044C90100CC002DABF9B10100000000AB39
+:103F800017C00100F097A0F01644000000000041A7
+:103F900017C00100F59764F082B00000A400004053
+:103FA00047990100F597A2F280320000000000411D
+:103FB000E5B101008C002018E0B101009000004044
+:103FC000459901000000600630C001000000860C29
+:103FD00080B20000BC002D4619900100A000A0F2A4
+:103FE000E4B10100B00000404399010010500043CB
+:103FF000FCC9010051980030813001000000A24A44
+:1040000019FC0000080000A244C90100CC002DAB3F
+:10401000F9B10100000000AB17C001000398A0F047
+:10402000164400000000004117C001000000E4F049
+:1040300082B001000080001044C90100000000416E
+:10404000F0B1010000000003F0B101000000000029
+:10405000F0B101000000001062B101000000A81BD7
+:10406000E0B100000898004081B2000000F0000CB0
+:104070007E8901000000A64C956001000000804A86
+:10408000189401000080001044C9010004002201BE
+:10409000F031000020000040F0C9010000000016CF
+:1040A000F0B101000000004361B1010020000010E8
+:1040B00062DD01000000A815E0B100001398004087
+:1040C00081B200001080000344C901000000000616
+:1040D000F0B1010000000001F0B101000000E85F54
+:1040E0001790010070000040439901007A012EFEF4
+:1040F00092B001008B002DF616B0010020982243EB
+:10410000E77D00000000004445C10100040000A656
+:104110002AB0010028006E0682C801002498224AB5
+:10412000197C00000000004245D1010000006E4CE7
+:1041300083C001000000004192C001002598423078
+:104140003D0700000000669E83B0010000001A4198
+:104150003DC301000000004192C00100060000A222
+:1041600044C901001000004998F401002E9826303F
+:10417000930400002E98904C9240000000000041F3
+:1041800093C00100FFFF8049ECA9010000800010EE
+:1041900044C9010004002201F031000000000009C0
+:1041A000F0B1010000000018F0B101002000001083
+:1041B00062DD01000000A815E0B100003398004066
+:1041C00081B200004098225F817C00003F98A240AD
+:1041D000197C00000000004019900100000000540C
+:1041E00061B101001000000796E401000000004FDB
+:1041F000979401000000004B62B101003F982840F5
+:10420000813200003C98004081B200000000A221F1
+:10421000818400004398A25F816C00000000A243EB
+:10422000197C0100000000431990010000000054B7
+:1042300061B101001000000796E401000000004099
+:10424000969401000000004B62B101000000A840FC
+:1042500081B200004698004081B200000080001941
+:1042600044C9010004002202F03100000000000BEC
+:10427000F0B1010000000013F0B1010000000043A4
+:1042800061B101002000001962DD01000000A808F2
+:10429000E0B100004E98004081B200007C002DF09B
+:1042A00084B00100020000F098F401005798204CFF
+:1042B000846C00008800004043990100579820F268
+:1042C000846C00000000004085B0010098002D14AF
+:1042D00082B00100000000F098B00100A3002D148E
+:1042E00098D001005C98204C846C00000000004CC9
+:1042F00084B00100000000F380E001005F982340DB
+:10430000846C00000000004084B00100D000201444
+:10431000E0B101009800254280B0010000006EF37A
+:1043200080F001000000A64282C000006598A04015
+:10433000164000000000004117C0010000009FF07F
+:1043400082EC00009800A041E0B1010068980012E2
+:1043500010C90000004880400B980100C04980400F
+:104360000B980100804B80400B980100404D80402D
+:104370000B980100004F80400B980100C050804016
+:104380000B980100805280400B98010040548040FF
+:104390000B980100005680400B980100C0578040E8
+:1043A0000B980100805980400B980100405B8040D1
+:1043B0000B980100005D80400B980100C05E8040BA
+:1043C0000B980100806080400B98010040628040A3
+:1043D0000B980100006480400B980100C06580408C
+:1043E0000B980100806780400B9801004069804075
+:1043F0000B980100006B80400B980100C06C80405E
+:104400000B980100806E80400B9801004070804046
+:104410000B980100007280400B980100C07380402F
+:104420000B980100807580400B9801004077804018
+:104430000B980100007980400B980100C07A804001
+:104440000B980100807C80400B980100407E8040EA
+:104450000B98010088984357613100009498A25747
+:10446000737D00009498A240816F00000000004816
+:1044700061B101000010004A62DD01008C98A84A79
+:10448000803300009198225F957C00000000004B73
+:1044900062B101008F98A84BAC33000000001BA54F
+:1044A00082B30100000000BE83C301000000804011
+:1044B00097B001000010004A62DD01009898284082
+:1044C0008132000094982257777D000000009B20E5
+:1044D00097B001000000004B62B101009898A8401D
+:1044E0008132000000009B4097B0010000002E10B8
+:1044F00048B10100A8010040F19901000000000549
+:10450000F0B101000900000796E40100000060A777
+:1045100097C001000000001062B101000000A84037
+:1045200081B20000A098004081B20000A8002D1CBC
+:104530008AB0010000009FF08AD000000000A24075
+:104540008BEC00008A002040E7B10100B40000407D
+:1045500047990100A4002D45E0D10100AD989C17BA
+:1045600080320000BE002FAB83B001001799001409
+:1045700082500100B298004081B20000B29822F24D
+:10458000823000008C00004043990100B2989F1CCB
+:10459000E06D0000BE0000404799010017990040FF
+:1045A00081320100A800201CE0B101009C002D30E8
+:1045B00081B0010088002DF084B0010094002DF23C
+:1045C00086B00100DC9823F0846C00000C000042EF
+:1045D00088F40100DC982050896C0000CB98A392ED
+:1045E000876C0000BB98004410C90000DC98000AEA
+:1045F00087B00000DC98000987B00000DC98000854
+:1046000087B00000DC98000787B00000DC98000746
+:1046100087B00000DC98000787B00000DC98000637
+:1046200087B00000DC98000687B00000DC98000628
+:1046300087B00000DC98000687B00000DC98000618
+:1046400087B00000DC98000587B00000DC9800050A
+:1046500087B00000DC98000587B00000DC980005FA
+:1046600087B00000DC98000587B00000CC980044BB
+:1046700010C90000DC98000F87B00000DC98000E25
+:1046800087B00000DC98000D87B00000DC98000CBB
+:1046900087B00000DC98000C87B00000DC98000CAC
+:1046A00087B00000DC98000C87B00000DC98000C9C
+:1046B00087B00000DC98000C87B00000DC98000B8D
+:1046C00087B00000DC98000B87B00000DC98000B7E
+:1046D00087B00000DC98000B87B00000DC98000B6E
+:1046E00087B00000DC98000B87B00000DC98000B5E
+:1046F00087B00000BF002D4384C0010090002DF35F
+:1047000080E00100E1982340846C00009400209D2B
+:10471000E1B101000000004084B00100E598A2F082
+:10472000386C00009C002042E0B101000000005FF6
+:104730001394010000008046198001009C00204273
+:10474000E0B101003700004043990100040000F38C
+:1047500080F401000F0000F382880100EB982341F0
+:10476000806C00000000005F139401000000890CC1
+:1047700080B20000BC00004043990100A000A0F2FC
+:10478000E4B1010000009F4124EC0000F598A64030
+:104790008132000000009F4238EC0000F598A640EE
+:1047A00081320000B400004043990100F798A3F063
+:1047B0003A6C00000000804081B20100B40000406B
+:1047C00043990100FB9822F03A6C0000B400201DD0
+:1047D000E0B1010080002D5F13940100FB9823F0ED
+:1047E0003A6C00008000201DE0B10100C0002012E2
+:1047F000E0B10100C400A01CE0B101000080000392
+:1048000044C9010000000042E0B101001200004074
+:104810008798010004999F41246C0000000000412A
+:104820008CB00100000000128CD0010005990041FD
+:1048300024B00000000000408DB0010055990040F8
+:10484000813201000000004561B10100400000100C
+:1048500062DD01000000A84081B20000079900401D
+:1048600081B20000D49500408132010000000016A2
+:1048700080B201000000A708803201000F99A24019
+:10488000956C0000E295004081320100008200A694
+:1048900004B00100000000402DB00100A0982F409E
+:1048A00011B00100E989004189B0000000009FF8C3
+:1048B0003EEC000000009F12E0ED0000C80020ABBD
+:1048C000E1B10100CC00A01FE0B101001999A35F84
+:1048D000E76D000000000041E7C10100A6000040B4
+:1048E000479901002D9922F2863000000300004311
+:1048F00084F401000100004180CC0100B8002D4289
+:1049000080D001000000624086C0010021991F4351
+:10491000803200002299A240876C000000006241B2
+:1049200087B0010026999F408032000000000040BF
+:1049300085B001000000004084D00100000000426A
+:1049400080B00100000000F288B0010002000044C5
+:1049500084F40100B8002E4280D0010000006240C3
+:1049600088C001002C991F44803200003099A24079
+:10497000896C00003099624189B0000003006241F7
+:1049800086E40100B8000040459901000100624141
+:1049900088E40100A4002040E5B10100A20020400D
+:1049A000E7B10100BC002E4387F001000000004485
+:1049B00086C0010036992043876C000000008043C8
+:1049C000E5B101004001004380CE01000000A44396
+:1049D000E43101004001E2408798010088002D4445
+:1049E00081B0010090002DF22EB001009C002DF04E
+:1049F00086B0010090002DF082B00100BA002DF0C9
+:104A000098B001004399A212986C0000BC002DF2EE
+:104A100098B001004399A0F2986C000000000017C4
+:104A200082B001009C002041E0B10100B4002D12D1
+:104A300086D001004699A341E06D0000479900F03F
+:104A400084B000000000004184B0010080002D43CC
+:104A500084D001004A999F4280320000000000404B
+:104A600085B001004C99A342146C00004D99000AD6
+:104A70000CB00000000000420CB001004F99A017DC
+:104A80000C6C0000000080170CB00100549922400B
+:104A90000D6C00000000A00A0CEC0000010000F00A
+:104AA00082F401005499A0410C6C00000000A2F0B7
+:104AB000803201000000804081B00100E695004096
+:104AC000813201000480000344C901000000004657
+:104AD000F0B1010000000040F1B1010000006041B0
+:104AE000879401000080001044C9010000000050BC
+:104AF000F1B1010000000048F0B1010000000049E0
+:104B0000F0B1010000000003E0B101000000004529
+:104B100061B101002000001062DD01000000A85D0D
+:104B2000059000006099004081B2000000002E4B0B
+:104B30001990010005002A0CE4B101000000800476
+:104B4000E6B101006A9922491F7C00004200004042
+:104B500087980100000000491F800100C0970040B5
+:104B60008DB0000070992240AF6F0000000000156A
+:104B700096B0010088980008943001006F99224097
+:104B8000976C0000C097004687B00000000080408E
+:104B900087B001007099434861310000001000089F
+:104BA00062DD010075992840873000007199224824
+:104BB000777D0000C0971B4687B000007899225F80
+:104BC000117C000004002215623100007699A84093
+:104BD0008132000000009B4081B2010000000040D3
+:104BE00049B1010030000040A199010000000040DF
+:104BF00093B00100000000401FB00100C9990049B6
+:104C0000963001000700004906E401000039000366
+:104C100006C801000000004005B00100200000D0DF
+:104C2000A0C901000000004193C001007D99A0547B
+:104C3000936C000000002E0597B001000048004072
+:104C40004999010000000040E1B10100C00100A24B
+:104C500044C901008699A24197500000000000203D
+:104C600049B30100CE9900404931010000B52E083A
+:104C700097B0010000000040F1B101008C99A24101
+:104C800097500000180000409798010000972E40B0
+:104C900081B2010000000040F1B101009099A241F1
+:104CA000975000000000004049B1010040182E0557
+:104CB00097B0010000000040F1B101009499A241B9
+:104CC0009750000057952040E7B101003094004014
+:104CD0004599010064000040E59901005695204087
+:104CE000E7B10100B8942041E5B10100BA94204138
+:104CF000E5B1010098940040459901000200004090
+:104D00009798010000000040F1B101009E99A24176
+:104D1000975000000000004097B0010000000040E4
+:104D20006FB101000000004B68B10100A2998541FC
+:104D300097400000DB9900408132010000000040F4
+:104D400039B301000000004037B30100000000400B
+:104D500035B301000000004033B301000000004003
+:104D600041B30100000000403FB301003C0000409F
+:104D7000299B0100EE050040259B010042000040F8
+:104D80004B9B0100000000402FB3010000000040D9
+:104D90002DB301000000004047B3010000000040B7
+:104DA00043B30100600000402B9B01000000005451
+:104DB000EF93010000000055F1930100FFFF00A5F3
+:104DC0003C8B01000000002C5BB301000000002CB4
+:104DD00045B301000000004059B30100000000404D
+:104DE00057B301000000004027B30100000000405D
+:104DF00053B30100BF99A250FD7F0000BF99A2519B
+:104E0000FD7F0000C09900401DB3000050460040E7
+:104E10001D9B010000C000A688B30100FF3F00A653
+:104E20003AB3010000C0009D3B9B0100B405004067
+:104E3000239B0100000000404DB30100080A00A6BA
+:104E400014B301000101008A159B0100008000A637
+:104E500056B101000000805E57B501001800004BFC
+:104E600020E401000600004B96E401000043004BE3
+:104E700096C801001800001020DC01000000804BE3
+:104E80002094010000992E0A97B001000000004014
+:104E9000F1B10100CF99A2419750000000030040FA
+:104EA0009798010000A900404599010000000040CA
+:104EB000F1B10100D399A2419750000030000040A9
+:104EC000979801000000005561B101000000004BFF
+:104ED00062B10100D799A84081320000D799A24160
+:104EE000975000000000804081B2010000000040A7
+:104EF00087B101000000004097B001000000004BA6
+:104F000080B10100010000A682B10100DD99854158
+:104F1000974000000000004097B1010000000040F1
+:104F200097B001000000004B90B10100010000A605
+:104F300092B10100E2998541974000000000804055
+:104F400081B20100E6994440813200000000001265
+:104F500080B10100FFFF9C4B82890100E999444028
+:104F6000813200000000004A80B1010001009CA6CF
+:104F700082B10100EC99444081320000FFFF004BF8
+:104F80008489010000009CC224B001000000004A96
+:104F900090B10100FFFF804B928901000000004AA0
+:104FA00090B10100010080A692B10100FFFF004B0B
+:104FB00094890100000080CA94B001000000804084
+:104FC00081B201000000004081B00100F79980A586
+:104FD00080320000F89900A58032000000000041F6
+:104FE00081C00100F99980A5803200008001004055
+:104FF00083980100029A204F816C0000000100405C
+:1050000083980100029A204B816C000080000040D0
+:1050100083980100029A2047816C00000000004044
+:10502000839801000000004182DC010003900041F0
+:10503000209901000000004049B1010000142F4CEC
+:1050400083B0010000000040F1B10100069AA241C6
+:1050500083500000640000A580C80100099AA2A541
+:10506000806C000020000090209901000000005F8B
+:10507000239101000C9A1F918032000030000090B3
+:10508000209901000000005F239101000F9A1F91F9
+:10509000803200007000009020A901000000005F35
+:1050A00023910100129A1F91803200000000005FDE
+:1050B00023910100149A1F918032000040680090F3
+:1050C00020A90100E000004061990100210000409A
+:1050D0006199010022000040619901002300004015
+:1050E0006199010024000040619901002500004001
+:1050F00061990100260000406199010027000040ED
+:1051000061990100C000004061990100D014004085
+:105110004599010000000040F1B10100000000408D
+:10512000E1B101003003004085300100D01400409F
+:1051300045990100020100A680B00100040300406F
+:1051400080980100060500A682B001000807004112
+:105150008298010000000040F0B101000000004111
+:10516000E0B10100080000408598010030030040D4
+:10517000813201003903004081320100D81400401F
+:1051800043990100FF02A2F8806C0000000322F0A6
+:10519000826C0000FF02004081B20000D0142E405B
+:1051A00049B1010005000040A39B01000000004040
+:1051B000C1B30100080000DD81F40100369A00400F
+:1051C00010C900003C9A000581B000005501004064
+:1051D00081B20000449A000581B0000055010040F2
+:1051E00081B20000499A0044A5B300004B9A0044E4
+:1051F000A5B3000002000040A4E70100000000E0A9
+:1052000081B10100FFFF00C1F0890100419A2241F4
+:10521000815000003D9A0041C1C30000B10200402E
+:1052200081320100C5020040813201005A01004074
+:1052300081B2000002000040A4E70100000000E08D
+:1052400091B10100FFFF00C9F0890100419A22419C
+:1052500081500000459A0041C1C30000FFFF00DEFD
+:1052600085890100419A00C2E0B10000FFFF00DE25
+:1052700095890100419A00CAE0B10000040000CB0A
+:1052800081C801006A840040F293000004000040DD
+:1052900081B200000400004081B200000400004020
+:1052A00081B200000400004081B200000400004010
+:1052B00081B200000400004081B200000400004000
+:1052C00081B200000400004081B2000004000040F0
+:1052D00081B200000400004081B2000004000040E0
+:1052E00081B200000400004081B2000004000040D0
+:1052F00081B200000400004081B2000004000040C0
+:1053000081B200000400004081B2000004000040AF
+:1053100081B200000400004081B20000040000409F
+:1053200081B200000400004081B20000040000408F
+:1053300081B200000400004081B20000040000407F
+:1053400081B200000400004081B20000040000406F
+:1053500081B200000400004081B20000040000405F
+:1053600081B200000400004081B20000040000404F
+:1053700081B200000400004081B20000040000403F
+:1053800081B200000400004081B20000040000402F
+:1053900081B200000400004081B20000040000401F
+:1053A00081B200000400004081B20000040000400F
+:1053B00081B200000400004081B2000004000040FF
+:1053C00081B200000400004081B2000004000040EF
+:1053D00081B200000400004081B2000004000040DF
+:1053E00081B200000400004081B2000004000040CF
+:1053F00081B200000400004081B2000004000040BF
+:1054000081B200000400004081B2000004000040AE
+:1054100081B200000400004081B20000040000409E
+:1054200081B200000400004081B20000040000408E
+:1054300081B200000400004081B20000040000407E
+:1054400081B200000400004081B20000040000406E
+:1054500081B200000400004081B20000040000405E
+:1054600081B200000400004081B20000040000404E
+:1054700081B200000400004081B20000040000403E
+:1054800081B200000400004081B20000040000402E
+:1054900081B200000400004081B20000040000401E
+:1054A00081B200000400004081B20000040000400E
+:1054B00081B200000400004081B2000004000040FE
+:1054C00081B200000400004081B2000004000040EE
+:1054D00081B200000400004081B2000004000040DE
+:1054E00081B200000400004081B2000004000040CE
+:1054F00081B200000400004081B2000004000040BE
+:1055000081B200000400004081B2000004000040AD
+:1055100081B200000400004081B20000040000409D
+:1055200081B200000400004081B20000040000408D
+:1055300081B200000400004081B20000040000407D
+:1055400081B200000400004081B20000040000406D
+:1055500081B200000400004081B20000040000405D
+:1055600081B200000400004081B20000040000404D
+:1055700081B200000400004081B20000040000403D
+:1055800081B200000400004081B20000040000402D
+:1055900081B200000400004081B20000040000401D
+:1055A00081B200000400004081B20000040000400D
+:1055B00081B200000400004081B2000004000040FD
+:1055C00081B200000400004081B2000004000040ED
+:1055D00081B200000400004081B2000004000040DD
+:1055E00081B200000400004081B2000004000040CD
+:1055F00081B200000400004081B2000004000040BD
+:1056000081B200000400004081B2000004000040AC
+:1056100081B200000400004081B20000040000409C
+:1056200081B200000400004081B20000040000408C
+:1056300081B200000400004081B20000040000407C
+:1056400081B200000400004081B20000040000406C
+:1056500081B200000400004081B20000040000405C
+:1056600081B200000400004081B20000040000404C
+:1056700081B200000400004081B20000040000403C
+:1056800081B200000400004081B20000040000402C
+:1056900081B200000400004081B20000040000401C
+:1056A00081B200000400004081B20000040000400C
+:1056B00081B200000400004081B2000004000040FC
+:1056C00081B200000400004081B2000004000040EC
+:1056D00081B200000400004081B2000004000040DC
+:1056E00081B200000400004081B2000004000040CC
+:1056F00081B200000400004081B2000004000040BC
+:1057000081B200000400004081B2000004000040AB
+:1057100081B200000400004081B20000040000409B
+:1057200081B200000400004081B20000040000408B
+:1057300081B200000400004081B20000040000407B
+:1057400081B200000400004081B20000040000406B
+:1057500081B200000400004081B20000040000405B
+:1057600081B200000400004081B20000040000404B
+:1057700081B200000400004081B20000040000403B
+:1057800081B200000400004081B20000040000402B
+:1057900081B200000400004081B20000040000401B
+:1057A00081B200000400004081B20000040000400B
+:1057B00081B200000400004081B2000004000040FB
+:1057C00081B200000400004081B2000004000040EB
+:1057D00081B200000400004081B2000004000040DB
+:1057E00081B200000400004081B2000004000040CB
+:1057F00081B200000400004081B2000004000040BB
+:1058000081B200000400004081B2000004000040AA
+:1058100081B200000400004081B20000040000409A
+:1058200081B200000400004081B20000040000408A
+:1058300081B200000400004081B20000040000407A
+:1058400081B200000400004081B20000040000406A
+:1058500081B200000400004081B20000040000405A
+:1058600081B200000400004081B20000040000404A
+:1058700081B200000400004081B20000040000403A
+:1058800081B200000400004081B20000040000402A
+:1058900081B200000400004081B20000040000401A
+:1058A00081B200000400004081B20000040000400A
+:1058B00081B200000400004081B2000004000040FA
+:1058C00081B200000400004081B2000004000040EA
+:1058D00081B200000400004081B2000004000040DA
+:1058E00081B200000400004081B2000004000040CA
+:1058F00081B200000400004081B2000004000040BA
+:1059000081B200000400004081B2000004000040A9
+:1059100081B200000400004081B200000400004099
+:1059200081B200000400004081B200000400004089
+:1059300081B200000400004081B200000400004079
+:1059400081B200000400004081B200000400004069
+:1059500081B200000400004081B200000400004059
+:1059600081B200000400004081B200000400004049
+:1059700081B200000400004081B200000400004039
+:1059800081B200000400004081B200000400004029
+:1059900081B200000400004081B200000400004019
+:1059A00081B200000400004081B200000400004009
+:1059B00081B200000400004081B2000004000040F9
+:1059C00081B200000400004081B2000004000040E9
+:1059D00081B200000400004081B2000004000040D9
+:1059E00081B200000400004081B2000004000040C9
+:1059F00081B200000400004081B2000004000040B9
+:105A000081B200000400004081B2000004000040A8
+:105A100081B200000400004081B200000400004098
+:105A200081B200000400004081B200000400004088
+:105A300081B200000400004081B200000400004078
+:105A400081B200000400004081B200000400004068
+:105A500081B200000400004081B200000400004058
+:105A600081B200000400004081B200000400004048
+:105A700081B200000400004081B200000400004038
+:105A800081B200000400004081B200000400004028
+:105A900081B200000400004081B200000400004018
+:105AA00081B200000400004081B200000400004008
+:105AB00081B200000400004081B2000004000040F8
+:105AC00081B200000400004081B2000004000040E8
+:105AD00081B200000400004081B2000004000040D8
+:105AE00081B200000400004081B2000004000040C8
+:105AF00081B200000400004081B2000004000040B8
+:105B000081B200000400004081B2000004000040A7
+:105B100081B200000400004081B200000400004097
+:105B200081B200000400004081B200000400004087
+:105B300081B200000400004081B200000400004077
+:105B400081B200000400004081B200000400004067
+:105B500081B200000400004081B200000400004057
+:105B600081B200000400004081B200000400004047
+:105B700081B200000400004081B200000400004037
+:105B800081B200000400004081B200000400004027
+:105B900081B200000400004081B200000400004017
+:105BA00081B200000400004081B200000400004007
+:105BB00081B200000400004081B2000004000040F7
+:105BC00081B200000400004081B2000004000040E7
+:105BD00081B200000400004081B2000004000040D7
+:105BE00081B200000400004081B2000004000040C7
+:105BF00081B200000400004081B2000004000040B7
+:105C000081B200000400004081B2000004000040A6
+:105C100081B200000400004081B200000400004096
+:105C200081B200000400004081B200000400004086
+:105C300081B200000400004081B200000400004076
+:105C400081B200000400004081B200000400004066
+:105C500081B200000400004081B200000400004056
+:105C600081B200000400004081B200000400004046
+:105C700081B200000400004081B200000400004036
+:105C800081B200000400004081B200000400004026
+:105C900081B200000400004081B200000400004016
+:105CA00081B200000400004081B200000400004006
+:105CB00081B200000400004081B2000004000040F6
+:105CC00081B200000400004081B2000004000040E6
+:105CD00081B200000400004081B2000004000040D6
+:105CE00081B200000400004081B2000004000040C6
+:105CF00081B200000400004081B2000004000040B6
+:105D000081B200000400004081B2000004000040A5
+:105D100081B200000400004081B200000400004095
+:105D200081B200000400004081B200000400004085
+:105D300081B200000400004081B200000400004075
+:105D400081B200000400004081B200000400004065
+:105D500081B200000400004081B200000400004055
+:105D600081B200000400004081B200000400004045
+:105D700081B200000400004081B200000400004035
+:105D800081B200000400004081B200000400004025
+:105D900081B200000400004081B200000400004015
+:105DA00081B200000400004081B200000400004005
+:105DB00081B200000400004081B2000004000040F5
+:105DC00081B200000400004081B2000004000040E5
+:105DD00081B200000400004081B2000004000040D5
+:105DE00081B200000400004081B2000004000040C5
+:105DF00081B200000400004081B2000004000040B5
+:105E000081B200000400004081B2000004000040A4
+:105E100081B200000400004081B200000400004094
+:105E200081B200000400004081B200000400004084
+:105E300081B200000400004081B200000400004074
+:105E400081B200000400004081B200000400004064
+:105E500081B200000400004081B200000400004054
+:105E600081B200000400004081B200000400004044
+:105E700081B200000400004081B200000400004034
+:105E800081B200000400004081B200000400004024
+:105E900081B200000400004081B200000400004014
+:105EA00081B200000400004081B200000400004004
+:105EB00081B200000400004081B2000004000040F4
+:105EC00081B200000400004081B2000004000040E4
+:105ED00081B200000400004081B2000004000040D4
+:105EE00081B200000400004081B2000004000040C4
+:105EF00081B200000400004081B2000004000040B4
+:105F000081B200000400004081B2000004000040A3
+:105F100081B200000400004081B200000400004093
+:105F200081B200000400004081B200000400004083
+:105F300081B200000400004081B200000400004073
+:105F400081B200000400004081B200000400004063
+:105F500081B200000400004081B200000400004053
+:105F600081B200000400004081B200000400004043
+:105F700081B200000400004081B200000400004033
+:105F800081B200000400004081B200000400004023
+:105F900081B200000400004081B200000400004013
+:105FA00081B200000400004081B200000400004003
+:105FB00081B200000400004081B2000004000040F3
+:105FC00081B200000400004081B2000004000040E3
+:105FD00081B200000400004081B2000004000040D3
+:105FE00081B200000400004081B2000004000040C3
+:105FF00081B200000400004081B2000004000040B3
+:1060000081B200000400004081B2000004000040A2
+:1060100081B200000400004081B200000400004092
+:1060200081B200000400004081B200000400004082
+:1060300081B200000400004081B200000400004072
+:1060400081B200000400004081B200000400004062
+:1060500081B200000400004081B200000400004052
+:1060600081B200000400004081B200000400004042
+:1060700081B200000400004081B200000400004032
+:1060800081B200000400004081B200000400004022
+:1060900081B200000400004081B200000400004012
+:1060A00081B200000400004081B200000400004002
+:1060B00081B200000400004081B2000004000040F2
+:1060C00081B200000400004081B2000004000040E2
+:1060D00081B200000400004081B2000004000040D2
+:1060E00081B200000400004081B2000004000040C2
+:1060F00081B200000400004081B2000004000040B2
+:1061000081B200000400004081B2000004000040A1
+:1061100081B200000400004081B200000400004091
+:1061200081B200000400004081B200000400004081
+:1061300081B200000400004081B200000400004071
+:1061400081B200000400004081B200000400004061
+:1061500081B200000400004081B200000400004051
+:1061600081B200000400004081B200000400004041
+:1061700081B200000400004081B200000400004031
+:1061800081B200000400004081B200000400004021
+:1061900081B200000400004081B200000400004011
+:1061A00081B200000400004081B200000400004001
+:1061B00081B200000400004081B2000004000040F1
+:1061C00081B200000400004081B2000004000040E1
+:1061D00081B200000400004081B2000004000040D1
+:1061E00081B200000400004081B2000004000040C1
+:1061F00081B200000400004081B2000004000040B1
+:1062000081B200000400004081B2000004000040A0
+:1062100081B200000400004081B200000400004090
+:1062200081B200000400004081B200000400004080
+:1062300081B200000400004081B200000400004070
+:1062400081B200000400004081B200000400004060
+:1062500081B200000400004081B200000400004050
+:1062600081B200000400004081B200000400004040
+:1062700081B200000400004081B200000400004030
+:1062800081B200000400004081B200000400004020
+:1062900081B200000400004081B200000400004010
+:1062A00081B200000400004081B200000400004000
+:1062B00081B200000400004081B2000004000040F0
+:1062C00081B200000400004081B2000004000040E0
+:1062D00081B200000400004081B2000004000040D0
+:1062E00081B200000400004081B2000004000040C0
+:1062F00081B200000400004081B2000004000040B0
+:1063000081B200000400004081B20000040000409F
+:1063100081B200000400004081B20000040000408F
+:1063200081B200000400004081B20000040000407F
+:1063300081B200000400004081B20000040000406F
+:1063400081B200000400004081B20000040000405F
+:1063500081B200000400004081B20000040000404F
+:1063600081B200000400004081B20000040000403F
+:1063700081B200000400004081B20000040000402F
+:1063800081B200000400004081B20000040000401F
+:1063900081B200000400004081B20000040000400F
+:1063A00081B200000400004081B2000004000040FF
+:1063B00081B200000400004081B2000004000040EF
+:1063C00081B200000400004081B2000004000040DF
+:1063D00081B200000400004081B2000004000040CF
+:1063E00081B200000400004081B2000004000040BF
+:1063F00081B200000400004081B2000004000040AF
+:1064000081B200000400004081B20000040000409E
+:1064100081B200000400004081B20000040000408E
+:1064200081B200000400004081B20000040000407E
+:1064300081B200000400004081B20000040000406E
+:1064400081B200000400004081B20000040000405E
+:1064500081B200000400004081B20000040000404E
+:1064600081B200000400004081B20000040000403E
+:1064700081B200000400004081B20000040000402E
+:1064800081B200000400004081B20000040000401E
+:1064900081B200000400004081B20000040000400E
+:1064A00081B200000400004081B2000004000040FE
+:1064B00081B200000400004081B2000004000040EE
+:1064C00081B200000400004081B2000004000040DE
+:1064D00081B200000400004081B2000004000040CE
+:1064E00081B200000400004081B2000004000040BE
+:1064F00081B200000400004081B2000004000040AE
+:1065000081B200000400004081B20000040000409D
+:1065100081B200000400004081B20000040000408D
+:1065200081B200000400004081B20000040000407D
+:1065300081B200000400004081B20000040000406D
+:1065400081B200000400004081B20000040000405D
+:1065500081B200000400004081B20000040000404D
+:1065600081B200000400004081B20000040000403D
+:1065700081B200000400004081B20000040000402D
+:1065800081B200000400004081B20000040000401D
+:1065900081B200000400004081B20000040000400D
+:1065A00081B200000400004081B2000004000040FD
+:1065B00081B200000400004081B2000004000040ED
+:1065C00081B200000400004081B2000004000040DD
+:1065D00081B200000400004081B2000004000040CD
+:1065E00081B200000400004081B2000004000040BD
+:1065F00081B200000400004081B2000004000040AD
+:1066000081B200000400004081B20000040000409C
+:1066100081B200000400004081B20000040000408C
+:1066200081B200000400004081B20000040000407C
+:1066300081B200000400004081B20000040000406C
+:1066400081B200000400004081B20000040000405C
+:1066500081B200000400004081B20000040000404C
+:1066600081B200000400004081B20000040000403C
+:1066700081B200000400004081B20000040000402C
+:1066800081B200000400004081B20000040000401C
+:1066900081B200000400004081B20000040000400C
+:1066A00081B200000400004081B2000004000040FC
+:1066B00081B200000400004081B2000004000040EC
+:1066C00081B200000400004081B2000004000040DC
+:1066D00081B200000400004081B2000004000040CC
+:1066E00081B200000400004081B2000004000040BC
+:1066F00081B200000400004081B2000004000040AC
+:1067000081B200000400004081B20000040000409B
+:1067100081B200000400004081B20000040000408B
+:1067200081B200000400004081B20000040000407B
+:1067300081B200000400004081B20000040000406B
+:1067400081B200000400004081B20000040000405B
+:1067500081B200000400004081B20000040000404B
+:1067600081B200000400004081B20000040000403B
+:1067700081B200000400004081B20000040000402B
+:1067800081B200000400004081B20000040000401B
+:1067900081B200000400004081B20000040000400B
+:1067A00081B200000400004081B2000004000040FB
+:1067B00081B200000400004081B2000004000040EB
+:1067C00081B200000400004081B2000004000040DB
+:1067D00081B200000400004081B2000004000040CB
+:1067E00081B200000400004081B2000004000040BB
+:1067F00081B200000400004081B2000004000040AB
+:1068000081B200000400004081B20000040000409A
+:1068100081B200000400004081B20000040000408A
+:1068200081B200000400004081B20000040000407A
+:1068300081B200000400004081B20000040000406A
+:1068400081B200000400004081B20000040000405A
+:1068500081B200000400004081B20000040000404A
+:1068600081B200000400004081B20000040000403A
+:1068700081B200000400004081B20000040000402A
+:1068800081B200000400004081B20000040000401A
+:1068900081B200000400004081B20000040000400A
+:1068A00081B200000400004081B2000004000040FA
+:1068B00081B200000400004081B2000004000040EA
+:1068C00081B200000400004081B2000004000040DA
+:1068D00081B200000400004081B2000004000040CA
+:1068E00081B200000400004081B2000004000040BA
+:1068F00081B200000400004081B2000004000040AA
+:1069000081B200000400004081B200000400004099
+:1069100081B200000400004081B200000400004089
+:1069200081B200000400004081B200000400004079
+:1069300081B200000400004081B200000400004069
+:1069400081B200000400004081B200000400004059
+:1069500081B200000400004081B200000400004049
+:1069600081B200000400004081B200000400004039
+:1069700081B200000400004081B200000400004029
+:1069800081B200000400004081B200000400004019
+:1069900081B200000400004081B200000400004009
+:1069A00081B200000400004081B2000004000040F9
+:1069B00081B200000400004081B2000004000040E9
+:1069C00081B200000400004081B2000004000040D9
+:1069D00081B200000400004081B2000004000040C9
+:1069E00081B200000400004081B2000004000040B9
+:1069F00081B200000400004081B2000004000040A9
+:106A000081B200000400004081B200000400004098
+:106A100081B200000400004081B200000400004088
+:106A200081B200000400004081B200000400004078
+:106A300081B200000400004081B200000400004068
+:106A400081B200000400004081B200000400004058
+:106A500081B200000400004081B200000400004048
+:106A600081B200000400004081B200000400004038
+:106A700081B200000400004081B200000400004028
+:106A800081B200000400004081B200000400004018
+:106A900081B200000400004081B200000400004008
+:106AA00081B200000400004081B2000004000040F8
+:106AB00081B200000400004081B2000004000040E8
+:106AC00081B200000400004081B2000004000040D8
+:106AD00081B200000400004081B2000004000040C8
+:106AE00081B200000400004081B2000004000040B8
+:106AF00081B200000400004081B2000004000040A8
+:106B000081B200000400004081B200000400004097
+:106B100081B200000400004081B200000400004087
+:106B200081B200000400004081B200000400004077
+:106B300081B200000400004081B200000400004067
+:106B400081B200000400004081B200000400004057
+:106B500081B200000400004081B200000400004047
+:106B600081B200000400004081B200000400004037
+:106B700081B200000400004081B200000400004027
+:106B800081B200000400004081B200000400004017
+:106B900081B200000400004081B200000400004007
+:106BA00081B200000400004081B2000004000040F7
+:106BB00081B200000400004081B2000004000040E7
+:106BC00081B200000400004081B2000004000040D7
+:106BD00081B200000400004081B2000004000040C7
+:106BE00081B200000400004081B2000004000040B7
+:106BF00081B200000400004081B2000004000040A7
+:106C000081B200000400004081B200000400004096
+:106C100081B200000400004081B200000400004086
+:106C200081B200000400004081B200000400004076
+:106C300081B200000400004081B200000400004066
+:106C400081B200000400004081B200000400004056
+:106C500081B200000400004081B200000400004046
+:106C600081B200000400004081B200000400004036
+:106C700081B200000400004081B200000400004026
+:106C800081B200000400004081B200000400004016
+:106C900081B200000400004081B200000400004006
+:106CA00081B200000400004081B2000004000040F6
+:106CB00081B200000400004081B2000004000040E6
+:106CC00081B200000400004081B2000004000040D6
+:106CD00081B200000400004081B2000004000040C6
+:106CE00081B200000400004081B2000004000040B6
+:106CF00081B200000400004081B2000004000040A6
+:106D000081B200000400004081B200000400004095
+:106D100081B200000400004081B200000400004085
+:106D200081B200000400004081B200000400004075
+:106D300081B200000400004081B200000400004065
+:106D400081B200000400004081B200000400004055
+:106D500081B200000400004081B200000400004045
+:106D600081B200000400004081B200000400004035
+:106D700081B200000400004081B200000400004025
+:106D800081B200000400004081B200000400004015
+:106D900081B200000400004081B200000400004005
+:106DA00081B200000400004081B2000004000040F5
+:106DB00081B200000400004081B2000004000040E5
+:106DC00081B200000400004081B2000004000040D5
+:106DD00081B200000400004081B2000004000040C5
+:106DE00081B200000400004081B2000004000040B5
+:106DF00081B200000400004081B2000004000040A5
+:106E000081B200000400004081B200000400004094
+:106E100081B200000400004081B200000400004084
+:106E200081B200000400004081B200000400004074
+:106E300081B200000400004081B200000400004064
+:106E400081B200000400004081B200000400004054
+:106E500081B200000400004081B200000400004044
+:106E600081B200000400004081B200000400004034
+:106E700081B200000400004081B200000400004024
+:106E800081B200000400004081B200000400004014
+:106E900081B200000400004081B200000400004004
+:106EA00081B200000400004081B2000004000040F4
+:106EB00081B200000400004081B2000004000040E4
+:106EC00081B200000400004081B2000004000040D4
+:106ED00081B200000400004081B2000004000040C4
+:106EE00081B200000400004081B2000004000040B4
+:106EF00081B200000400004081B2000004000040A4
+:106F000081B200000400004081B200000400004093
+:106F100081B200000400004081B200000400004083
+:106F200081B200000400004081B200000400004073
+:106F300081B200000400004081B200000400004063
+:106F400081B200000400004081B200000400004053
+:106F500081B200000400004081B200000400004043
+:106F600081B200000400004081B200000400004033
+:106F700081B200000400004081B200000400004023
+:106F800081B200000400004081B200000400004013
+:106F900081B200000400004081B200000400004003
+:106FA00081B200000400004081B2000004000040F3
+:106FB00081B200000400004081B2000004000040E3
+:106FC00081B200000400004081B2000004000040D3
+:106FD00081B200000400004081B2000004000040C3
+:106FE00081B200000400004081B2000004000040B3
+:106FF00081B200000400004081B2000004000040A3
+:1070000081B200000400004081B200000400004092
+:1070100081B200000400004081B200000400004082
+:1070200081B200000400004081B200000400004072
+:1070300081B200000400004081B200000400004062
+:1070400081B200000400004081B200000400004052
+:1070500081B200000400004081B200000400004042
+:1070600081B200000400004081B200000400004032
+:1070700081B200000400004081B200000400004022
+:1070800081B200000400004081B200000400004012
+:1070900081B200000400004081B200000400004002
+:1070A00081B200000400004081B2000004000040F2
+:1070B00081B200000400004081B2000004000040E2
+:1070C00081B200000400004081B2000004000040D2
+:1070D00081B200000400004081B2000004000040C2
+:1070E00081B200000400004081B2000004000040B2
+:1070F00081B200000400004081B2000004000040A2
+:1071000081B200000400004081B200000400004091
+:1071100081B200000400004081B200000400004081
+:1071200081B200000400004081B200000400004071
+:1071300081B200000400004081B200000400004061
+:1071400081B200000400004081B200000400004051
+:1071500081B200000400004081B200000400004041
+:1071600081B200000400004081B200000400004031
+:1071700081B200000400004081B200000400004021
+:1071800081B200000400004081B200000400004011
+:1071900081B200000400004081B200000400004001
+:1071A00081B200000400004081B2000004000040F1
+:1071B00081B200000400004081B2000004000040E1
+:1071C00081B200000400004081B2000004000040D1
+:1071D00081B200000400004081B2000004000040C1
+:1071E00081B200000400004081B2000004000040B1
+:1071F00081B200000400004081B2000004000040A1
+:1072000081B200000400004081B200000400004090
+:1072100081B200000400004081B200000400004080
+:1072200081B200000400004081B200000400004070
+:1072300081B200000400004081B200000400004060
+:1072400081B200000400004081B200000400004050
+:1072500081B200000400004081B200000400004040
+:1072600081B200000400004081B200000400004030
+:1072700081B200000400004081B200000400004020
+:1072800081B200000400004081B200000400004010
+:1072900081B200000400004081B200000400004000
+:1072A00081B200000400004081B2000004000040F0
+:1072B00081B200000400004081B2000004000040E0
+:1072C00081B200000400004081B2000004000040D0
+:1072D00081B200000400004081B2000004000040C0
+:1072E00081B200000400004081B2000004000040B0
+:1072F00081B200000400004081B2000004000040A0
+:1073000081B200000400004081B20000040000408F
+:1073100081B200000400004081B20000040000407F
+:1073200081B200000400004081B20000040000406F
+:1073300081B200000400004081B20000040000405F
+:1073400081B200000400004081B20000040000404F
+:1073500081B200000400004081B20000040000403F
+:1073600081B200000400004081B20000040000402F
+:1073700081B200000400004081B20000040000401F
+:1073800081B200000400004081B20000040000400F
+:1073900081B200000400004081B2000004000040FF
+:1073A00081B200000400004081B2000004000040EF
+:1073B00081B200000400004081B2000004000040DF
+:1073C00081B200000400004081B2000004000040CF
+:1073D00081B200000400004081B2000004000040BF
+:1073E00081B200000400004081B2000004000040AF
+:1073F00081B200000400004081B20000040000409F
+:1074000081B200000400004081B20000040000408E
+:1074100081B200000400004081B20000040000407E
+:1074200081B200000400004081B20000040000406E
+:1074300081B200000400004081B20000040000405E
+:1074400081B200000400004081B20000040000404E
+:1074500081B200000400004081B20000040000403E
+:1074600081B200000400004081B20000040000402E
+:1074700081B200000400004081B20000040000401E
+:1074800081B200000400004081B20000040000400E
+:1074900081B200000400004081B2000004000040FE
+:1074A00081B200000400004081B2000004000040EE
+:1074B00081B200000400004081B2000004000040DE
+:1074C00081B200000400004081B2000004000040CE
+:1074D00081B200000400004081B2000004000040BE
+:1074E00081B200000400004081B2000004000040AE
+:1074F00081B200000400004081B20000040000409E
+:1075000081B200000400004081B20000040000408D
+:1075100081B200000400004081B20000040000407D
+:1075200081B200000400004081B20000040000406D
+:1075300081B200000400004081B20000040000405D
+:1075400081B200000400004081B20000040000404D
+:1075500081B200000400004081B20000040000403D
+:1075600081B200000400004081B20000040000402D
+:1075700081B200000400004081B20000040000401D
+:1075800081B200000400004081B20000040000400D
+:1075900081B200000400004081B2000004000040FD
+:1075A00081B200000400004081B2000004000040ED
+:1075B00081B200000400004081B2000004000040DD
+:1075C00081B200000400004081B2000004000040CD
+:1075D00081B200000400004081B2000004000040BD
+:1075E00081B200000400004081B2000004000040AD
+:1075F00081B200000400004081B20000040000409D
+:1076000081B200000400004081B20000040000408C
+:1076100081B200000400004081B20000040000407C
+:1076200081B200000400004081B20000040000406C
+:1076300081B200000400004081B20000040000405C
+:1076400081B200000400004081B20000040000404C
+:1076500081B200000400004081B20000040000403C
+:1076600081B200000400004081B20000040000402C
+:1076700081B200000400004081B20000040000401C
+:1076800081B200000400004081B20000040000400C
+:1076900081B200000400004081B2000004000040FC
+:1076A00081B200000400004081B2000004000040EC
+:1076B00081B200000400004081B2000004000040DC
+:1076C00081B200000400004081B2000004000040CC
+:1076D00081B200000400004081B2000004000040BC
+:1076E00081B200000400004081B2000004000040AC
+:1076F00081B200000400004081B20000040000409C
+:1077000081B200000400004081B20000040000408B
+:1077100081B200000400004081B20000040000407B
+:1077200081B200000400004081B20000040000406B
+:1077300081B200000400004081B20000040000405B
+:1077400081B200000400004081B20000040000404B
+:1077500081B200000400004081B20000040000403B
+:1077600081B200000400004081B20000040000402B
+:1077700081B200000400004081B20000040000401B
+:1077800081B200000400004081B20000040000400B
+:1077900081B200000400004081B2000004000040FB
+:1077A00081B200000400004081B2000004000040EB
+:1077B00081B200000400004081B2000004000040DB
+:1077C00081B200000400004081B2000004000040CB
+:1077D00081B200000400004081B2000004000040BB
+:1077E00081B200000400004081B2000004000040AB
+:1077F00081B200000400004081B20000040000409B
+:1078000081B200000400004081B20000040000408A
+:1078100081B200000400004081B20000040000407A
+:1078200081B200000400004081B20000040000406A
+:1078300081B200000400004081B20000040000405A
+:1078400081B200000400004081B20000040000404A
+:1078500081B200000400004081B20000040000403A
+:1078600081B200000400004081B20000040000402A
+:1078700081B200000400004081B20000040000401A
+:1078800081B200000400004081B20000040000400A
+:1078900081B200000400004081B2000004000040FA
+:1078A00081B200000400004081B2000004000040EA
+:1078B00081B200000400004081B2000004000040DA
+:1078C00081B200000400004081B2000004000040CA
+:1078D00081B200000400004081B2000004000040BA
+:1078E00081B200000400004081B2000004000040AA
+:1078F00081B200000400004081B20000040000409A
+:1079000081B200000400004081B200000400004089
+:1079100081B200000400004081B200000400004079
+:1079200081B200000400004081B200000400004069
+:1079300081B200000400004081B200000400004059
+:1079400081B200000400004081B200000400004049
+:1079500081B200000400004081B200000400004039
+:1079600081B200000400004081B200000400004029
+:1079700081B200000400004081B200000400004019
+:1079800081B200000400004081B200000400004009
+:1079900081B200000400004081B2000004000040F9
+:1079A00081B200000400004081B2000004000040E9
+:1079B00081B200000400004081B2000004000040D9
+:1079C00081B200000400004081B2000004000040C9
+:1079D00081B200000400004081B2000004000040B9
+:1079E00081B200000400004081B2000004000040A9
+:1079F00081B200000400004081B200000400004099
+:107A000081B200000400004081B200000400004088
+:107A100081B200000400004081B200000400004078
+:107A200081B200000400004081B200000400004068
+:107A300081B200000400004081B200000400004058
+:107A400081B200000400004081B200000400004048
+:107A500081B200000400004081B200000400004038
+:107A600081B200000400004081B200000400004028
+:107A700081B200000400004081B200000400004018
+:107A800081B200000400004081B200000400004008
+:107A900081B200000400004081B2000004000040F8
+:107AA00081B200000400004081B2000004000040E8
+:107AB00081B200000400004081B2000004000040D8
+:107AC00081B200000400004081B2000004000040C8
+:107AD00081B200000400004081B2000004000040B8
+:107AE00081B200000400004081B2000004000040A8
+:107AF00081B200000400004081B200000400004098
+:107B000081B200000400004081B200000400004087
+:107B100081B200000400004081B200000400004077
+:107B200081B200000400004081B200000400004067
+:107B300081B200000400004081B200000400004057
+:107B400081B200000400004081B200000400004047
+:107B500081B200000400004081B200000400004037
+:107B600081B200000400004081B200000400004027
+:107B700081B200000400004081B200000400004017
+:107B800081B200000400004081B200000400004007
+:107B900081B200000400004081B2000004000040F7
+:107BA00081B200000400004081B2000004000040E7
+:107BB00081B200000400004081B2000004000040D7
+:107BC00081B200000400004081B2000004000040C7
+:107BD00081B200000400004081B2000004000040B7
+:107BE00081B200000400004081B2000004000040A7
+:107BF00081B200000400004081B200000400004097
+:107C000081B200000400004081B200000400004086
+:107C100081B200000400004081B200000400004076
+:107C200081B200000400004081B200000400004066
+:107C300081B200000400004081B200000400004056
+:107C400081B200000400004081B200000400004046
+:107C500081B200000400004081B200000400004036
+:107C600081B200000400004081B200000400004026
+:107C700081B200000400004081B200000400004016
+:107C800081B200000400004081B200000400004006
+:107C900081B200000400004081B2000004000040F6
+:107CA00081B200000400004081B2000004000040E6
+:107CB00081B200000400004081B2000004000040D6
+:107CC00081B200000400004081B2000004000040C6
+:107CD00081B200000400004081B2000004000040B6
+:107CE00081B200000400004081B2000004000040A6
+:107CF00081B200000400004081B200000400004096
+:107D000081B200000400004081B200000400004085
+:107D100081B200000400004081B200000400004075
+:107D200081B200000400004081B200000400004065
+:107D300081B200000400004081B200000400004055
+:107D400081B200000400004081B200000400004045
+:107D500081B200000400004081B200000400004035
+:107D600081B200000400004081B200000400004025
+:107D700081B200000400004081B200000400004015
+:107D800081B200000400004081B200000400004005
+:107D900081B20000B69F00889AB00000B69F0088AC
+:107DA0009AB00000B69F00889AB00000B69F008885
+:107DB0009AB00000B69F00889AB0000000000088CA
+:107DC0009AB00100B69F414081320000B99F224025
+:107DD0007B6F0000B69F194081B20000000019417E
+:107DE0007BB30100000000A4C4B30100000000A1A7
+:107DF000C6B3010000002FA2C8B301000814004060
+:107E000049990100B09F004D9ACC0100C29F2640C5
+:107E1000813200000000004C49C10100C09FA24116
+:107E20009B500000C69F80808032000000005249B5
+:107E3000FD9301000000004AFD930100C99F00422C
+:107E4000CD9300000000514AFD930100000000495D
+:107E5000FD930100C99F0043CB93000000005040F8
+:107E600081B20100D99F004019990100000000F083
+:107E70009AB001000000004449D10100000040F028
+:107E800080B201000000414D80B20100D19F00404E
+:107E90001999010000004C4081B20100000000442B
+:107EA00049D10100000000F09AB001000000004D2F
+:107EB00010B10000000000E249B10100000000E341
+:107EC00043B10100000000E445B1010000000040A2
+:107ED0007BB301000000484F40B10100D99F004032
+:107EE00081B200000400004081B2000004000040A4
+:107EF00081B200000400004081B200000400004094
+:107F000081B200000400004081B200000400004083
+:107F100081B200000000804081B0010004000040F8
+:107F200081B200000400004081B200000400004063
+:107F300081B200000400004081B200000400004053
+:107F400081B200000400004081B200000400004043
+:107F500081B200000400004081B200000400004033
+:107F600081B200000400004081B200000400004023
+:107F700081B200000400004081B200000400004013
+:107F800081B200000400004081B200000400004003
+:107F900081B200006A84004081B20000319A004042
+:107FA00081B200000400004081B200004D9A004000
+:107FB00081B200000400004081B200000000804057
+:107FC00081B20100000000A810B1000004000040D0
+:107FD00081B200000400004081B2000004000040B3
+:107FE00081B200000400004081B2000004000040A3
+:107FF00081B200000400004081B200000400004093
+:1080000081B200000400004081B200000400004082
+:0480100081B2000039
+:00000001FF
diff --git a/firmware/slicoss/gbrcvucode.sys.ihex b/firmware/slicoss/gbrcvucode.sys.ihex
new file mode 100644
index 0000000..bc7a839
--- /dev/null
+++ b/firmware/slicoss/gbrcvucode.sys.ihex
@@ -0,0 +1,162 @@
+:10000000000200004775010004A01301001CB75B4B
+:10001000093000B65F01001C00000020183B783A50
+:10002000001CA27701001C071D017018AD7BF1FFB9
+:100030001CB37BA9AA1EB47B010C1CB57B29061C32
+:1000400000005064080C315A70040C315A80040CC2
+:10005000314E90040C314AA000092555C0040C31E2
+:1000600052B000E92455C004CCB3001C1CEB2D0198
+:10007000001C065652D408079D00001C7BB70200E6
+:1000800010A00F51540906565EC004A0307403003E
+:10009000AC30750300CD033A001C7BB702001C6036
+:1000A0008E5154092925750300808E5154098C30D6
+:1000B000910004471C01001CA00F5154090000646A
+:1000C0000004471C65C004471C7503006C30010028
+:1000D0001C4D3402001C7BB702001CA00F515409B8
+:1000E000C88337001C800100001C0000640004A0CD
+:1000F0000F505409000074C3047BFBF2001CCC3386
+:100100000D001CB47BFD031C800E505409E0FB0560
+:10011000001C0000AC0300B30F5154090000EC7048
+:10012000040000EC80040000AC93006176ADC304D1
+:10013000C08D515409E07B00C01FA0FDC50100CC5B
+:100140003305001CD403003C1CD4D31B001CC0D3BB
+:1001500052001C00007C13048E8E5254095B807E7A
+:100160001304000000001C0000940100A00F515473
+:1001700009A00F515409C003FC7F1CA001A001007D
+:100180000000A40100A00F515409C003FC031CF59A
+:100190007701001C267A02061CA00F515409B30FE8
+:1001A000515409B50202001CA00F5154097A7E0275
+:1001B000001CB50202001C530F525409AF0301008A
+:1001C0001C7A0E525409B50202001C000002001CE9
+:1001D000A03DAA11040000AC1104D4D352001CB5F8
+:1001E0003EB2010020FBFDFF1F802C8C0300B93ABA
+:1001F0009E0100753B02001CA71C010010DB83164A
+:10020000001CC71D21C104B93B8DC1048B2C01000A
+:100210001C6B2C35C1040000781100CB2C79C10473
+:10022000A00F515409A00F51540954D002001C4989
+:1002300025B10100AB2C81C104A71D750300CC338F
+:1002400009001CEB2D01001CEA2901001CA00F5124
+:100250005409AE0F515409A00F515409D407FC039F
+:100260001C993A02001CBB3802001C003800001C1C
+:100270000000FC0104DB3B7E001CC71D01001C26A6
+:100280007A16061C271D01001CB30F5154097A0E63
+:10029000525409530F5254097A0E525409530F52B3
+:1002A00054097A0E525409530F525409A00F515455
+:1002B000097A0602001C530F525409AF0301001CB7
+:1002C0007A0E525409530F5254097A0E525409535C
+:1002D0000F5254097A0E525409530F5254097A0E90
+:1002E000525409003D02001C0000581200CB2C01A2
+:1002F000001C753B02001CA71C010010A67BFD051D
+:100300001C000090C204A67BFD051C0000A8C204CE
+:10031000CB2F05001C602C00001CC71CE90200A0AC
+:100320000F515409530702001CC083F1321C000016
+:10033000600204467AE6051C7A0E525409C083F125
+:10034000321C000068020440FA15001C0000A802DC
+:1003500004467AE6051CA00F515409A00F51540918
+:10036000A00F515409A00F515409B37B01C01F7451
+:100370000E505409C0039C001C8000F802000000CD
+:10038000F802040000CC1205071D01001CD4D32B79
+:10039000001CD4D352001C80769D1304000000037F
+:1003A00000A67BB50310C79C00001C802C00001C1D
+:1003B00000007C0204000074C304AB2DF912050791
+:1003C0001DD5C2048B2D01001C692501001CA67BD4
+:1003D000B50310CB2F09001C602C00001C00006826
+:1003E0000300530F525409467AE6051C7A0E525404
+:1003F0000940FA15001C0000300304467AE6051C8B
+:10040000B50F515409A00F51540973EC4A0304600D
+:100410002C00001C0000480300C71C01001C000049
+:10042000481305071D01001CC0D722001C75569EED
+:100430001304602C00001CE71C650304E79C00000B
+:100440001CA67BB50310802C00001C0000180304C0
+:10045000000074C304B97B01001C0000ACC304CBD2
+:10046000AFFC071CCB2F01041CC79F80031C00009E
+:10047000ACC304CBAFFC071CCB2F0D041CC79F8063
+:10048000031C0000ACC304CBAF00F81DCB2F010050
+:100490001DA67BB5031CC79CACC3040000AC1305B0
+:1004A000071D01001CC01DFCD308279D040400A0EB
+:1004B000EE66D400FB75291404207B06001CC01CCA
+:1004C0003C04000000D0D308000020F400C0EFF28C
+:1004D000001C20257C140460B7F2030000002C15DA
+:1004E00000CCB3FC031CCC3305021C00002CC5045B
+:1004F00060B72E050400002C150400007CC404C065
+:100500001DB8F304000088C404079D00001C1B7480
+:100510001DF404A67B11041CA00F895409E07B0084
+:10052000FC1F397F02001C071DBDC304A67BCD0341
+:100530001C000088C404E01C00001C0000C403046C
+:10054000CBAF00F81DCB2F01101D0000CCC3040061
+:1005500000CC0304CBAF00F81DCB2F01181DC79FA3
+:10056000000B1C0000CCC304FB7501001C071D011F
+:10057000001CCCB3FC031CCC3301021C0000CCC318
+:1005800004A01C00001CA0EEC20304CBAFFC071C9F
+:10059000CB2F09041CFB7501001C0000CCC304CC4C
+:1005A000B3FC031CCC3301021C00002CC50400006A
+:1005B000983405CCB3FC031CCC3315021C479D7446
+:1005C000C4040000984400801D9C5404871DAD04A1
+:1005D00000CE7601001CEF76BDC404A477AD2409DB
+:1005E000E47601001CC47601001C0000B85404D756
+:1005F00076015018F67601001C000000301800004B
+:10060000000010CC3061C504EB2D01001CEA29016B
+:10061000001CC05901001CF57749C504E030FC04FA
+:1006200000004CD00400204C140500000008050018
+:10063000CCB3FC031CCC3309021CEB2DD5C404CC79
+:10064000B3FC031CCC3319021CEB2DD5C404CCB372
+:10065000FC031CCC330D021CEB2DD5C404CCB3FC25
+:10066000031CCC3311021CEB2DD5C404007B00808D
+:100670001CAE77610500000004C004D38B00FC1F92
+:10068000607A3C001C604CE00400C02F20051FE095
+:1006900030D004008025D00400B55BD10404692665
+:1006A00001001C6A2B01001C801D00001CA9256193
+:1006B0000500EE3000001CAF77210500B45F01405B
+:1006C00018079D645504B77601001C967601001C3E
+:1006D000471D01001CA433016018A42F0160186499
+:1006E000770160182477016018447701001C648842
+:1006F00003001CA43F01001CA43B01001C537B0011
+:10070000C01CD3CF1B001C534F02001CDACF00C00B
+:100710001FD5570F001CD3D337001CD4530F001C18
+:10072000E02900001CF5D5CC05000000B855047781
+:100730005601001C565301001C0000001018000058
+:1007400004C004F55501001C0000D0550477560183
+:10075000001C565301001C0000001018000004C0CB
+:1007600004CB2F011810CB2F011010CB2F01081034
+:10077000CB2F010810CB2F012010CB2F010010CB65
+:100780002F012810892571C20400000CC304000049
+:1007900074C304000074C304000074C30400007038
+:1007A000C20400000CC304000074C304000074C33E
+:1007B00004000074C304401C6CC004401C9CC004B2
+:1007C000A77775C3040000C4C004271DF1C004004E
+:1007D0000074C304000074C304000074C304000068
+:1007E00048C604000048C604000048C6040000488B
+:1007F000C604000048C604000048C604000048C6FD
+:1008000004000048C604000048C604000048C604AE
+:10081000000048C604000048C604000048C60400A2
+:100820000048C604000048C604000048C604000092
+:1008300048C604000048C604000048C6040000483A
+:10084000C604000048C604000048C604000048C6AC
+:1008500004000048C604000048C604000048C6045E
+:10086000000048C604000048C604000048C6040052
+:100870000048C604000048C604000048C604000042
+:1008800048C604000048C604000048C604000048EA
+:10089000C604000048C604000048C604000048C65C
+:1008A00004000048C604000048C604000048C6040E
+:1008B000000048C604000048C604000048C6040002
+:1008C0000048C604000048C604000048C6040000F2
+:1008D00048C604000048C604000048C6040000489A
+:1008E000C604000048C604000048C604000048C60C
+:1008F00004000048C604000048C604000048C604BE
+:10090000000048C604000048C604000048C60400B1
+:100910000048C604000048C604000048C6040000A1
+:1009200048C604000048C604000048C60400004849
+:10093000C604000048C604000048C604000048C6BB
+:1009400004000048C604000048C604000048C6046D
+:10095000000048C604000048C604000048C6040061
+:100960000048C604000048C604000048C604000051
+:1009700048C604000048C604000048C604000048F9
+:10098000C604000048C604000048C604000048C66B
+:1009900004000048C604000048C604000048C6041D
+:1009A000000048C604000048C604000048C6040011
+:1009B0000048C604000048C604000048C604000001
+:1009C00048C604000048C604000048C604000048A9
+:1009D000C604000048C604000048C604000048C61B
+:1009E00004000048C604000048C604000048C604CD
+:1009F000000048C604000048C604000048C60400C1
+:040A00000048C604E0
+:00000001FF
diff --git a/firmware/slicoss/oasisdbgdownload.sys.ihex b/firmware/slicoss/oasisdbgdownload.sys.ihex
new file mode 100644
index 0000000..18b376a
--- /dev/null
+++ b/firmware/slicoss/oasisdbgdownload.sys.ihex
@@ -0,0 +1,5124 @@
+:1000000002000000004000000000010000000000AD
+:10001000008000001500004081B200001B0000407D
+:1000200081B200002100004081B2000003000040C6
+:1000300081B20000000000A898B001000480A24036
+:10004000FD7F00000900A249DD7D00000000004C9A
+:1000500080B2010007000040D1B100000000004C58
+:1000600080B201000900A240757D000060000040E0
+:10007000619901000B00A8B17E3100000900004029
+:1000800081B2000000808F981831000010000098A5
+:1000900080E40100000041988094010000000040CD
+:1000A00081B201001000009880E401000E00409829
+:1000B000809400001100004081B200000000004068
+:1000C000A59901001900294081320000190014BCD3
+:1000D000803200000E0093BC8032000000005040CF
+:1000E00081B201000080004081B200001000004099
+:1000F000A59901001F002940813200001F0014BC97
+:1001000080320000120093BC80320000000050409A
+:1001100081B201000180004081B200002000004057
+:10012000A59901002500294081320000250014BC5A
+:1001300080320000140093BC8032000000000049AF
+:10014000DD810100120100408132010033010040D5
+:10015000813201002A0014BC80320000FE0013BC72
+:10016000803200005495004045990100FFFF004097
+:10017000E599010000002F4049B101000000004056
+:10018000E1B1010000000040FDB3010000000040AB
+:10019000FFB30100330018EE803200000000005071
+:1001A00089B001003200A24189500000990000404E
+:1001B000813201003094004043990100000000F8B2
+:1001C00020B10100000000FAE0B30100390098EE10
+:1001D00080320000000000FB80B001003B0080F393
+:1001E000DE33000000000047FD9301003E0083F372
+:1001F00080320000F00000F38088010001800040A0
+:100200002EDD0100009400404399010000000046EB
+:1002100043C10100000000FA24B101007C0018EE87
+:1002200080320000450095E880320000FFFF00E8C2
+:10023000808801007C0026408132000000000040E0
+:10024000D5990100000000F2ECB30100000000F8B5
+:10025000D6B1010008000040D5990100000000F06F
+:10026000D6B10100FF0000F8EE8B0100080100404C
+:10027000D5990100FF0000F0808C0100000000F71C
+:100280008194010000000040D6B10100FF0000F899
+:10029000808801003C000040D5990100FF0000F07B
+:1002A000D68D0100FFFF00F0F0DB010000000048E8
+:1002B00081E00100000000F8819401003C01004051
+:1002C000D599010000000040D6B10100FF0000F800
+:1002D000808801000000004881E00100000000F873
+:1002E000819401003C020040D599010000000040CB
+:1002F000D6B101002C000040D5990100000000F8A3
+:10030000D6B101001E0000F082F40100FF3F00F8AA
+:1003100080D80100640026408132000000000041C6
+:1003200081D00100FFFF004080D8010000000041A3
+:100330008094010000000040D8B10100680022FA5A
+:10034000803000000000004C81E00100010000400E
+:1003500080CC010000000040DEB10100000100403F
+:10036000D5990100100000FA80E40100000000F6B9
+:100370008194010000000040D6B10100000200405D
+:10038000D5990100100000FA80E40100000000F699
+:100390008194010000000040D6B101000600004039
+:1003A000D5990100100000FBD6E5010007000040D0
+:1003B000D5990100180000FBD6E501004800004077
+:1003C000D5990100100000FAD6E501005000004068
+:1003D000D5990100100000FBD6E50100030000FBE9
+:1003E0007A890100000000F0DCB101007C00004CC3
+:1003F000DD9100007C0095E88430000000002FE9CA
+:10040000FAB3010000000040D1B10100FF0000423A
+:10041000808801003400004080CE01007C00A640AE
+:1004200081320000850000408132010002802240BC
+:10043000803200007C00004081B200000000004FCC
+:1004400081B001008E0009F9813200008C0008F9AA
+:100450008132000098001FFDF93300008B009EFDE3
+:10046000813200000000004AF39301000000804840
+:10047000F3930100000000FDF7B301000000804984
+:10048000F3930100000000FC19B1010093000AF988
+:1004900081320000000040FB81B20100000041FDFC
+:1004A00081B20100000780F9F38F0100000742F9D3
+:1004B000F38F01009700A2FFF76F00000000434098
+:1004C00081B201000000A2FFFBEF0000000080FCF1
+:1004D000E1B101000000804081B0010000940040C3
+:1004E00047990100BB000040813201000000A24694
+:1004F000FD7F01000094004047990100CE000040BC
+:10050000813201000000A244FD7F01000094004000
+:100510004599010000000040F1B10100FF7F00405B
+:10052000F5990100FF7F0040F59901009A13004002
+:10053000F599010007000040F59901000100004015
+:10054000F599010000020040F59901000200004009
+:10055000F599010000020040F599010003010040F7
+:10056000F599010000000040F59901009A13004040
+:10057000F59901000B000040F59901008000004052
+:10058000F599010000000040F599010000000040CD
+:10059000F599010007000040F599010008000040AE
+:1005A000F5990100B0020040F599010000000040FB
+:1005B000F599010000000040F59901000229004072
+:1005C000F599010000000040F59901000067004026
+:1005D000F599010000000040F599010080000040FD
+:1005E000F599010000008040F599010000000045E8
+:1005F000FD83010000000046FD830100FF7F0040F5
+:1006000025990100C4000040813201000000A2448D
+:1006100080B2000000000045FD930100E2000040B0
+:10062000833001000000A2458032010000008046B6
+:10063000FD9301000010004083980100DD000040A0
+:100640002B3101000000A24688B0000000000041EC
+:1006500089B00100000000948CB00100FFFF00464B
+:1006600080880100A5A5A24080CE000000000048BF
+:100670008DF00100C90082418940000000008040E7
+:1006800089B0010000000044FD830100D400004057
+:10069000813201000000A24480B20000E2000008A4
+:1006A000833001000000A245803201000000804438
+:1006B000FD93010000300008839801008000004095
+:1006C0002B990100DB000040893001000000A246A8
+:1006D00080B20000FFFF009480880100A5A5A24021
+:1006E000804E01000000804389B001000384004176
+:1006F0002C990100DE00004081B200000388004117
+:100700002C990100000000208DB0010000009F9690
+:1007100080B20000DF00A2418D5000000000804048
+:1007200081B20100FF7F0040259901000000004CCC
+:1007300089E00100DD000044821401000000909473
+:100740008AB0000000000045F0B101001000004533
+:1007500088F401000000004489D00100DD0000445D
+:100760002B410100EC00084180320000ED000094B4
+:1007700024B100001000009424F501000000009452
+:10078000F0B10100F200A04489500000DD000044F7
+:100790002B41010000000094F0B10100EF00204463
+:1007A000895000001000004588F40100000000FAA4
+:1007B0008AB001000000A34289D00000F700A0FA2F
+:1007C0008A400000000000418BC00100F500A342F8
+:1007D00089500000FFFF0045888801001000004597
+:1007E0008AF40100FC0090448A40000000000041AF
+:1007F0008BC00100FFFF00458AA801000000805067
+:100800008BE00100FF7F0040259901007C00004043
+:100810002B9901000030004083980100DD000008A2
+:1008200083140100000000942AB101000080004000
+:10083000F99B0100DD0000FC19310100000040942B
+:1008400080B20100DD0000442B4101000000419412
+:1008500080B2010000000041F9C301000000004423
+:100860002BC1010004019F948032000002800040EF
+:1008700081B200001001005193B000001001004D42
+:1008800093B000001001004993B000000000004246
+:1008900093B001001001A24193500000000080407D
+:1008A00081B201000000104081B20100000011403F
+:1008B00081B201000000124081B20100000013402B
+:1008C00081B201000000144081B201000000154017
+:1008D00081B201000000164081B201000000174003
+:1008E00081B201000000184081B2010000001940EF
+:1008F00081B2010000001A4081B2010000001B40DB
+:1009000081B2010000001C4081B2010000001D40C6
+:1009100081B2010000001E4081B2010000001F40B2
+:1009200081B201000000704081B2010000007140FE
+:1009300081B201000000724081B2010000007340EA
+:1009400081B201000000744081B2010000007540D6
+:1009500081B201000000764081B2010000007740C2
+:1009600081B201000000784081B2010000007940AE
+:1009700081B2010000007A4081B2010000007B409A
+:1009800081B2010000007C4081B2010000007D4086
+:1009900081B2010000007E4081B2010000007F4072
+:1009A00081B201000000804081B2010000040040DB
+:1009B000A199010000000050A1D1010000000040F9
+:1009C0001BB001000000004019B001000000004011
+:1009D00017B001000000004015B001000000004009
+:1009E00013B001000000004011B001000000004001
+:1009F0000FB00100000000400DB0010000000040F9
+:100A00000BB001000000004009B0010000000040F0
+:100A100007B001000000004005B0010000000040E8
+:100A200003B001000000004001B001003B0120487C
+:100A3000A15100000000804081B201004701224B1B
+:100A4000747D00000000804081B201006000004B16
+:100A500060990100000000B17EB101004801A8408A
+:100A6000813200004501004081B200000500804055
+:100A700097980100180000AA9688010000008043A2
+:100A800097F00100070000AA96880100000080404E
+:100A900081B201000000005807900100D89F00407B
+:100AA00081B2000000000044A5B30100D80200405C
+:100AB00081320100F8020040813201000000005C38
+:100AC00007900100D89F0040BFB300005A0122CC1C
+:100AD000857F00000000005107900100D89F004072
+:100AE00081B200000000004049B10100AE0300CB1C
+:100AF000A3C90100D0140040A19B01000000002008
+:100B000046B1010000000048F1B10100000000D032
+:100B1000F1B10100000000CAF1B10100000000D5F0
+:100B2000E1B10100070000406199010020000020B0
+:100B300062DD01006301A84081320000000000CCAA
+:100B400085930100F802004081320100D01400407A
+:100B500043990100000000FABAB30100000000FA56
+:100B6000A4B30100000000F8BCB3010000142F4042
+:100B700081B00100000000E7A7B30100000000D829
+:100B8000A9B30100FF0000DD8188010002000040E0
+:100B900080F401007301004080C80100860100DD7F
+:100BA000813200000000004010B1000087010040C9
+:100BB00081B200008801004081B20000890100403C
+:100BC00081B200008A01004081B200008B01004028
+:100BD00081B200008D01004081B200008F01004011
+:100BE00081B200005001004081B20000B601004017
+:100BF00081B200005001004081B20000C4010040F9
+:100C000081B20000C501004081B2000082020040B4
+:100C100081B200008302004081B22800B802004087
+:100C200081B22800D49F004081B22800D59F0040A7
+:100C300081B22800D69F004081B22800D79F004093
+:100C400081B228007201004181C02800550151493C
+:100C5000FD9328005501524AFD932A00550155493C
+:100C6000FD832A005501564AFD832A0050019181D7
+:100C700080302A005501454081B22A0050019182FE
+:100C800080302A005501464081B22A000000004011
+:100C900089B02B0000002F4081B0010000140040FB
+:100CA00049990100B30122DEE16D00000000004C13
+:100CB00049C101000000004181C001009201A2442D
+:100CC000816C00000000004C49D101009A012240D3
+:100CD000E16D00009601A2418150000050010041E9
+:100CE000BFB3000000000042BFB301005001A00FDD
+:100CF000BD6F0000000000DEE1B101000000004413
+:100D000049C10100B50100401999010000004240AD
+:100D100081B20100000043FF85B00100000000DE49
+:100D200019B10100000042FF87B00100000043FF3D
+:100D3000E1B101000000004449C1010000002FFFA3
+:100D4000E1B10100081400A480CC0100AA012640F2
+:100D5000813200000000004185C00100A801A24CC2
+:100D600081500000B40122D281320000AF01224143
+:100D7000A56F00005001A2E081320000000000D207
+:100D8000C1B301000000005C8990010000004042F6
+:100D900080B201000000414380B20100000000F079
+:100DA0008894010055010044E0B10000B101004801
+:100DB00049C10000AF01005B89900000A89F00A01E
+:100DC0009EB000000000004083B00100001400400D
+:100DD000499901000000234081B00100BE0122DEDC
+:100DE000E16D00000000004C49C10100000000411D
+:100DF00081C00100B901A244816C00005001004390
+:100E0000BFB30000000000F818B10100000040F876
+:100E100080B20100000041F080B2010000000040FB
+:100E2000F1B1010000000040F1B1010055010040A6
+:100E3000E1B10000C601004091B000000000004197
+:100E400091B00100D0142E4049B1010005000040CE
+:100E5000A39B0100080000DD81F40100CB010040EC
+:100E600080C801000000004010B10000D101004026
+:100E700081B00000530100DEA1B30000E301004097
+:100E800081B20000E501004081B00000EB010040AC
+:100E900081B20000520100DFE1B10000000000D08B
+:100EA000BAB30100000000DEA1B10100020000D2CF
+:100EB000A5E70100000000D2C1B30100000000005E
+:100EC000F0B10100DB012244C1530000DA0184418A
+:100ED00081400000DE01004081320100000000D0AE
+:100EE00045B10100D5010041A1C10000DA02004076
+:100EF00081320100F802004081320100550100DD1D
+:100F0000A1B100000000004081B00100400000409D
+:100F1000A59B0100DA02004081320100400000D3AD
+:100F2000A7CB0100F80200E0A5B3000003000040D9
+:100F3000A39B0100530100DEA1B3000000000044A8
+:100F4000BFB30100000000DE819001005001A2BA91
+:100F500080040000600000DE61990100E801A8B192
+:100F60008030000052010040E0B10000000000D0DD
+:100F7000BAB301006B020040819801006002004D8D
+:100F80008330010000000044E1B301000000004490
+:100F9000E3B3010000000044E5B301000000004499
+:100FA000E9B3010000000044EBB30100000000447D
+:100FB000F5B3010000000044F7B301000000004455
+:100FC000F9B30100F90122408F6F00007802004060
+:100FD00081980100600200C7833001008002004058
+:100FE000819801006002004283300100000000E8A7
+:100FF000F1B10100000000E9F1B10100000000EAD8
+:10100000F1B10100000000EBF1B10100000000852A
+:10101000F0B10100000000ECF1B10100000000EDB2
+:10102000F1B10100000000B2F0B10100000000A920
+:10103000F0B10100000000ACF0B10100000000AB15
+:10104000F0B10100000000B8F0B10100000000B9EB
+:10105000F0B10100000000BAF0B10100000000BBD7
+:10106000F0B101000C02B8408130000000000040E7
+:10107000819001000E02B940813200000000004161
+:10108000819001001002BA4081320000000000424D
+:10109000819001001202BB40813200000000004339
+:1010A000819001001402BC40813200000000004425
+:1010B000819001001602BD40813200000000004511
+:1010C000819001001802BE408132000000000046FD
+:1010D000819001001A02BF408132000000000047E9
+:1010E000819001001C02C8408132000000000048CD
+:1010F000819001001E02C9408132000000000049B9
+:10110000819001002002CA40813200000000004AA4
+:10111000819001002202CB40813200000000004B90
+:10112000819001002402CC40813200000000004C7C
+:10113000819001002602CD40813200000000004D68
+:10114000819001002802CE40813200000000004E54
+:10115000819001002A02CF40813200000000004F40
+:10116000819001002C02F04081320000000000500C
+:10117000819001002E02F1408132000000000051F8
+:10118000819001003002F2408132000000000052E4
+:10119000819001003202F3408132000000000053D0
+:1011A000819001003402F4408132000000000054BC
+:1011B000819001003602F5408132000000000055A8
+:1011C000819001003802F640813200000000005694
+:1011D000819001003A02F740813200000000005780
+:1011E000819001003C02F84081320000000000586C
+:1011F000819001003E02F940813200000000005958
+:10120000819001004002FA40813200000000005A43
+:10121000819001004202FB40813200000000005B2F
+:10122000819001004402FC40813200000000005C1B
+:10123000819001004602FD40813200000000005D07
+:10124000819001004802FE40813200000000005EF3
+:10125000819001004A02FF40813200000000005FDF
+:101260008190010000000040F0B10100400000400A
+:10127000A59B0100D802004081320100F802004025
+:1012800081320100D0142E06A5B30100400000D326
+:10129000A7CB0100000000F0F1B10100000000F157
+:1012A000F1B10100000000F2F1B10100000000F412
+:1012B000F1B10100000000F5F1B10100000000FAF9
+:1012C000F1B10100000000FBF1B10100000000FCE1
+:1012D000F1B10100000000EBF1B10100000000EEEF
+:1012E000F1B10100000000EFF1B10100000000F3D6
+:1012F000F1B10100000000F6F1B10100000000FDB5
+:10130000F1B10100DB0100C7E1B100000000804045
+:1013100081B20100660200488032000000005140A6
+:101320001AB1010000004D4081B2010000004540AB
+:1013300081B201006302A241835000005F02494074
+:1013400081B20000000052401CB1010000004E407C
+:1013500081B201000000464081B201006802A24152
+:10136000835000005F024A4081B20000000000A0EC
+:101370009EB0010000000080D8B30100000000A171
+:10138000D0B30100000000A2D2B30100000000A40D
+:10139000D4B30100000000D0D6B30100000000D19A
+:1013A000DCB30100000000D2DEB3010000000088C1
+:1013B000DAB30100000000D48EB30100000000D3B6
+:1013C000E6B30100000000ACECB30100000000999E
+:1013D000FAB30100000000D5E0B30100000000D521
+:1013E000E2B30100000000D5E4B30100000000D525
+:1013F000E8B30100000000D5EAB30100000000D509
+:10140000F4B30100000000D5F6B30100000000D5E0
+:10141000F8B30100000000C7A9B101000000004FAF
+:1014200040B101008402004091B000000000004182
+:1014300091B0010007000040A39B0100080000DDFF
+:1014400081F401008802004080C8010000000040D3
+:1014500010B100008D02004081B2000098020040EF
+:1014600081B2000098020046A3B300009B02004036
+:1014700081B20000A102004081B200008F0223501F
+:10148000A56F000000000050A5B30100E802004273
+:10149000A5630100F802004081320100D0142D4004
+:1014A00049B10100000000D0BAB30100000000DE25
+:1014B000A1B10100000000F800B001009702224431
+:1014C000A553000094020041A1C10000550100DDB8
+:1014D000A1B10000E80200DEA1330100F8020040E3
+:1014E000813201005501004081B20000000000453A
+:1014F000BFB301005001A2D2777D0000000000D2EE
+:1015000061B10100000000DE63B101009E02A8404D
+:10151000813200005501004081B20000E802005411
+:10152000A5330100F802004081320100D0142D40A3
+:1015300049B10100000000F8D0B30100000000F83C
+:10154000D2B30100000000F8D4B30100000000F89D
+:10155000D6B30100000000F808B10100AC02004061
+:10156000819801006002004683300100550100406F
+:1015700081B20000000000A09EB00100000000E861
+:1015800043B10100000000E945B10100000000EA9C
+:1015900049B10100000000EBA1B101000000004FC3
+:1015A00040B101000400004081B20000040000408E
+:1015B00081B200000400004081B20000040000403D
+:1015C00081B200000400004081B20000040000402D
+:1015D00081B20000D0142E4049B101000500004046
+:1015E000A39B010000000040C1B30100080000DD22
+:1015F00081F40100BD02004010C90000C3020005D3
+:1016000081B000005001004081B20000CB02000513
+:1016100081B000005001004081B20000D0020044BF
+:10162000A5B30000D2020044A5B3000002000040B0
+:10163000A4E70100000000E081B10100FFFF00C14C
+:10164000F0890100C802224181500000C40200411B
+:10165000C1C30000DA02004081320100F8020040FC
+:10166000813201005501004081B2000002000040BB
+:10167000A4E70100000000E091B10100FFFF00C9F4
+:10168000F0890100C802224181500000CC020041D3
+:10169000C1C30000FFFF00DE85890100C80200C24F
+:1016A000E0B10000FFFF00DE95890100C80200CA1A
+:1016B000E0B100000400004081B2000004000040DE
+:1016C00081B200000400004081B20000040000402C
+:1016D00081B20000000000E7A7B30100000000D8BD
+:1016E000A9B301000000004049B10100AE0300CBE6
+:1016F000A3C901000000002046B10100000000D293
+:10170000F1B10100000000D3F1B10100000000D4EC
+:10171000F1B10100000000D0E1B10100000000D1F2
+:1017200061B101002000002062DD0100E202A8405A
+:1017300081320000000080CC85930100040000404D
+:1017400081B200000400004081B2000004000040AB
+:1017500081B20000000000E7A7B30100000000D83C
+:10176000A9B301000000004049B10100AE0300CB65
+:10177000A3C901000000002046B10100000000D212
+:10178000F1B10100000000D0F1B10100000000D370
+:10179000F1B10100E10200D4E1B100000400004019
+:1017A00081B200000400004081B20000040000404B
+:1017B00081B200000400004081B20000040000403B
+:1017C00081B200000400004081B20000040000402B
+:1017D00081B200000000A2CC85FF00000000005094
+:1017E00081B00100FA02A24181500000F902A2F288
+:1017F00080300000000080CC8583010004000040A0
+:1018000081B200000400004081B2000004000040EA
+:1018100081B20000B5030040A199010000002F41F2
+:1018200099B301000A032244816C0000120322488C
+:10183000816C00000C03224C816C000016032250C6
+:10184000816C000017032254816C00001903225898
+:10185000816C00001E03225C816C0000500100407E
+:1018600081B20000000000BC09B00100DD9F00CA89
+:1018700001B000000000004003B001000000004182
+:10188000F38301001003A242056C00000000004138
+:1018900005B00100DD9F22CA07140000DD9F00454E
+:1018A000F3930000DD9F2043956F0000DD9F80CA09
+:1018B00005300000DD9F220180300000DD9F00CB5D
+:1018C000DB910000570100BCABB30000000000BC7E
+:1018D000B1B30100DD9F00CACFB30000FF0000CA12
+:1018E00081880100DD9FA240747D000060002040DF
+:1018F000609901001B03A8B1823000001A03004068
+:1019000081B20000DD9F00CA79B3000004000040EE
+:1019100081B200000000004E81B0010000000043D1
+:10192000CB8301000000454081B201002203A241A7
+:10193000815000000000454081B201000000454098
+:1019400081B201002D039182823000000000008AE4
+:1019500080B00100AE9F004080CE01002B03A64066
+:10196000813200002D03564081B20000B5030040D3
+:10197000A19901000000005307900100B503004049
+:10198000A19901000000005207900100D89F00417A
+:101990008BB300000000004E81B001000000004247
+:1019A000CD8301000000464081B201003203A24114
+:1019B000815000000000464081B201000000464016
+:1019C00081B201003D039181823000000000008956
+:1019D00080B00100AE9F004080CE01003B03A640D6
+:1019E000813200003D03554081B20000B503004044
+:1019F000A19901000000005207900100B5030040CA
+:101A0000A19901000000005307900100D89F0041F8
+:101A10008BB30000B0030040A1990100C4142F4013
+:101A200099B301005701004049B100000400004093
+:101A300081B200000400004081B2000004000040B8
+:101A400081B200000400004081B2000004000040A8
+:101A500081B200003094004043990100009000F8EA
+:101A600080980100100000F288E40100200000408E
+:101A7000209901000000005F239101004D031F9198
+:101A80008032000030000040209901000000005F1B
+:101A90002391010050031F9180320000400000405C
+:101AA000209901000000005F2391010053031F9162
+:101AB000803200000000005F2391010055031F9158
+:101AC000803200000008804020990100040000409E
+:101AD00081B200000000004784B001000000A2486D
+:101AE000848400000000005F61B101000000005C20
+:101AF0008F9001000000004762B101005A03A84026
+:101B000081320000000800478EC801005803005CC5
+:101B10008F800000E00000406199010058152D40C1
+:101B20008DB00100D0142DF088B00100000000FA43
+:101B30008AB001000000004581B0010007000045A7
+:101B400082880100000000438BF001000000004883
+:101B500083E0010000000046829401002000004163
+:101B600060990100000000418DC001007403225FF4
+:101B70008D6C00006503A2418150000063030040AA
+:101B800081B2000008000040859801000000004478
+:101B900082B001000000004186B00100001C00433B
+:101BA00086D801000000A641855001007003004165
+:101BB00083E000006E030040813201000000004815
+:101BC00085E00100D0142F468494010020000042DB
+:101BD00060990100C0000040619901000000804050
+:101BE00081B201000400004081B200000400004006
+:101BF00081B200000400004081B2000004000040F7
+:101C000081B200000400004081B2000004000040E6
+:101C100081B20000070000458088010000000043F9
+:101C20008BF0010000040040839801008503A0416F
+:101C3000815000008303004182E8000000008041E1
+:101C40008EC001000400004081B20000040000408A
+:101C500081B200000000004049B1010000020040D4
+:101C600083980100003900404599010000000040C0
+:101C7000F1B101008B03A24183500000000000403D
+:101C800085B001000B00004482F401001A1500A683
+:101C900086B0010070150040459901000008004021
+:101CA000F199010000000042F0B10100003900404C
+:101CB000E1990100040000406199010070150043A2
+:101CC000629901009503A840813200009703225ACF
+:101CD000737D00007A000040619901009803A8B16B
+:101CE0007E3100000008004284C801009003A24138
+:101CF000835000000000804081B2010004000040D9
+:101D000081B200000400004081B2000004000040E5
+:101D100081B2000058152D408DB00100D0142DF077
+:101D200088B00100000000408FB00100010000A653
+:101D300090B0010000F800489098010000000045B4
+:101D400093B00100000000FA8AB001008003004057
+:101D500081320100020000A680B00100AC032240E5
+:101D6000826C0000B0030040813201005803004043
+:101D700081320100000000418DC00100B503225FE7
+:101D80008D6C0000A703A24193500000A503004002
+:101D900081B20000FF070047848801000000A640D0
+:101DA00081B20000ED9F0047803001000002004733
+:101DB0008EC80100B003004081B200000000004462
+:101DC00050B30100BB032018896C0000040000A67A
+:101DD00084B00100200000A686B001000010004081
+:101DE000559B0100BE03004081B20000040000A624
+:101DF00084B00100200000A686B001000010004061
+:101E0000559B01000000004250D30100000000A8D3
+:101E10004FB30100000000434ED301006E030040A9
+:101E2000813201008203004280300100B003004093
+:101E300081320100C70322A78F6C00005A030040C3
+:101E400081320100C403004081B2000000008040E4
+:101E500081B20100C8142EBB85B00100000000EE65
+:101E600082B0010000000041E0B10100000000A2CA
+:101E7000A0B3010000000044A5B30100E19F00CA27
+:101E8000A7330100E09F004081B200000400004041
+:101E900081B20000D6032242756F0000D8032241B0
+:101EA000756F0000DA031ECA81320000DC031FCA0E
+:101EB00081320000000000CAC9B10100DD9F00426C
+:101EC00075B30000000000CACDB10100DD9F0041E4
+:101ED00075B30000000000CACFB10100DD9F0040D3
+:101EE00075B30000008100A6C6B10100DD9F00406F
+:101EF00081B20000008000A6C6B10100DD9F004055
+:101F000075B300000400004081B2000004000040EE
+:101F100081B200004501004D933001004501004EA3
+:101F2000933001004501004C93300100EC9F0040CC
+:101F300081320100DD9F004081B2000004000040BA
+:101F400081B200000400004081B2000004000040A3
+:101F500081B200005495004045990100DD9F00CA00
+:101F6000E5B100000400004081B200000400004020
+:101F700081B200000400004081B200000400004073
+:101F800081B200000400004081B200000400004063
+:101F900081B20000CC142E4087B00100000000A2E6
+:101FA000A0B3010015040043B2330100000068DA59
+:101FB00089B001007C0000408B98010000000050B7
+:101FC00089F001000000004189D0010003000044B5
+:101FD000888C01000000004487C00100000000411F
+:101FE000A5B3010015040043B2330100000000DA7C
+:101FF000F1B101000000004487C001000000004171
+:10200000A5C301000B042244895000000B042244A4
+:102010008B500000FA03A250A56F000000000042A0
+:10202000A5E30100000000CAA7B30100E19F00BBC7
+:1020300085300100CC142ED295C30100AE0300CB35
+:10204000A3C901000000002042B1010000000050BF
+:1020500081B001000804A241815000000704A2F2EF
+:1020600080300000FA030040A5B3000000000042E9
+:10207000A5E30100000000CAA7B30100E19F00BB77
+:1020800085300100E09F004081B200000400004064
+:1020900081B20000000000D92BB101000010004007
+:1020A00083980100DB00004081320100FFFF0094B3
+:1020B000B48B01000000804081B20100000000D913
+:1020C0002BB101000010004083980100DD000040AA
+:1020D0008132010000008094B4B30100040000408C
+:1020E00081B200000400004081B200000400004002
+:1020F00081B200000400004081B2000004000040F2
+:1021000081B200000400004081B2000004000040E1
+:1021100081B20000000000D92BB10100000000DAFC
+:1021200027B1010006C000402D990100DE000040EB
+:1021300081320100001000408398010002C4004178
+:102140002C990100DE000040813201000040004077
+:1021500083980100058200412C990100DE000040B7
+:10216000813201002D048094803200000C01004077
+:10217000813201002804004081B200000480004048
+:102180002D990100DE0000408132010000008040F6
+:1021900081B201003104001210C9000000488040E3
+:1021A0000B980100C04980400B980100804B804093
+:1021B0000B980100404D80400B980100004F80407B
+:1021C0000B980100C05080400B9801008052804065
+:1021D0000B980100405480400B980100005680404D
+:1021E0000B980100C05780400B9801008059804037
+:1021F0000B980100405B80400B980100005D80401F
+:102200000B980100C05E80400B9801008060804008
+:102210000B980100406280400B98010000648040F0
+:102220000B980100C06580400B98010080678040DA
+:102230000B980100406980400B980100006B8040C2
+:102240000B980100C06C80400B980100806E8040AC
+:102250000B980100407080400B9801000072804094
+:102260000B980100C07380400B980100807580407E
+:102270000B980100407780400B9801000079804066
+:102280000B980100C07A80400B980100807C804050
+:102290000B980100407E80400B9801000400004034
+:1022A00081B200000400004081B200000400004040
+:1022B00081B200000400004081B200000400004030
+:1022C00081B200000400004081B200000400004020
+:1022D00081B200005904001210C900000080804043
+:1022E0000B980100008280400B9801000084804020
+:1022F0000B980100008680400B9801000088804008
+:102300000B980100008A80400B980100008C8040EF
+:102310000B980100008E80400B98010000908040D7
+:102320000B980100009280400B98010000948040BF
+:102330000B980100009680400B98010000988040A7
+:102340000B980100009A80400B980100009C80408F
+:102350000B980100009E80400B98010000A0804077
+:102360000B98010000A280400B98010000A480405F
+:102370000B98010000A680400B98010000A8804047
+:102380000B98010000AA80400B98010000AC80402F
+:102390000B98010000AE80400B98010000B0804017
+:1023A0000B98010000B280400B98010000B48040FF
+:1023B0000B98010000B680400B98010000B88040E7
+:1023C0000B98010000BA80400B98010000BC8040CF
+:1023D0000B98010000BE80400B98010004000040F3
+:1023E00081B200000400004081B2000004000040FF
+:1023F00081B200000400004081B2000004000040EF
+:1024000081B200000400004081B2000004000040DE
+:1024100081B200000000004087B1010000000040D0
+:1024200097B001000000004B80B10100010000A640
+:1024300082B1010082048541974000000000004005
+:1024400097B101000000004097B001000000004B70
+:1024500090B10100010000A692B1010087048541FE
+:10246000974000000000804081B20100040000405D
+:1024700081B200000400004081B20000040000406E
+:1024800081B200000400004081B20000040000405E
+:1024900081B2000090046040813200000000001210
+:1024A00080B10100FFFFF04B82890100930460407E
+:1024B000813200000000004A80B101000100F0A656
+:1024C00082B101009604604081320000FFFF004BA2
+:1024D000848901000000F0C224B001000000004A1D
+:1024E00090B10100FFFF804B928901000000004A7B
+:1024F00090B10100010080A692B10100FFFF004BE6
+:1025000094890100000080CA94B0010004000040DA
+:1025100081B200001000004E98E4010000000007A6
+:10252000989401000000004399E001000000008041
+:10253000989401000000004999E001000000004C5F
+:1025400088940100A604474081320000AD04222097
+:10255000876F000000001F4081B2010000000040B2
+:1025600081B201000000004081B201000000004083
+:1025700081B20100A604004081B2000000001F806B
+:1025800086B30100B004224F777D0000C0040040F4
+:10259000813201000000004F61B1010000000044E1
+:1025A00062B10100B104A84081320000B804224B9E
+:1025B000897C0000B604224F777D0000C0040040F3
+:1025C000813201000000004562B10100B604A8405C
+:1025D000813200000000802087B301000400004029
+:1025E00081B200000400004081B2000004000040FD
+:1025F00081B200000400004081B2000004000040ED
+:1026000081B200000400004081B2000004000040DC
+:1026100081B200000000005099B001006F0000403E
+:1026200061990100C104A8B152330000C604224BD5
+:10263000537F00006F00004061990100C404A8B1FD
+:102640007E310000C104A241995000000000A24F59
+:1026500077FD00000400004081B20000040000404B
+:1026600081B200000400004081B20000040000407C
+:1026700081B200000400004081B20000040000406C
+:1026800081B200000400004081B20000040000405C
+:1026900081B200001000004E98E401000000000725
+:1026A000989401000000004399E0010000000080C0
+:1026B000989401000000004899E00100D604004C05
+:1026C00088940000D604474081320000DD042220B7
+:1026D000876F000000001F4081B201000000004031
+:1026E00081B201000000004081B201000000004002
+:1026F00081B20100D604004081B2000000001F80BA
+:1027000086B30100E004224F777D0000F004004012
+:10271000813201000000004F61B10100000000445F
+:1027200062B10100E104A84081320000E804224ABD
+:10273000897C0000E604224F777D0000F004004011
+:10274000813201000000004562B10100E604A840AA
+:10275000813200000000802087B3010004000040A7
+:1027600081B200000400004081B20000040000407B
+:1027700081B200000400004081B20000040000406B
+:1027800081B200000400004081B20000040000405B
+:1027900081B200000000005099B001006F000040BD
+:1027A00061990100F104A8B152330000F604224AF5
+:1027B000537F00006F00004061990100F404A8B14C
+:1027C0007E310000F104A241995000000000A24FA8
+:1027D00077FD00000400004081B2000004000040CA
+:1027E00081B200000400004081B2000004000040FB
+:1027F00081B200000400004081B2000004000040EB
+:1028000081B200000400004081B2000004000040DA
+:1028100081B200007B000040619901000005A8B171
+:102820008030000012051D4080320000401800403A
+:1028300049990100040000A686B001001005A240DD
+:1028400086040000DE9F9C4080320000FFFF0040B5
+:1028500088880100300500504731010036000044EF
+:1028600088CC01000C055240813200003005004048
+:10287000473101000000004189B0010030050048E7
+:10288000473101003005000547310100DE9F00405F
+:1028900081B200002800004047991B00DE9F0041E4
+:1028A000E1C11A007818004049991B00190522540B
+:1028B000817C1A001405424081321A00008200B364
+:1028C00067DF1B0000001A4493931B0028000040A0
+:1028D00047991B00300500418930010027050F4052
+:1028E00080320000FF7F00408888010030050050E2
+:1028F000473101003600004488CC01001F05994093
+:10290000803200000000004889D0010021059B4072
+:10291000803200000000004C89D0010023051F44D4
+:1029200080320000300500404731010000000041C6
+:1029300089B00100300500484731010030050058DA
+:1029400047310100DE9F004081B2000010000040CE
+:1029500086F401006F00004386880100DE9F260593
+:10296000473100003005004189300100DE9F004002
+:1029700081B200000400004081B200000400004069
+:1029800081B200000400004081B200000400004059
+:1029900081B200000000A044F041010000000040AE
+:1029A00081B2010000008041E1C10100040000404B
+:1029B00081B200000400004081B200000400004029
+:1029C00081B200000400004081B200000400004019
+:1029D00081B200004C010007913001000000A240CC
+:1029E00097EC00000000800591C001000400004049
+:1029F00081B200000400004081B2000004000040E9
+:102A000081B200000400004081B2000004000040D8
+:102A100081B200004C010040813201004405A24017
+:102A2000976C00003A000040B39B01004505004050
+:102A300081B2000040000040B39B01001004004040
+:102A400081320100000000DAF5B1010010040042FB
+:102A5000B3430100000000DAF5B1010010040042A8
+:102A6000B3430100000000DAF5B101004E00004060
+:102A7000B39B01001004004081320100080000DA1D
+:102A8000F7F5010050000040919801000000004758
+:102A90008FB0010010040048B2330100000000DADA
+:102AA000F7B10100080000DAF7F50100000000426C
+:102AB00091C001005005A2418F500000000000416C
+:102AC00045D1010008000040B39B01001004004004
+:102AD00081320100000000DAFDB101000A0000406F
+:102AE000B39B01001004004081320100000000DAB5
+:102AF000FDB101001A000040B39B0100100400402A
+:102B000081320100000000DAFDB101001800004030
+:102B1000B39B01001004004081320100000000DA84
+:102B2000FDB1010038050040813201001E0000485F
+:102B3000B2CB01001004004081320100000000DA35
+:102B400091C0010000000048B2CB01001004004019
+:102B50008132010000006EDA8FB0010002000048EF
+:102B6000B2CB01001004004081320100000000DA05
+:102B7000FDB1010004000048B2CB01001004004088
+:102B800081320100000080DAFDB101000400004044
+:102B900081B200007A052245FD7F0000401600400A
+:102BA00045990100DB9F00404931010008000048C1
+:102BB000B2CB010015040040813201007805A2402B
+:102BC0008F6C00007D052220B56F00007A05004063
+:102BD00081B20000DA9F004081321F007D05224053
+:102BE000976C1E007A05424081321E000000004FA3
+:102BF00067931F00DF9F005867931E005416004024
+:102C000047991F00000000FEF4B11F0000000040C3
+:102C100081B21F00000000FEF4B10100000000407E
+:102C200081B20100000000FEF4B10100000000408C
+:102C300081B20100000000FEF4B10100000000407C
+:102C400081B20100000000FEF4B10100000000406C
+:102C500081B20100000000FEF4B10100000000405C
+:102C600081B20100000000FEF4B101004600004006
+:102C7000B39B01001004004081320100080000DA1B
+:102C8000F7F501004800004095980100000000445D
+:102C900097B001001004004AB2330100000000DACE
+:102CA000F7B10100080000DAF7F50100000000426A
+:102CB00095C001009005A241975000002A000040F5
+:102CC000A59B010040160040A19B0100000000CA26
+:102CD000A7B30100E19F00BB85300100E09F0040E9
+:102CE00081B200000400004081B2000004000040F6
+:102CF00081B200000400004081B2000004000040E6
+:102D000081B200000400004081B2000004000040D5
+:102D100081B20000B8052245FD7F0000E0150040AB
+:102D2000479901001A0000A280DC01000000005059
+:102D3000F1B10100F0150040F1990100000000CA56
+:102D4000F1B101000700004061990100200000403E
+:102D500062DD0100A705A8BBE131000000000050C2
+:102D600083B00100AA05A24183500000A905A2F288
+:102D7000823000004C01004081320100B005A240C9
+:102D8000976C00003A000040B39B0100B105004081
+:102D900081B2000040000040B39B0100F0150040EC
+:102DA000439901001004004081320100B805A2FAE5
+:102DB000B46F000010040042B3430100B805A2FA4A
+:102DC000B46F000010040042B3430100BB0522FAB7
+:102DD000B46F0000B8054240813220000000004E70
+:102DE00067932100DF9F0058679320004016004042
+:102DF00045992100DB9F004049312100F615004034
+:102E0000439921005C1600404599210000006EFAAC
+:102E10008EB021000000004081B20100000000FEE1
+:102E2000F4B101000000004081B20100000000FE8A
+:102E3000F4B101000000004081B20100000000F088
+:102E4000B4B30100C905A2408F6C0000FC1520201E
+:102E5000E1B10100CE05004081B22400DA9F0040BC
+:102E600081322500CE052240976C2400CB054240DC
+:102E7000813224000000004F67932500DF9F005837
+:102E80006793240038050040813225001E00004869
+:102E9000B2CB25001004004081320100D30522503E
+:102EA000B56F00000000005091C001000000004814
+:102EB000B2CB0100F615004043990100200400F256
+:102EC000B433010002000048B2CB0100F815004005
+:102ED00043990100200400F2B433010004000048CB
+:102EE000B2CB0100FA15004043990100200400F222
+:102EF000B433010008000048B2CB0100FC150040CB
+:102F000043990100000000F094B00100FFFF004A67
+:102F1000B48B010020040040813201000A00004807
+:102F2000B2CB01001000004AB4F7010020040040B9
+:102F30008132010038050040813201001E00004846
+:102F4000B2CB01001004004081320100E90522509B
+:102F5000B56F0000EA050050B5B300000000004066
+:102F6000B5B301002004004081320100E09F004021
+:102F700081B200000400004081B200000400004063
+:102F800081B200000400004081B200000400004053
+:102F900081B2000000160040479901003031004026
+:102FA000F599010032330040F599010034350040B5
+:102FB000F599010036370040F59901003839004095
+:102FC000F599010041420040F59901004344004059
+:102FD000F599010045460040F59901004748004039
+:102FE000F5990100494A0040F59901002C00004084
+:102FF0008398010000000040F7B10100FC05A241E8
+:103000008350000080162E0683B00100360000FBBE
+:10301000F6A90100FF05A2418350000022000040F4
+:1030200083980100000000FBF6B101000206A241F6
+:10303000835000006200004095980100DC9F004032
+:103040008132010000162D0683B001008016004079
+:10305000459901005C0000FBF6A901000806A241A9
+:103060008350000000000070F9B101000000007101
+:10307000F9B1010000000072F9B101000000007315
+:10308000F9B1010000000074F9B1010054000040E2
+:1030900095980100DC9F0040813201000000007023
+:1030A00095B0010014062270B56F00000000804149
+:1030B00097B001000000804097B00100040000407C
+:1030C00081B200000400004081B200000400004012
+:1030D00081B20000456700A6E0B201000123007044
+:1030E000E19A0100CDEF00A6E2B2010089AB0071C8
+:1030F000E39A0100BA9800A6E4B20100FEDC007277
+:10310000E59A0100321000A6E6B201007654007381
+:10311000E79A0100D2C300A6E8B20100F0E1007412
+:10312000E99A01008016004A44C901000000000726
+:1031300081B001000000004A80D001000000004082
+:10314000F7B101002506A241815000008016004A17
+:1031500044C90100FC162A47E7B501000300004AF4
+:10316000E8E50100000000408DB001005003004080
+:10317000A399010080163D468DE00100000000503B
+:1031800089B00100000000FC40B0010000000041D7
+:10319000A3C101002E06A24189500000000000706A
+:1031A000EBB2010000000071EDB2010000000072FE
+:1031B000EFB2010000000073F1B2010000000074E2
+:1031C000F3B201000000004083B001000F00004195
+:1031D0008088010050030040A2C901004B06A050A6
+:1031E000836C00000D00004098C801000000004FF3
+:1031F000998401005003004CA2C901000000002086
+:1032000086B001000800004098C801000000004F8F
+:10321000998401005003004CA2C901000000002065
+:1032200086A401000200004098C801000000004F81
+:10323000998401005003004CA2C901000000002045
+:1032400086A4010050030040A2C901000000004311
+:1032500040A401000100002088E401000000005F9C
+:1032600041F0010000000044409401000500007599
+:1032700089E401001B00007585F401000000004492
+:10328000849401005506A353836C0000000000766F
+:1032900089B00100000000778984010000000076F9
+:1032A0008BB00100000000208BA40100000000781A
+:1032B0008B840100640600458894000027000041CB
+:1032C00080CE01005A06AA4081320000000000763C
+:1032D00089B001000000007789A40100640600782D
+:1032E00089A400003B00004180CE01005706AA409F
+:1032F000813200000000007689B0010000000077F4
+:1033000089840100000000768BB001000000007885
+:103310008B840100000000458894010000000077C4
+:103320008BB00100000000788B840100640600452A
+:10333000889400000000004484C00100000000796F
+:1033400085C001000000002084C001006B06A3536B
+:10335000836C0000825A00A684C001009979004263
+:1033600084C801007806004081B2000027000041B7
+:1033700080CE01007006AA4081320000D96E00A6FE
+:1033800084C00100A1EB004284C80100780600401F
+:1033900081B200003B00004180CE01007506AA40CA
+:1033A000813200001B8F00A684C00100DCBC0042FB
+:1033B00084C801007806004081B2000062CA00A6FD
+:1033C00084C00100D6C1004284C8010078060040D4
+:1033D00081B2000000000078F3B201000000007725
+:1033E000F1B201001E00007689E4010002000076BF
+:1033F000EFF6010000000044EE96010000000075A9
+:10340000EDB2010000000042EAB2010000000041FC
+:1034100083C001004F00004180CE010037062A40E2
+:103420008132000000000075E1C20100000000765A
+:10343000E3C2010000000077E5C20100000000784F
+:10344000E7C2010000000079E9C201002B068141BA
+:103450008D4000000000804081B201000400004067
+:1034600081B200000400004081B20000040000406E
+:1034700081B200000400004081B20000040000405E
+:1034800081B200000400004081B20000040000404E
+:1034900081B2000000000050FD9301004016004082
+:1034A00045990100DB9F00404931010008000048B8
+:1034B000B2CB01001504004081320100B906224060
+:1034C0008F6C0000DA9F004081320100B906A240F3
+:1034D000976C00005E160040439901007C1620F6B0
+:1034E000E0B101000000004031B301009D06224F11
+:1034F0008F7C000000000051FD9301009F062240D8
+:103500008F7C0000A3060054FD930000A106224218
+:103510008F7C000000000052FD930100A3062241B1
+:103520008F7C000000000053FD930100B70622517C
+:10353000FD7F000038050040813201000C0000488A
+:10354000B2CB01001004004081320100B206A2405B
+:10355000B56F00001E000048B2CB01001004004807
+:1035600096300100000000DA97C001000400004B13
+:10357000B2CB010010040040813201000E0000486F
+:10358000B2CB010020040040813201000C00004851
+:10359000B2CB010000000030B5B3010020040040B0
+:1035A000813201000E000048B2CB0100100400403F
+:1035B00081320100B6062240B56F0000BA06005401
+:1035C000FD93000000000051FD8301001C0000FE7F
+:1035D0007FD90100BA06A6408132000000000055E4
+:1035E000FD9301000000804081B201000400004012
+:1035F00081B200000400004081B2000004000040DD
+:1036000081B200000400004081B2000004000040CC
+:1036100081B20000E79F004081320100C406225CB5
+:103620001F7C0000E39F00881CB00000E99F005C45
+:103630001F00010000002E0548B1010000000040FD
+:10364000E1B1010004002D0348B10100000000F0C9
+:103650003CB001002800001402C801000000000175
+:1036600034B0010000002D0532B001002200000539
+:103670000AC801001000000348C90100000000F85A
+:1036800018B00100000000F804B00100000000F8CC
+:103690000EB001000C0000A40CC80100EA9F00401D
+:1036A000813201000000004023B001000A0722011E
+:1036B0008032000000003C4423E0010000002EA402
+:1036C00080B001000000001048C10100D906A30726
+:1036D000026C0000DA0668011AB0000000006807FA
+:1036E0001AB001000000000D02D00100000000052A
+:1036F000F0B101000000000CF0B101000000000278
+:10370000E0B101000000000D0AC00100EC062240FB
+:10371000036C0000E6062242236C0000000000411A
+:1037200023C001000000004761B10100200000A497
+:1037300062DD01002307284081320000E3060040DB
+:1037400081B200000000001080C0010000000047AE
+:1037500061B101000000004062B10100E806A8402C
+:1037600023300000E39F00881CB0000023070040C6
+:1037700081B200000000001080C00100000000477E
+:1037800061B101000000004062B10100EE06A840F6
+:1037900023300000E39F00881CB0000022000019C5
+:1037A00048C9010000002D1448C101000F0000F2BB
+:1037B0003A880100000000423BE001000E000014C6
+:1037C00002C801000000001D02C00100FA06231A11
+:1037D000025000000000004603C001002307000162
+:1037E00034C000000C002D1D48C10100F00000F2A3
+:1037F000308801000000004231F001000000001498
+:1038000002B001000000001D02C00100000000180D
+:1038100002C001000207221A025000002307000123
+:1038200034C000002200001948C9010002002D1414
+:1038300048C10100000000F614B001000000001DA6
+:1038400014D001000000001814D001000000001E78
+:1038500024B001001200001710C801002307001A4D
+:1038600010C0000000003C4423E00100000000A460
+:1038700086B0010000002E1048C101000F07A312FE
+:103880000E6C0000100760071AB000000000601204
+:103890001AB001000000680D16940100FFFF000B34
+:1038A00016D8010000000008F0B101000000000C73
+:1038B000F0B1010000000002E0B1010000000010C2
+:1038C00086C001000000004661B1010020000043F5
+:1038D00062DD01001707A85C1F1000004007220DE1
+:1038E000145000004007220D245000000000000D7D
+:1038F00010C001001E072242236C00002307004174
+:1039000023C000000000004661B10100400000102B
+:1039100062DD01001F07A85C1F000000E39F008814
+:103920001CB000000000004023B001003F07A20DC2
+:103930000E5000002E0722461F7C000000000046AB
+:103940001F8001003080001042C901002C0722F2C4
+:10395000640600000000004761B101004000001053
+:1039600062DD01002907A84081320000E39F008842
+:103970001CB0000020800003469901000000005F99
+:10398000E191010000002D0648B10100000000F89F
+:1039900018B00100000000F804B0010033071FF068
+:1039A0000E300000D306004C0DC0000000002E5F5A
+:1039B0000F800100D3062307146C000030000010B4
+:1039C00048C9010024000040F199010000000003F3
+:1039D000F0B1010000000000F0B10100000000168D
+:1039E000F0B101002400000000C801000000004701
+:1039F00061B10100200000A462DD01003C07A8467F
+:103A00001F100000D30600030CB00000D306000D09
+:103A100018C000005F07A2441F7C000000000019CE
+:103A20000AB001002200000548C901000A002D1457
+:103A300048C1010002002040E5B10100040020401F
+:103A4000E5B101000D002D1D48C10100090000F382
+:103A5000388801000D002050E7B1010004002D401E
+:103A60003FB00100000000F432B00100040020402B
+:103A7000E1B101002200000548C9010000002D1439
+:103A800048C101000200001D94F401000000004044
+:103A900091B001005207A0FC9040000000000041DE
+:103AA00091C001005007A24195500000000000A401
+:103AB00096B0010004002E0548B101000000004846
+:103AC000F0B101000000004B48B1010000000018F7
+:103AD00048C101000200001894F4010000002D18F4
+:103AE00090B001005C07A0FC904000000000004185
+:103AF00091C001005A07A241955000000000004803
+:103B0000E0B1010010002040E5B1010004002D05E6
+:103B100048B10100000000F880B02D00000000F066
+:103B200016B02D002200000548C92D000000001429
+:103B300048C12D00640743303D072C000000009E63
+:103B400085B02D0000001B413DC32D000400204224
+:103B5000ECB12D000000001E82B0010002002E1DFD
+:103B600082C001000000661882C00100000000420F
+:103B700080C001006E07A0418044000000000041A9
+:103B800081C001001000004092F401000A002E30B4
+:103B900081840100720790409240000000000041C3
+:103BA00093C001000000662093A401000000001DE6
+:103BB00048C1010004002019E8B101000000001E06
+:103BC00016C001007807A01916440000000000414B
+:103BD00017C001000D002F1E32C001007D07A2405A
+:103BE000156C00007C07A01C16400000000000417E
+:103BF00017C00100000063F33894010010000005B5
+:103C000048C9010004002E1E98B001000000601A8F
+:103C100098C001000C002040E1B101008B07224652
+:103C20001F7C0000000000461F8001003080001053
+:103C300042C90100890722F2640600000000004723
+:103C400061B101004000001062DD01008607A8405C
+:103C500081320000E39F00881CB000002080000338
+:103C6000469901000000005FE191010030800010E2
+:103C700044C901001200001AF0C901000000001739
+:103C8000F0B1010010000005E0C901003000001093
+:103C900080C801000000004461B101002000004024
+:103CA00062DD01009107A840813200009B07225C81
+:103CB0001F7C000000003C4423E0010000002D10A8
+:103CC00048C101009B0722F2640600000000004684
+:103CD00061B101004000001062DD01009807A840BA
+:103CE00081320000E39F00881CB00000EB9F005C65
+:103CF0001F00010020002F0548B101000000000B4B
+:103D0000E4B101000000005017F00100A10790F29B
+:103D1000164000000000004117C0010000006620AE
+:103D200017A40100100000142AC801000000001DA3
+:103D30002AC00100000000502BE00100000000F24A
+:103D40002A9401003080001042C90100AC0722F221
+:103D5000640600000000004461B101004000001052
+:103D600062DD0100A907A84081320000E39F0088BE
+:103D70001CB000000080001710DC0100C9072240C1
+:103D8000156C0000B407A2441F7C00000000004432
+:103D90001F900100B307229F136C000002000088EF
+:103DA0001CCC0100E49F004081B2000000000041F3
+:103DB0003FC30100E69F004081320100B707A241E6
+:103DC000877C00000000001E3EC00100C9072240A1
+:103DD000156C0000BA07201E146C00000000000AD9
+:103DE0003CB00100E59F001E24300100BF072208FF
+:103DF0002E3000000000005211C001000000001A27
+:103E000010C001002307004017B00000E49F0088A5
+:103E10001CB00000E59F004081320100BC07A208F1
+:103E20002E300000808000A604B001000600004093
+:103E300087980100008000034499010004002204D7
+:103E4000E0310000E89F001F8C30010000000040BE
+:103E50000FB00100E29F005C1F9000000080000393
+:103E60004499010004002204E0310000E69F004074
+:103E700081320100CE07A241877C0000CF07001EDF
+:103E80003EC000000000001F8CB001000000004098
+:103E900005B00100E89F00400F300100E29F005C88
+:103EA0001F9000000400004081B2000004000040A8
+:103EB00081B200000400004081B200000400004014
+:103EC00081B200000400004081B200000400004004
+:103ED00081B200000400004081B2000004000040F4
+:103EE00081B200000400004081B2000004000040E4
+:103EF00081B200000400004081B2000004000040D4
+:103F000081B200000400004081B2000004000040C3
+:103F100081B200000400004081B2000004000040B3
+:103F200081B200000400004081B2000004000040A3
+:103F300081B200000400004081B200000400004093
+:103F400081B200000400004081B200000400004083
+:103F500081B200000400004081B200000400004073
+:103F600081B200000400004081B200000400004063
+:103F700081B200000400004081B200000400004053
+:103F800081B200000400004081B200000400004043
+:103F900081B200000400004081B200000400004033
+:103FA00081B200000400004081B200000400004023
+:103FB00081B200000400004081B200000400004013
+:103FC00081B200000400004081B20000F70700BC8D
+:103FD00080B200000380004081B2000003800040F6
+:103FE00081B200000380004081B2000003800040E5
+:103FF00081B200000380004081B2000003800040D5
+:1040000081B200000380004081B2000003800040C4
+:1040100081B200003180004081B200003480004055
+:1040200081B200003580004081B2000004000040F1
+:1040300081B200001B80818080320000E787A240AF
+:10404000916F00000000004C90B301005C952EA21F
+:1040500080B00100FF000080F489010090952AC81B
+:10406000E5B10100000000A1F0B101000000004036
+:10407000F0B10100000000A4F0B10100000000D088
+:10408000F0B10100000000D1F0B10100000000D249
+:10409000F0B101000000004CF0B10100000000D4BC
+:1040A000F0B10100000000D3F0B10100000000EE0B
+:1040B000F0B101000000004EF0B10100000000402E
+:1040C00044B1010018801181983000000000514077
+:1040D00081B201001A801182983000000000524025
+:1040E00081B20100E7870048FD930000B60300405D
+:1040F000A19901002380A242FD7F00002080008062
+:1041000080320000228011818230000022805140E4
+:1041100081B2000022801182823000002280524051
+:1041200081B200002C800048FD93000027800080B1
+:10413000803200002680A253077C0000000051530B
+:10414000079001002A800052079000002980A252A7
+:10415000077C00000000525207900100000000534D
+:104160000790010000000048FD9301000000004698
+:10417000F39301005C952EA252B30100FF00008072
+:10418000F48901000000004CE4B10100000000A926
+:1041900045B101003080004C80B200000000454075
+:1041A00081B201000000554081B20100C682054085
+:1041B00049B10000C682054049B100000000054039
+:1041C00049B101004C010040813201000000004B68
+:1041D000DEB2010000000040FD9301000000004835
+:1041E000FD830100020000409B9B0100000000A530
+:1041F0009CB30100480300408132010058952044DF
+:10420000E0B101000494004043990100000000F275
+:1042100024B10100000C00EE968801000000004A65
+:1042200097F001004480A243976C00000000004218
+:10423000FD93010000C000A636B10100D01400407B
+:104240004799010005000040F59901000038004041
+:10425000F599010000060040F599010003000040B7
+:10426000F599010005100040F59901000209004090
+:10427000F599010004000040F59901006003004039
+:10428000813201008803004081320100A003004018
+:1042900081320100B982004081320100B1820040C8
+:1042A0008132010060952040E1B10100709520400D
+:1042B000E1B1010000000049DD9101000000004073
+:1042C00091B30100000000407BB30100A0980040C2
+:1042D000813201000000004085B301005C95204060
+:1042E000E1B101003C8200408132010090060040B3
+:1042F000813201000000005F2F810100A281004097
+:1043000081320100A5980040813201000000454043
+:1043100081B201000000554081B2010001830040DC
+:1043200081B200000400004081B20000040000409F
+:1043300081B200000400004081B20000040000408F
+:1043400081B200000400004081B20000040000407F
+:1043500081B200002800004047990100C682004158
+:10436000E1C1000078180040499901001905225464
+:10437000817C00006C80424081320000008200B4E9
+:1043800069DF010000001A449393010028000040F7
+:10439000479901001805004081B200000400004068
+:1043A00081B200000400004081B20000040000401F
+:1043B00081B200000400004081B20000040000400F
+:1043C00081B200000400004081B2000004000040FF
+:1043D00081B2000055820040813201007D80224080
+:1043E000976C00007A804240813200000000004F4C
+:1043F00069930100438100586993000054160040FE
+:1044000047990100000000FEF4B101008005004062
+:1044100081B2000080804240813200000000004EE6
+:1044200069930100438100586993000040160040E1
+:10443000459901004005004049310100F615004052
+:10444000439901005C1600404599010000006EFA96
+:104450008EB00100C105004081B2000004000040A0
+:1044600081B200000400004081B20000040000405E
+:1044700081B200000400004081B20000040000404E
+:1044800081B200000400004081B20000040000403E
+:1044900081B200009680004081B200005582004049
+:1044A0008132010096802240976C00009380424048
+:1044B000813200000000004F6993010043810058E1
+:1044C0006993000038050040813201001E00004859
+:1044D000B2CB0100D005004081B2000004000040D2
+:1044E00081B200000400004081B2000004000040DE
+:1044F00081B200000400004081B2000004000040CE
+:1045000081B200000400004081B2000004000040BD
+:1045100081B200008302004081B20000B802004076
+:1045200081B20000D49F004081B20000D59F0040BE
+:1045300081B20000D69F004081B20000D79F0040AA
+:1045400081B200007201004181C000005501514953
+:10455000FD9300005501524AFD9300005501554955
+:10456000FD8300005501564AFD83000050019181F2
+:10457000803000005501454081B200005001918219
+:10458000803000005501464081B20000000000402C
+:1045900089B00100000000F880B00100000000F0C8
+:1045A00016B001002200000548C9010000000014F7
+:1045B00048C10100B48043303D0700000000009E68
+:1045C00085B0010000001B413DC3010004002042F2
+:1045D000ECB101000000A240916F0100000000401A
+:1045E00049B10100AE0300CBA3C9010000000020C7
+:1045F00046B10100C480A240E16D0000000000D27D
+:10460000F1B10100000000D3F1B10100000000424F
+:10461000F0B101000000004561B101002000002060
+:1046200062DD01000000A8D0E1B10000C1800040BF
+:1046300081B20000000000A898B001000480004092
+:104640008BB30000B1030040A1990100C980A242D0
+:10465000976F000000000045A1C1010000000000AC
+:1046600080B001000000A2048094000080153F4249
+:1046700097E301000000004049B101000000600321
+:10468000029401000000004007B00100040000CBCC
+:1046900099CB0100000000CCF3830100D380A2423B
+:1046A000976F0000000000CBF3930100AE0300CB36
+:1046B000A3C901000000002044B101000000004433
+:1046C000F1B1010000000000F0B1010000000004A1
+:1046D000F0B10100000000A1E0B1010005000040C0
+:1046E000619901002000002062DD0100DA80A8400D
+:1046F00081320000F9020020423101000000A24195
+:10470000056C0100000080CBDB9101000000194125
+:104710008BB301006000004061990100E080A8B106
+:104720008C3300006000004061990100E280A8B174
+:1047300094330000E88014C681320000180000C6DF
+:1047400083F401002283224F83040000C4800040D0
+:1047500081B20000FF0100C681880100000000C690
+:1047600097A30100C4801F5C975300006D821EC692
+:1047700081320000F2802248FD7F0000F280225842
+:10478000816C0000F2802248816C0000C000004073
+:1047900084CC0100F2809F428032000022830040DE
+:1047A00081B20000C480A2C68F060000C4801EC66D
+:1047B0008132000000002F4381F00100F6800040AC
+:1047C00010C900004481004081B200007E81004099
+:1047D00081B20000398200CA63B3000075810040D5
+:1047E00081B200005581004D83B000006081004E11
+:1047F00061B100004C81004085B000005581004C43
+:1048000083B000002E81004085B00000F881004098
+:1048100049B1000086810040C1B10000F481004030
+:1048200081B200004C81004085B00000F0030040E0
+:1048300049B10000228300CA9BB300009081004070
+:10484000C1B1000094810040C1B100009B810040D3
+:10485000C1B100009C810040C1B100009D810040B9
+:10486000C1B100009E810040C1B100009F810040A5
+:1048700081B000009F81004181B000002D82004086
+:1048800081B20000AE8200BBABB300003A8200CA26
+:10489000CFB30000C803004049B10000E803004066
+:1048A00081B20000C480004081B200002283004039
+:1048B00081B20000E003004081B20000228300CA00
+:1048C00077B300005681004D83B000005E81004E3A
+:1048D00061B100004C8100BB85B000005681004CE6
+:1048E00083B000004C8100BB85B000002E8100BB6E
+:1048F00085B000002081004081B20000228300CA00
+:104900004DB300007005004049B10000A005004013
+:1049100049B10000268122428F6F00002881224188
+:104920008F6F00002A811ECA813200002C811FCAAD
+:1049300081320000000000CAC9B101002283004298
+:104940008FB30000000000CACDB1010022830041F6
+:104950008FB30000000000CACFB1010022830040E5
+:104960008FB30000008100A6C6B101002283004081
+:1049700081B20000008000A6C6B101002283004081
+:104980008FB30000781800404999010010002F9C57
+:1049900089B00100468100403933010018002F9B87
+:1049A00089B00100468100403733010000002F9A92
+:1049B00089B00100468100403533010008002F997D
+:1049C00089B001004681004033330100008000AE11
+:1049D00047C90100C480A240E16D00008000004092
+:1049E000F1990100000000CAF1B10100000000428D
+:1049F000F0B1010040180040E199010000000045BD
+:104A000061B10100200000AE63DD0100418128405A
+:104A1000813200003E81004081B20000418142406D
+:104A2000813200000000005C6993010022831A4477
+:104A3000939300004481424081320000438100583A
+:104A40006993000000000044F0D101000000A44080
+:104A500081B200004B81A240E16D000000000044E3
+:104A600045D1010000008040E1B10100000080411B
+:104A7000E1D101004C81375C61310000000000424F
+:104A800062B1010052812840813200004D81225CD8
+:104A9000777D0000C480174081B200004D81004046
+:104AA00081B20000000000CA63B101005281A84039
+:104AB000813200002283174081B2000057810040FC
+:104AC00081B00000578100BB81B0000000000041B0
+:104AD00060B10100C480A241767D0000000000406A
+:104AE00062B101005981A84081320000000000CA73
+:104AF00063B1010022832840813200005B810040C5
+:104B000081B200005095004047990100618100BBCF
+:104B100087B0000050952F4087B00100658122408A
+:104B2000957F0000C480A240E16D0000C480224057
+:104B3000956F0000228360409583000002002DF0F5
+:104B400084B00100C4802240856C0000C480A24073
+:104B5000857C0000C480A24E777D000069813640CC
+:104B6000813200000000004262B101006A81A84069
+:104B7000813200000000004362B101006C81A84056
+:104B800081320000000000CA63B101006E81A840BC
+:104B9000813200000000164081B201007481224180
+:104BA00043510000000800CA95CB01006881004114
+:104BB00085C0000022830040E1B100007781A2425D
+:104BC000676F00000000004167B301007781424039
+:104BD000813200000000004065B301000000004089
+:104BE0009383010000001ACA6997010022832640BE
+:104BF000813200007C8142408132000022831A44CD
+:104C000093930000C4802043956F0000228380CAE4
+:104C10006733000022832240656F0000C480A248F1
+:104C2000DB7D00002283006FDB91000085000040E7
+:104C30008132010035802240803200002283004012
+:104C400081B2000000000058959301000000005F51
+:104C5000959301008C81A244216F00000000005F49
+:104C6000958301000000005E95930100000000574D
+:104C700095930100000000CAC3B101008F81225B3F
+:104C8000957F00000000004BFD930100228300404F
+:104C900081B200001BFD00CA959B01000D0100CAF6
+:104CA000C53101000000005F95830100228300CA26
+:104CB000C5B10000DF6F00CA959B010000000055E0
+:104CC00095930100000000CAC7B101002283225F52
+:104CD000957F00000D010040813201000000005F5F
+:104CE00095830100228300CAC7B10000228300CA55
+:104CF000C9B10000228300CACBB10000228300CAE0
+:104D0000CDB10000228300CACFB1000000002E42C6
+:104D100081E001009814004048C90100228300CAC4
+:104D2000E1B100000000004009B10100200000A630
+:104D300082B00100A481A25E0B7D000000800041D2
+:104D400008990100A681A25E0B7D0000208000A6CC
+:104D500008B10100A8819F8582300000000000306A
+:104D600083840100DD812230836C0000A781A24F83
+:104D70000B7D00000000004121B30100028000A66D
+:104D800082B0010028820040813201001000004101
+:104D900084E40100038000A682B001002882004064
+:104DA00081320100F0FF00418688010000000043CD
+:104DB000849401000F0000A686B0010010C40043D7
+:104DC00086980100BD81A243846C0000000000436E
+:104DD00021B30100200000A682B001001C000041A8
+:104DE00082DC0100BA81A25E0B7D0000040000415C
+:104DF00008990100CF81004081B20000410100A666
+:104E000086B00100500C004386980100C281A24385
+:104E1000846C00000000004121B30100CF810040FC
+:104E200081B20000410100A686B00100600C004381
+:104E300086980100CF81A243846C000000000042EC
+:104E400021B30100188000A682B001002882004032
+:104E500081320100FFFF004182880100007700419C
+:104E6000828C010001020041829801002000004173
+:104E700082DC01001800004182DC0100CD81A25ECD
+:104E80000B7D00000000004108B10100200000A6D9
+:104E900082B00100D081A25E0B7D00004013004172
+:104EA00008990100D8812243216F0000200000A64C
+:104EB00082B001001200004182DC0100D581A25EB7
+:104EC0000B7D00000004004108990100F3810040BF
+:104ED00081B20000200000A682B00100190000414C
+:104EE00082DC0100DA81A25E0B7D000000A000419F
+:104EF00008990100F381004081B2000000000044E5
+:104F000021B301000000004083B001000000005FF9
+:104F1000839001000000005E8390010000000057B4
+:104F20008390010000000041C2B101000C0100406B
+:104F3000813201000000005F838001000000004119
+:104F4000C2B101000C01004081320100200000A626
+:104F500082B001000400004182DC01002000004119
+:104F600008990100200000A682B001001100004154
+:104F700082DC0100EC81A25E0B7D0000010000419B
+:104F800008990100200000A682B00100EF81A25E16
+:104F90000B7D00004013004108990100010000A6AC
+:104FA00082B00100400000412E99010000008040C5
+:104FB00081B20100200000A680B00100000000CAFC
+:104FC00081940100F681A25E0B7D000022830040E7
+:104FD00008B10000C8142EBB85B00100F981A25EA3
+:104FE0000B7D00000000004087B0010008822243D2
+:104FF000216F000017822244216F0000118000A65B
+:1050000082B0010028820040813201001F82224AC2
+:10501000837C000000000040879001000382224D45
+:10502000837C000000000041879001000582224F30
+:10503000837C000000000043879001000782224E1D
+:10504000837C000000000042879001001F82004026
+:1050500081B20000018000A682B0010028820040D9
+:1050600081320100018000A682B001002882004048
+:10507000813201001F822242837C00000000004038
+:10508000879001001C8000A682B0010028820040A9
+:105090008132010012822245837C00000000004121
+:1050A0008790010014822244837C000000000043AA
+:1050B0008790010016822243837C0000000000429A
+:1050C000879001001F82004081B20000018000A68D
+:1050D00082B001002882004081320100018000A6D8
+:1050E00082B0010028820040813201001F822242EA
+:1050F000837C000000000040879001000000004316
+:10510000879001000000004187900100008000A608
+:1051100082B0010028820040813201002382224BAC
+:10512000837C0000000000408780010000000043F5
+:10513000E0B10100FF7F00A2A08B0100000000444D
+:10514000A5B30100B88000CAA73301004181004027
+:1051500081B200002000004182DC01002982A25EB1
+:105160000B7D00000000004108B101002B829F85EB
+:10517000823000000000804081B20100308214F7CC
+:10518000813000003082A249FD7F0000000000480D
+:10519000FD930100338215F8811400003382A24A86
+:1051A000FD7F000000000048FD9301003582A2C889
+:1051B000813200004000004080DC0100001000400F
+:1051C00080DC010000000040EFB301003782424064
+:1051D000F13300004381004068970000228300BB48
+:1051E0006BB30000228300BBB1B3000022830040F8
+:1051F00081B20000000300408198010000000040DF
+:1052000018B101008000004083980100001900409F
+:10521000459901000000424081B20100000043FFB7
+:10522000F1B10100000000FFF1B1010000000041F8
+:1052300081C001000000004018B101004082A2417D
+:1052400083500000001600404599010000190040FD
+:10525000439901000000004743C1010000000040E5
+:1052600083B00100000000F380B001000000005B8B
+:1052700081D001000000004180D00100000000400A
+:10528000F6B101000000005B43C1010000000041D5
+:1052900083C001004A82A254836C000000000040D9
+:1052A000F7B101000000004183C001005182A20655
+:1052B000836C00000000804081B2010000160040B5
+:1052C0004399010080162E0683B00100360000FBD2
+:1052D000F6A901005782A24183500000220000403D
+:1052E00083980100000000FBF6B101005A82A24140
+:1052F000835000006200004095980100DC9F004050
+:105300008132010000162D0683B001008016004096
+:10531000459901005C0000FBF6A901006082A241F2
+:105320008350000000000070F9B10100000000711E
+:10533000F9B1010000000072F9B101000000007332
+:10534000F9B1010000000074F9B1010054000040FF
+:1053500095980100DC9F0040813201000000007040
+:1053600095B001006C822270B56F00000000804192
+:1053700097B001000000804097B00100C480A242B5
+:10538000976F0000B6030040A199010000002F4272
+:1053900099B3010078822244816C00008082224807
+:1053A000816C00007A82224C816C00008582225040
+:1053B000816C000086822254816C00008882225811
+:1053C000816C00008D82225C816C000050010040E5
+:1053D00081B20000000000BC09B00100228300CAB5
+:1053E00001B000000000004003B0010000000041D7
+:1053F000F38301007E82A242056C000000000041A0
+:1054000005B00100228322CA07140000228300464F
+:10541000F393000022832043956F0000228380CA0B
+:10542000053000002283220180300000C480A248A1
+:10543000DB7D0000228300CBDB910000570100BC24
+:10544000ABB30000000000BCB1B30100228300CA6E
+:10545000CFB30000FF0000CA818801002283A24070
+:10546000747D000060002040609901008A82A8B12C
+:10547000823000008982004081B20000228300CA8D
+:1054800079B300000000004E81B00100000000432D
+:10549000CB8301000000454081B201009082A2410F
+:1054A000815000000000454081B2010000004540ED
+:1054B00081B201009B829182823000000000008A4C
+:1054C00080B00100AE9F004080CE01009982A640CE
+:1054D000813200009B82564081B20000B60300403A
+:1054E000A19901000000005307900100B60300409D
+:1054F000A19901000000005207900100D89F0041CF
+:105500008BB300000000004E81B00100000000429B
+:10551000CD8301000000464081B20100A082A2417B
+:10552000815000000000464081B20100000046406A
+:1055300081B20100AB8291818230000000000089BD
+:1055400080B00100AE9F004080CE0100A982A6403D
+:1055500081320000AB82554081B20000B6030040AA
+:10556000A19901000000005207900100B60300401D
+:10557000A19901000000005307900100D89F00414D
+:105580008BB30000B1030040A1990100C4142F4067
+:1055900099B301005701004049B10000A0942E4387
+:1055A00097B0010000000040F1B10100B282A241B9
+:1055B0009750000050952040E1B10100AC942E437B
+:1055C00097B0010000000040F1B10100B682A24195
+:1055D000975000000000804081B20100AE030040FF
+:1055E000A39901000000004081B001006015004057
+:1055F000859801000800004040E4010000000059C7
+:10560000419401000000005041E001000000004210
+:10561000409401000000005741900100000000414B
+:1056200081C001000000A342816C01000000004124
+:10563000A3C10100BC82A042816C0000BC8200506A
+:1056400085C000000183A241017D0000CF82225865
+:10565000737D00007800004061990100C782A8B105
+:105660009C300000300038459DE001000400A25F3E
+:105670001F7C00000400225E1F7C000000C000A60A
+:105680001EA401000100000E10C90000CF8233C427
+:1056900081300000D282A1AD9D200000C68213405F
+:1056A00081B200000000134E5A83010030003845DB
+:1056B0009DE001000400A25F1F7C00000400A25EC8
+:1056C0001F7C00000400A240056C0000DD8222ABBC
+:1056D00080040000DB82A240017D0000DD82225FA9
+:1056E000577D00001288005F1FB40000DD82225E3B
+:1056F000577D00008088005F1FB40000E3822254C1
+:10570000737D00007400004061990100DD82A8B142
+:10571000003000000000005F1FB40100F784A25FAA
+:10572000017C00009587004081B20000E582A25F05
+:1057300059270000E782A25C737D0000EE82A25E22
+:10574000737D0000FA82225C737D0000FB8237408B
+:10575000813200007C00004061990100E882A8B11C
+:10576000363000007C00004061990100EA82A8B157
+:10577000003000001F000000028801003785175F1D
+:105780001FB40000FB823440813200007E000040E4
+:1057900061990100EF82A8B112300000F782522116
+:1057A00013040000000014412FC301000000005F3B
+:1057B0001FB40100FF3F0009008C010000000043FE
+:1057C00001F001004F83003413840000FF3F1409EF
+:1057D000008C01000000005F1FB40100C48300437F
+:1057E00001F000000000004081B20100FB82334064
+:1057F000813200000400A24E5A7F00000700004ED4
+:1058000080E401000039004080C801000400A2408B
+:10581000066C0000C682134E5A930000E787A24828
+:10582000FD7F0000058302E681320000068383E5E8
+:10583000813200008E82004297B300009E820042B7
+:1058400097B3000009832246F37F00000C83A24136
+:10585000F37F0000C6800042973301000C8322448E
+:10586000F37F00000C83A241F37F0000C680006F2D
+:10587000973301000400A2AC803200001183225A49
+:10588000737D00007A000040619901000E83A8B189
+:105890007E310000010000CF11C900001783A24033
+:1058A000937F000017832244937F0000138342A557
+:1058B000803000001683A240937F000038831A4096
+:1058C0009393000000001A4081B20100DF80A240E3
+:1058D000737D0000E2872244216F0000D9872240B7
+:1058E000657D00000005A25B737D00000400A249F5
+:1058F000337D000021832248337D0000FF010099A1
+:1059000080D801000000005081E00100A8982F40DD
+:1059100033B1010000000040E0C1010001830040FC
+:1059200081B20000C68200408BB300000400A25E7A
+:105930001F7C00000400225F1F7C00000000005E4E
+:105940001F900100C682005F1F8000000400A25E5D
+:105950001F7C00000400225F1F7C00000000005E2E
+:105960001F9001000000005F1F8001000000005830
+:1059700061B101000000004E62B10100C682284002
+:10598000813200002C83004081B200000000004002
+:105990000FB001000400A25E1F7C00000400225F23
+:1059A0001F7C0000328333401F3000000400A24EF1
+:1059B0005A7F00000700004E80E4010000390040DB
+:1059C00080C801000400A240066C0000C682134E8D
+:1059D0005A9300003A83A0CE815000004D83A0CDA1
+:1059E000816C0000000000A59CB30100000000B124
+:1059F00081B001004D8322B58114000080152F4035
+:105A000049B101003E83424081320000000060B491
+:105A100065970100D0152E4069B3010000001A44BB
+:105A20009383010004002240E16D00001A0000A2EF
+:105A300080DC010000000044F1B10100000000B171
+:105A4000F1B10100000000B5F1B101000500004016
+:105A5000619901008000004062DD01004883A8A137
+:105A6000E0310000178300889EB300001783A24135
+:105A7000676F00001783006FDB9100004D83424089
+:105A80008132000017831A40938300000004004015
+:105A900089980100099900008A3001000400A25A87
+:105AA000017C000004002240016C00000099000904
+:105AB00046C901003F0000F30C8801005C83A64248
+:105AC000136000009B9600950330010057836140EE
+:105AD0008132000075000040619901005883A8B12F
+:105AE0000C300000A9967110943001005D830058BD
+:105AF0001F9000008D9600950330010023830088DD
+:105B00001CB0000000002D0348B1010004002DF07E
+:105B10002EB0010080040017968801000400A64002
+:105B2000813200004AC1001796D801000400A64047
+:105B300081320000EE070040979801006883234BF4
+:105B4000E46D00006883224BFD7F000000000040F0
+:105B50001F90010022002F4081B201006B83831748
+:105B60008032000026000040479901006D838517B0
+:105B7000803200000000004847C1010073832255B5
+:105B80002F7C00000000004243D101000F0000FA0A
+:105B9000968801000000004297E0010000000042EA
+:105BA00097D001007483004B44C10000120000A292
+:105BB00044C90100280000F602CC01000A0000A13F
+:105BC00042C90100000000F816B00100000028F0F2
+:105BD00010B00100000000F01AB00100000000A2A7
+:105BE0002AB00100C0283C460DE0010000002D4411
+:105BF00095B001008083A2F80E300000908322410E
+:105C00009550000000002D5049C101007C830040E8
+:105C100081B200007D83A2F8166C00007D83A2F89B
+:105C2000106C00007D83A2F01A6C00008E83225855
+:105C30001F7C000000993F4213F0010085836540FE
+:105C4000813200008983A2F3740600000000000680
+:105C5000E69501008E83754081B2000000000006C9
+:105C600096B001003F0075F30C880100000000555C
+:105C700061B101000000004B62B101008C83A840BB
+:105C8000813200008E836740813200009683774125
+:105C90002DC30000948322581F7C00000000005593
+:105CA00061B101000000000662B101009283A840CA
+:105CB000813200009483674081320000D5837741B0
+:105CC0002DC30000030000071AF401001895000717
+:105CD00016300100A8832241816C00009C8322427F
+:105CE000816C0000238300881CB00000A783225F22
+:105CF0000F7C00004E96005F01100100A28322403D
+:105D0000956C00000480000342C90100000000F20D
+:105D100002B00100A595005295300100AC95004BF2
+:105D200002B000000000005F0F800100010400408D
+:105D300089980100099900008A300100B496000991
+:105D400096300100F08700400FB00000B783A25AE0
+:105D50001F7C00000400A25A1F7C000000B5000D4B
+:105D600042C901000400220BE67D000000B7000DCF
+:105D700042C901000400220BE67D0000709400403F
+:105D800081320100B7832220856C0000B2839C0F12
+:105D900080320000238300881CB000008D95005CD9
+:105DA0001F000100C8970042613101002383008871
+:105DB0001CB00000900400079630010000002D0583
+:105DC00048B101000400A24BE17D00000400A25C88
+:105DD0001F7C000000002D0548B10100BB8382F04C
+:105DE000183000006C8900458FB00000282000A604
+:105DF00096B00100C18322179604000034040040CD
+:105E000089980100099900008A3001005B97004BD6
+:105E1000953001006C89004B8FB000005D96000347
+:105E200048310100AF930040813001006C8900408F
+:105E300081B20000000000400FB0010000040040EB
+:105E400089980100099900008A300100040022406D
+:105E5000016C000000002E1048B1010000006850E5
+:105E600003B0010000000003F0B101004000000099
+:105E7000E0C9010000002E5049C10100000000509F
+:105E8000F1B1010000000003F0B101000000004288
+:105E900061B101002000001062DD0100D083A84044
+:105EA000813200001000001062C90100D283A800F6
+:105EB000E0310000238300881CB0000000002D03A7
+:105EC00048B10100000000400FB00100000000F8E0
+:105ED0002EB00100000000F202B0010000000040FE
+:105EE00017B00100004100A696B00100EE072E4752
+:105EF00097900100E883221796040000E683224B66
+:105F0000FD7F0000E68323A2026C0000A5950052ED
+:105F10009530010004002241975000000C002D0034
+:105F200012B00100000000F000B001000000005CB1
+:105F300001800100AC95004B02B000000000000998
+:105F400000B001000000005003B001000584005CB7
+:105F500017900000FA8322432F7C000000000045C8
+:105F60001F900100F383225F2F7C000000002E10A1
+:105F700048B1010000000058F1B101001000000319
+:105F8000F0C9010010000000E0C90100EF83624287
+:105F9000613100000000001062B10100F083A840F0
+:105FA00081320000238372881CB0000020002D0382
+:105FB00048B10100FF0F00F680880100F783A2A618
+:105FC000816C0000FA8300F23AB00000F484A24B26
+:105FD000FD7F0000C9940040813201000688004026
+:105FE00081B200000584224A2F7C000005842248EB
+:105FF0002F7C00000A002D0348B101003F0000F291
+:10600000868801001F0000438488010005000043CA
+:1060100080F4010098943D4281E001000584A24291
+:10602000E07D0000F484A24BFD7F0000C994004095
+:10603000813201000688004081B200000204004065
+:1060400089980100099900008A300100078469409D
+:1060500081320000000000A309B001000000794176
+:1060600047C301000400A0A1096C00000E8422A116
+:10607000096C0000278300881CB000000A8400031C
+:1060800048B100004884A392036C00002B980040A4
+:10609000953001000000004143C3010000000016DC
+:1060A00080B2010006882708803200001584225C37
+:1060B000177C0000168400002AB0000012000000C7
+:1060C0002AC801000200000880C801001A84A24307
+:1060D0002F7C00005E970040813201003684005E14
+:1060E00017900000040000018CCC01005E97004C6A
+:1060F0000330010000002E4602B001001000001025
+:1061000048C901000C000001F0CD01002C00004046
+:10611000F0C9010000000016F0B1010010000015E8
+:10612000E0C901000000004361B10100A00000A42B
+:1061300062DD01002384A854171000003684005E3D
+:1061400017900000120000002AC801003584224385
+:106150002F7C0000040000018CCC01000000004CEA
+:1061600003B001007F9700436131010000002E461B
+:1061700002B001001000001048C901000C0000012D
+:10618000F0CD01000C000009F0C90100000000186A
+:10619000F0B1010010000015E0C90100000000434B
+:1061A00061B10100A00000A462DD01003684285422
+:1061B000171000003284004081B200007F97004336
+:1061C00061310100388422502F7C0000000000560D
+:1061D0001790010007000017988801003B84A24136
+:1061E000996C00000000005517900100000000436A
+:1061F00061B101004000001062DD01003C84A84054
+:1062000081320000238300881CB0000066970040A4
+:1062100081320100438422432F7C0000168000035A
+:1062200044C901000000001DE4B101000097005EB8
+:10623000051001004684A25F2F7C000086930001B8
+:1062400038430100C99400408132010006880040B3
+:1062500081B200004A84A24BFD7F0000F18400411E
+:1062600043C300000000004027B0010000000040D0
+:106270002DB001000000004011B001004D84350137
+:10628000863000006D00004061990100568428B1FD
+:10629000303000004E84224D757D00000000001655
+:1062A00080B20100DD84A740116C000000000041B5
+:1062B00043C301000400A240276C0000F0840040AA
+:1062C00081B200006D000040619901005684A8B1C0
+:1062D000123000000000001680B201006084A74068
+:1062E000116C00000000004143C3010000000009E0
+:1062F00010B00100000000182CB00100DE070043C0
+:1063000080CE01004E84AA408132000065840040A6
+:1063100081B2000040003E4327E001000000000978
+:10632000F0B1010000000018E0B1010000000041E0
+:1063300027C001004E84A30B8750000000001540C9
+:106340001BB001000000004023B001000400A203C4
+:10635000486D0000120000002AC8010040002D40D6
+:1063600039B001006F84A240276C000022000008B1
+:1063700012C801000400A216306C0000DE070040C5
+:10638000259801007284004081B20000000000F8EE
+:1063900012B00100000000F030B001000000000B5E
+:1063A00025B001000000001032B0010014002001EF
+:1063B000E0B10100EE070040379801007784230127
+:1063C000366C00000000000136B00100828482417A
+:1063D000234000002080001042C901007E8422403A
+:1063E000E36D00000000004361B1010040000010B7
+:1063F00062DD01007B84A840813200002383008895
+:106400001CB00000F3940043233001000000001092
+:1064100032B001000000004123B001000000000381
+:1064200048B101000080001944C90100938422454D
+:106430001F7C00000400A241236C00000400A20B9A
+:10644000256C00000000004CF1B1010000000009C3
+:10645000F0B1010000000018F0B10100000000439D
+:1064600061B101002000001962DD01008A84A815D5
+:10647000E03100000000005003D001000000005097
+:1064800033C001000000004C25D001000C002D4C51
+:1064900013C001000000005037D001000000005080
+:1064A0002BC00100778400451F8000009584A31253
+:1064B000366C00009684681B28B00000000068124B
+:1064C00028B0010000000009F0B101000000001830
+:1064D000F0B101000000004361B10100200000198B
+:1064E00062DD01009984A815E0310000C184221406
+:1064F000025000000000005033C0010000000014F2
+:1065000024D001000C002D1412C00100B984A21483
+:1065100036500000A984225C1F7C000030800010EF
+:1065200042C90100A7842240E36D00000000004240
+:1065300061B101004000001062DD0100A484A840A8
+:1065400081320000238300881CB00000000000039B
+:1065500048B101000C002D5C1F800100100000F00C
+:106560002AC801000000005C2B80010004002250BA
+:106570002B6C0000F007004037980100AF84230126
+:10658000366C00000000000136B00100BA84221B06
+:10659000026C00003000001048C9010000002E5CB1
+:1065A0001F90010000000050F1B101000000000345
+:1065B000F0B10100FF070015E08D0100000000426E
+:1065C00061B10100A00000A462DD0100B684A84012
+:1065D00081320000BA84000348B1000000000014BA
+:1065E0002AC001007784A240256C00000000004111
+:1065F00039C0010004002013386C000040003D4306
+:1066000039E001000000000B25B00100000000F897
+:1066100012B00100778400F030B000000400A25CEA
+:106620001F7C00000080001942C90100C88422407C
+:10663000E36D00000000004361B10100400000195B
+:1066400062DD0100C584A8408132000023830088F8
+:106650001CB00000F39400402B30010018002E0302
+:1066600048B10100CC8422502F7C0000000000566D
+:10667000179001000700001798880100CF84A241FD
+:10668000996C00000000005517900100D28422434D
+:106690002F7C000000000054179001001600201D00
+:1066A000E4B10100D484A340276C0000D684605F6D
+:1066B000179000000084000B16DC0100000060133E
+:1066C000169401000097005E051001000400A2402E
+:1066D0000F6C00000688A25F2F7C0000148000036E
+:1066E00042C90100000000F202B0010086930001DF
+:1066F000384301000688004081B200000400A20374
+:10670000486D00000400224D757D0000000000402F
+:1067100083B001000000004D61B1010000000016CF
+:1067200080B2010004002740116C00000000001638
+:1067300062B10100E384A84081320000000000083B
+:1067400062B10100E584A84081320000F084221388
+:10675000826C000040003D4383E00100000000F82F
+:1067600010B00100000000F02CB001000000001685
+:1067700062B10100EB84A8408132000000000008F3
+:1067800062B10100ED84A84081320000E78400413D
+:1067900083C000000000154081B20100008200A605
+:1067A00004B00100A0980040479901003005004165
+:1067B00089300100A595005295300100AC95004B41
+:1067C00002B00000068800400FB000000000005F2B
+:1067D00001800100100000000EF4010004002640BA
+:1067E000813200003F0000000088010005040040E5
+:1067F00089980100099900008A3001000300000710
+:106800001AF401001895000716300100088522418E
+:10681000816C000003852242816C00002383008884
+:106820001CB000000785225F0F7C00000000005FA5
+:106830000F800100060400408998010009990000BA
+:106840008A300100F08700400FB000001785A25A7F
+:106850001F7C00000400A25A1F7C000000B5000D40
+:1068600042C901000400220BE67D000000B7000DC4
+:1068700042C901000400220BE67D00007094004034
+:106880008132010017852220856C000012859C0F43
+:1068900080320000238300881CB000008D95005CCE
+:1068A0001F000100C8970042613101002383008866
+:1068B0001CB00000900400079630010000002D0578
+:1068C00048B101000400A24BE17D000000002D054D
+:1068D00048B10100000000F018B001001C85223A08
+:1068E000016C0000000000008EB001006C890040C7
+:1068F00001B000000000004081B201002E002D0513
+:1069000048B101002185A240E76D00000A00004067
+:106910008F9801006C89004001B000001D94004078
+:106920008132010004002200803200003504004062
+:1069300089980100099900008A3001008D96009520
+:1069400003300100238300881CB0000000002D03E9
+:1069500048B1010022002DF02EB0010004001F17E5
+:1069600080320000282000A696B001002E85221754
+:10697000960400005B97004B953001006C89004C39
+:106980008FB0000030858317803200000000004483
+:1069900043C10100328585178032000000000048A5
+:1069A00043C10100280000F602CC0100120000A142
+:1069B0002AC801005D96004081320100AF9300417A
+:1069C000813001006C89004081B2000000000001AC
+:1069D00000D0010000002E1048B101002800004046
+:1069E000F199010000000003F0B101000000000077
+:1069F000F0B101003C8564476131000000000010E7
+:106A000062B101003D85A81BE0310000238374883A
+:106A10001CB000000000004503E001000400A005D8
+:106A2000036C00000400A309036C000008002D03A0
+:106A300048B101006E8501FB08300000D88587FB56
+:106A400022300000000000FA0EB00100000000F843
+:106A500014B00100030000071AF4010018950007A4
+:106A6000163001005F852241816C00004E85224274
+:106A7000816C0000238300881CB000005E85225FCB
+:106A80000F7C0000380000047E8901005485A65F59
+:106A90000F00000031940040053001000A0400405E
+:106AA00089980100099900008A3001005B85004047
+:106AB00081B20000130000408798010000002D0300
+:106AC00048B101000C002DF082B00100000000F080
+:106AD00084B001002C9600400530010008040040FD
+:106AE00089980100099900008A3001000400A25C25
+:106AF0001F7C00000000005C1F900100F087004038
+:106B00000FB000006C85A25A1F7C00000400A25A3E
+:106B10001F7C000000B5000D42C901000400220BDB
+:106B2000E67D000000B7000D42C901000400220B01
+:106B3000E67D000070940040813201006C852220C7
+:106B4000856C000069859C0F8032000023830088DB
+:106B50001CB000008D95005C1F000100C89700422A
+:106B600061310100238300881CB0000090040007FD
+:106B70009630010000002D0548B10100000000F032
+:106B800018B001007085210480200000718500404C
+:106B900010C90000A488004B81B000009F8500430D
+:106BA00081B00000A38500FB22B00000A488004152
+:106BB00081B000006C89004E8FB000009485005AAF
+:106BC0008FB00000798500478FB00000A488005383
+:106BD00081B00000A488005681B0000032002D056D
+:106BE00048B101000704004089980100099900009C
+:106BF0008A3001003C040040899801000999000A8C
+:106C00008A3001003D0400408998010018000011FD
+:106C10008AE40100099900F28A1401000000004092
+:106C200081B201006C89A00AE46D00008785A24151
+:106C3000197C00008685220A803200006C8900538E
+:106C40008FB000006C8900548FB000009085220A3C
+:106C5000803200008A85A20AE46D00006C89005D24
+:106C60008FB00000000000F280B001000000000AB8
+:106C700080D001008E85A091816C00006C89005E3F
+:106C80008FB00000250000408F9801006C89004003
+:106C900081B2000092852091E56D00006C8900545E
+:106CA0008FB00000210000408F9801006C890040E7
+:106CB00081B2000032002D0548B1010007040040F8
+:106CC00089980100099900008A3001003C040040C5
+:106CD000899801000999000A8A3001003D040040AA
+:106CE00089980100099900F28A30010000000040F3
+:106CF00081B201006C89A00AE46D0000240000400C
+:106D00008F9801006C89004081B2000037002D058A
+:106D100048B10100040000F382F40100A488A042FD
+:106D2000836C0000A488005481B00000000000F2D1
+:106D30000EB00100040023400F6C0000040020AAE4
+:106D40000F6C0000090400408998010009990000B7
+:106D50008A300100030000071AF4010000B5000D9D
+:106D600042C901000700000716880100B185220B07
+:106D7000E67D00000A000040879801007F980040EF
+:106D80008132010004001C0F80320000000000402E
+:106D90000FB00100F087005C1F900000C3852250F7
+:106DA000FD7F0000BE85A254FD7F0000B685225500
+:106DB000FD7F00008200004087980100AD85004003
+:106DC00081B2000004002253FD7F00001480000304
+:106DD00042C90100000000F096B001001000004B15
+:106DE00080F401000CBC004087980100BE8522435E
+:106DF000806C0000FFFF004B80880100AD85A2433E
+:106E0000806C00007C96004047990100BF85464099
+:106E100081320000C285A0F0306F0000B4851E40B2
+:106E200081B2000000001E4131C301007F94004088
+:106E300025300100C7859C0F803200002383008825
+:106E40001CB000008D95005C1F0001001480000341
+:106E500042C901000400225A1F7C0000000000F01B
+:106E600096B0010000002F0548B101001000000796
+:106E700018E401000008000CE099010090040007EC
+:106E80009630010000B5000D46C90100CF853040A5
+:106E9000813200000400A20BE67D00000000000B20
+:106EA000E6910100000200A146C901000400A20B06
+:106EB000E67D00000000000BE691010004002E05B5
+:106EC00048B1010000001040E1B10100A488004079
+:106ED00081B00000000000FB28B00100000000FBB2
+:106EE00086B00100000000F814B00100E3852246DE
+:106EF000237C000004002240876C0000DF852240D4
+:106F0000877C0000000000481F900100E1852241BD
+:106F1000877C0000000000471F900100E3852242AB
+:106F2000877C0000000000451F9001000400224003
+:106F3000097C0000E485661B2C300000000000A0E6
+:106F400013B001000000764141C301001686239270
+:106F5000156C00001686A2451F7C00001C86224B83
+:106F6000FD7F0000170000D0A2C901000000004012
+:106F700027B001000200000A24C80100BF940040AD
+:106F80000F3001001486220840300000000000414C
+:106F9000A3C10100F007001224CC0100ED85AA4135
+:106FA000274000000400A349276C000001000013E3
+:106FB00080CC01000E8626402330000000000040F7
+:106FC00083B001006000000384C8010010000010BD
+:106FD00048CD0100170000D0A2C90100FB85A240E6
+:106FE000836C00000786004183B0000000800042EF
+:106FF00044990100000068213896010000002E50DD
+:1070000049C101000086A244236C00003000000347
+:1070100048C9010000000044F1B101000C0000204B
+:10702000F0C901000000004461B10100A00000A40B
+:1070300062DD01000386A842E03100000000004448
+:1070400085C001000000004123C001000000004194
+:10705000A3C10100F985A241815000000E862240A3
+:10706000236C00000000004461B1010040000010EA
+:1070700062DD01000B86A840813200002383008876
+:107080001CB000000B040040899801000999000021
+:107090008A3001000000000348B10100EE07004003
+:1070A00025980100170000D02AC801002786001784
+:1070B00010B000000A970040813201001C86004099
+:1070C00081B20000BF940092253001000000004012
+:1070D00031B001000B0400408998010009990000BB
+:1070E0008A3001001C8622082E30000027860041CD
+:1070F00027B00000808000A604B001000600004018
+:10710000879801007F98000A8C30010004001C0F52
+:1071100080320000000000400FB001000000005C61
+:107120001F9001000400A09F136C00002686229F80
+:10713000136C0000020000881CCC01002783004073
+:1071400081B20000F08700413FC300000000004012
+:107150000FB001002800000180CE01003B862A40CC
+:10716000813000000080001044C901004000004050
+:10717000819801003086A2481F7C00003086A2471B
+:107180001F7C00003086A307036C000080000040D5
+:10719000819801003386A340026C000028000001A2
+:1071A000F0CD0100358600400FB0000028000040FF
+:1071B000F0CD0100040000400ECC010028000003C7
+:1071C000F0C9010028000000F0C90100000000160D
+:1071D000E0B101000000004761B101002000001093
+:1071E00062DD01003986A85C1F1000000400220A3D
+:1071F000803200000400A203486D0000000000403F
+:1072000043990100000000F008B00100A0012D40EA
+:1072100000C001001C87220F420500004E869C0F13
+:10722000803200000000005C1F8001000080001020
+:1072300042C9010049862240E36D0000000000477A
+:1072400061B101004000001062DD01004686A840E7
+:1072500081320000238300881CB000004E86220784
+:10726000803200000000000342B10100000000076E
+:1072700042C10100008000A1469901000000005FAA
+:10728000E1910100C006A2451F7C00001000000330
+:1072900048C9010000002D5429C00100000000F879
+:1072A00018B00100000000F804B00100000000F870
+:1072B0000EB0010004002640813200000400A25FED
+:1072C0000F7C00003E00001480CE01000400AA40A4
+:1072D00081320000420000030AC801000C0000A433
+:1072E0000CC8010016950040813201000000001416
+:1072F00002B001000000001424D0010000000014BE
+:1073000010C001001200000810C801000000004079
+:1073100023B00100FE7F000544C901000400A2A2C1
+:10732000860600000000000AE4B101007C8622010C
+:107330008032000000003C4423E0010000002EA445
+:1073400080B001000000001048C101006986A30759
+:10735000026C00006A8668011AB00000000068072D
+:107360001AB001000000000D02D00100000000056D
+:10737000F0B101000000000CF0B1010000000002BB
+:10738000E0B101000000000D0AC001007686224035
+:10739000036C000076862242236C0000000000414E
+:1073A00023C001000000004761B10100A00000A45B
+:1073B00062DD01009C862840813200007386004017
+:1073C00081B200000000001080C0010000000047F2
+:1073D00061B101000000004062B101007886A84060
+:1073E00023300000238300881CB000009C860040EE
+:1073F00081B2000000003C4423E00100000000A432
+:1074000086B0010000002E1048C101008186A31241
+:107410000E6C0000828660071AB000000000601247
+:107420001AB001000000680D16940100FFFF000B68
+:1074300016D801001B990008983001000000680868
+:107440003E9601000000000CF0B1010000000002B7
+:10745000E0B101000000001086C0010000000046FD
+:1074600061B101002000004362DD01008A86A85C52
+:107470001F100000BC86220D146C00009086220DA7
+:10748000246C00000000000D10C001009586000D66
+:1074900024D000000400224BFD7F000000000041CA
+:1074A0002BC0010000000015A2B101001000002057
+:1074B00010C80100F007004025980100978622427D
+:1074C000236C00009C86004123C0000000000046A1
+:1074D00061B101004000001062DD01009886A85CE7
+:1074E0001F000000238300881CB000000000004043
+:1074F00023B00100BC86220D14500000BB86A20DF3
+:107500000E500000A88622461F7C000000000046A6
+:107510001F8001003080001042C90100A686224071
+:10752000E36D00000000004761B101004000001061
+:1075300062DD0100A386A840813200002383008819
+:107540001CB0000020800003469901000000005F8D
+:10755000E191010000002D0648B10100000000F893
+:1075600018B00100000000F804B00100040022F08F
+:107570000E300000AE86A25F0F7C00006386004CD8
+:107580000DC0000000002E5F0F80010063862307FE
+:10759000146C00000400A2461F7C000030000010A4
+:1075A00048C9010024000040F199010000000003D7
+:1075B000F0B1010000000000F0B101000000001671
+:1075C000F0B101002400000000C8010000000047E5
+:1075D00061B10100A00000A462DD0100B886A846E8
+:1075E0001F100000638600030CB000006386000DCE
+:1075F00018C0000004002E140AD00100120000057B
+:1076000048CD0100FE7F000542C901000400A2A48C
+:10761000860600000400A2A1860600000C002AF2E3
+:10762000E0B10100C4862240316C00000000601807
+:10763000389601001E00004043990100008100F6C9
+:1076400080CE0100C886A6408132000000000044C0
+:1076500043C10100CA86220BED6D0000080000A1A5
+:1076600042C90100020000A146C901000400A2A114
+:10767000860600000F0000FA948801000400A2456D
+:10768000956C00000200004A86E40100000000F64C
+:107690000EB00100D48622471F7C000004001F4367
+:1076A0000E500000D486A0460F40000000000041AC
+:1076B0000FC00100D88622481F7C00000000004057
+:1076C00091B0010004000FA242310000DB860040AF
+:1076D00089B000000C0000A242C901000000004374
+:1076E00089B001000000004395D00100000000FCBB
+:1076F00082B00100DE86A041904000000000004101
+:1077000091C00100E38622471F7C0000E386A0436E
+:10771000896C0000E3862045896C0000E386A04167
+:107720000E400000000000410FC0010000000041B9
+:1077300089C00100DB86A24195500000F0862248F6
+:107740001F7C00001000004892F40100FFFF004879
+:1077500090880100EA8690489240000000000041B5
+:1077600093C001000A0000A244C901000000662085
+:1077700093A401000A00004380CC0100000000A295
+:1077800080C001000400A240426D00000400A2A1DC
+:10779000860600000400A2461F7C00001B9900170B
+:1077A00098300100FF0700177E8901000400A64001
+:1077B000813200003080001044C901001200001422
+:1077C000F0C9010000000017F0B10100120000052F
+:1077D000E0CD01003000001080C80100000000442E
+:1077E00061B101002000004062DD0100FA86A8407E
+:1077F000813200000587225C1F7C000000003C44B1
+:1078000023E0010000002D1048C101000487224040
+:10781000E36D00000000004661B10100400000106F
+:1078200062DD01000187A8408132000023830088C7
+:107830001CB000000000005C1F8001000887A24708
+:107840001F7C00000C9500408132010088870017E2
+:1078500010B00000139500408132010000002F039A
+:1078600048B101000C87A00716400000000000414D
+:1078700017C001000000000BE4B10100000000503F
+:1078800017F00100108790F2164000000000004140
+:1078900017C001000000662017A4010010000014AA
+:1078A0002AC80100000000502BE00100000000F297
+:1078B0002A9401003080001042C901001A8722403A
+:1078C000E36D00000000004461B1010040000010C1
+:1078D00062DD01001787A840813200002383008801
+:1078E0001CB000000080001710DC010088870040F9
+:1078F00081B2000024879C0F803200000000005CF1
+:107900001F8001000080001042C90100248722402E
+:10791000E36D00000000004761B10100400000106D
+:1079200062DD01002187A8408132000023830088A6
+:107930001CB00000298722078032000000000003ED
+:1079400042B101000000000742C10100008000A117
+:10795000469901000000005FE191010004002E0340
+:1079600048B101000000000AE0B101002E8722406A
+:10797000316C00000C0000404599010000006018C7
+:107980003896010000002E1048B1010000000050A0
+:10799000F1B1010000000008F0B101000000000397
+:1079A000E0B101000000004461B1010000000010DE
+:1079B00062B101003387A840233000002383008890
+:1079C0001CB0000000002D5211C001001000000387
+:1079D00048C90100000000F818B00100000000F8DC
+:1079E00004B00100000000F80EB001000C0000A47B
+:1079F0000CC8010004002240156C000000003C444B
+:107A000023E00100000000A486B0010000002E1059
+:107A100048C101004287A3120E6C0000438768072B
+:107A20001AB00000000068121AB001001B9900088B
+:107A3000983001000000004081B2010000000010F9
+:107A400086C00100000068083E9601000000000C9E
+:107A5000F0B1010000000002E0B1010000000046AA
+:107A600061B101002000004362DD01004A87A85C8B
+:107A70001F1000007C87220D146C00005087220D1F
+:107A8000246C00000000000D10C001005587000D9F
+:107A900024D000000400224BFD7F000000000041C4
+:107AA0002BC0010000000015A2B101001000002051
+:107AB00010C80100F00700402598010057872242B6
+:107AC000236C00005C87004123C0000000000046DA
+:107AD00061B101004000001062DD01005887A85C20
+:107AE0001F000000238300881CB00000000000403D
+:107AF00023B001000400220D145000007B87A20D6A
+:107B00000E500000688722461F7C000000000046DF
+:107B10001F8001003080001042C9010066872240AA
+:107B2000E36D00000000004761B10100400000105B
+:107B300062DD01006387A840813200002383008852
+:107B40001CB0000020800003469901000000005F87
+:107B5000E191010000002D0648B10100000000F88D
+:107B600018B00100000000F804B00100040022F089
+:107B70000E3000006E87A25F0F7C00003C87004C37
+:107B80000DC0000000002E5F0F8001003C8723071E
+:107B9000146C00000400A2461F7C0000300000109E
+:107BA00048C9010024000040F199010000000003D1
+:107BB000F0B1010000000000F0B10100000000166B
+:107BC000F0B101002400000000C8010000000047DF
+:107BD00061B10100A00000A462DD01007887A84621
+:107BE0001F1000003C8700030CB000003C87000D14
+:107BF00018C000000400A2461F7C00008687225C9B
+:107C00001F7C00000000005C1F80010000003C445D
+:107C100023E0010000002D1048C1010086872240AA
+:107C2000E36D00000000004661B10100400000105B
+:107C300062DD01008387A840813200002383008831
+:107C40001CB000000000001710B001008887004041
+:107C50002BB00000008000034499010000000004E4
+:107C6000E0B1010004002640813200000400A09F22
+:107C7000136C00008F87229F136C000002000088A5
+:107C80001CCC01002783004081B200009498004181
+:107C90003F430100000000408DB0010000000040A3
+:107CA00005B001007F9800400F3001000400A25C85
+:107CB0001F7C00000688005C1F9000001000000080
+:107CC0000EF4010004002640813200000000003A5A
+:107CD000018401009B872250016C00000D040040CC
+:107CE00089980100099900008A300100030000070B
+:107CF0001AF401001895000716300100A6872241EA
+:107D0000816C0000A1872242816C000023830088DF
+:107D10001CB00000A587225F0F7C00000000005F00
+:107D20000F8001000E0400408998010009990000AD
+:107D30008A300100F08700400FB00000B387A25ADC
+:107D40001F7C00000400A25A1F7C000000B5000D3B
+:107D500042C901000400220BE67D000000B7000DBF
+:107D600042C901000400220BE67D0000709400402F
+:107D700081320100B3872220856C0000B0879C0F00
+:107D800080320000238300881CB000008D95005CC9
+:107D90001F000100C8970042613101002383008861
+:107DA0001CB00000900400079630010000002D0573
+:107DB00048B10100000000F018B001000000000010
+:107DC00080B00100A488A25F816C0000A8002D4350
+:107DD0001980010037002DF024B00100040000F3E9
+:107DE0008EF401000F0000F3908801000400A3430B
+:107DF0008F6C00000400A343916C0000C4872248EC
+:107E00008E6C0000360000404399010058003D434D
+:107E1000E7E10100C4871FF0246C0000C387234101
+:107E20008F6C0000A488004781B00000A48800483F
+:107E300081B000004000004043990100B0002DF0E7
+:107E400014B00100C987220A904000005F980040EA
+:107E500091300100A488A24080320000B0002D457E
+:107E600081B00100D58722F02C300000A3002D3016
+:107E700083B00100AC002DF382E00100CF87A34165
+:107E80002C6C00000000001682B0010098002DF05C
+:107E900082C0010088002DF082D00100000000F2B5
+:107EA00098E80100A488204C826C00007C002D41E1
+:107EB00098E80100A48820F0986C0000F087220A5E
+:107EC000803200004002000C7E890100F087A6404D
+:107ED00081320000A488004981B00000200000A683
+:107EE00080B00100DD872243216F00001380004035
+:107EF00080DC0100DE87004081B200001A80004073
+:107F000080DC0100DE87A25E0B7D000000000040E7
+:107F100008B10100E0879F8580320000E4870040BF
+:107F200081B200001A832240577D0000010000400A
+:107F300057990100E487424081320000000000446C
+:107F40009393010001831A5B69930000EA8722463C
+:107F5000F37F0000EA87A241F37F0000C680004261
+:107F600097330100040000CB81C80100ED87224057
+:107F7000F27F0000C680006F97330100EF87224038
+:107F8000737D0000E08000418BB30000E787004074
+:107F900081B20000F7879C0F803200000080001043
+:107FA00042C90100F7872240E36D00000000004550
+:107FB00061B101004000001062DD0100F487A840BB
+:107FC00081320000238300881CB000003494220218
+:107FD00080320000F88742408132000000000044F7
+:107FE0009393010034941A026897000002889C0F52
+:107FF000803200000080001042C901000288224047
+:10800000E36D00000000004561B101004000001078
+:1080100062DD0100FF87A8408132000023830088D1
+:108020001CB00000449422028032000003884240C9
+:1080300081320000000000449393010044941A022E
+:10804000689700000D889C0F8032000000800010AF
+:1080500042C901000D882240E36D00000000004588
+:1080600061B101004000001062DD01000A88A840F3
+:1080700081320000238300881CB000002F8322027D
+:10808000803200000E88424081320000000000442F
+:108090009393010000001A02689701002F830040AB
+:1080A00005B00000008000A656B1010056952F4093
+:1080B00005B001000400A240E76D0000B89429411A
+:1080C000E7B1010000000054EF930100000000F24E
+:1080D0000EB001000400A30C556F00002900004001
+:1080E0000D9801000900000712E40100000000A73C
+:1080F00013C00100030000071AF401000700000785
+:1081000016880100FFFF001034D8010000000003B2
+:10811000349401000000004023B00100201800400A
+:1081200011980100040020AA0F6C000000B5000D9A
+:1081300042C901004688220BE67D00002588604088
+:1081400081320000FFFF0007848901002E8805C2EC
+:1081500024300000580400408132010000002D0549
+:1081600048B10100638870F0183001001000000C65
+:1081700082F401000400A2410E6C00004688004019
+:1081800081B200000000704081B201003D88A0482B
+:10819000236C00000000005035D001000080001A60
+:1081A00042C9010037882240E36D00000000004210
+:1081B00061B101004000001A62DD01003488A8406E
+:1081C00081320000238300881CB00000209800400A
+:1081D00043990100638800F8183001003888A241F3
+:1081E00023500000FFFF001034D8010000000003FE
+:1081F00034940100201800401198010000002E1A4C
+:1082000048B1010000000044F1B101000000000885
+:10821000F0B101000000004261B101002000001A2D
+:1082200062DD01004188A809E03100000000004142
+:1082300023C001000000005035C0010000000044D0
+:1082400011C00100528822410D5000000000004181
+:108250000FC001004E88A0AA0F6C00000000004172
+:108260000FB001000900000712E40100000000A7A0
+:1082700013C00100000000401BB001002288004133
+:1082800017B000000002000912C8010022888341D3
+:10829000174000000000004017B001002288004194
+:1082A0001BC000005D882340236C000000000050CC
+:1082B00035D001000080001A42C901005A882240CE
+:1082C000E36D00000000004261B101004000001AAF
+:1082D00062DD01005788A8408132000023830088B6
+:1082E0001CB000002098004043990100638800F80A
+:1082F000183001005B88A2412350000000000041BB
+:108300000FC001006088A0AA0F6C000000000041AF
+:108310000FB00100B8942007E4B101005695204049
+:10832000E7B10100F08700400FB00000FFFF000C34
+:1083300080D801000400264081320000C002000CF9
+:108340007E8901007C882654613100006F88870C8B
+:10835000803200001F040040899801000999000C38
+:108360008A3001000000005461B101000F0000409C
+:10837000629901006F882840813200000400A254F5
+:10838000777D00006B88004081B20000778822462C
+:10839000197C00002A040040899801000999000C0A
+:1083A0008A3001000000005461B101000D0000405E
+:1083B000629901000000A84081B200000400A254AC
+:1083C000777D00007088004081B200007C882249DF
+:1083D000197C00000E000040629901000000A840D6
+:1083E00081B200000400A254777D0000778800402D
+:1083F00081B2000010000040629901000000A84016
+:1084000081B200000400A254777D00007C88004007
+:1084100081B2000030942F55F1930100004000A676
+:1084200056B101002F83A241E551000064000040D5
+:10843000E599010084884440813200008788A29336
+:10844000576F00000000004157C3010000001CAB43
+:1084500027B301002F832250FD7F00002F8322517C
+:10846000FD7F00002F83A2411D53000050460040B5
+:108470001D9B010038050040813201000E000048BC
+:10848000B2CB010010040040493101009388224022
+:10849000B56F00000E000048B2CB0100200400417F
+:1084A000B55301002F83004081B20000000000514D
+:1084B000FD83010040160040459901004005004041
+:1084C000493101001E000048B2CB010010040040F9
+:1084D00081320100000000DA91C001000400004870
+:1084E000B2CB010020040040B533010060162040EB
+:1084F000E5B1010055820040B53301000800004895
+:10850000B2CB0100FFFF004AB48B01002004004001
+:10851000813201000A000048B2CB01001000004A7D
+:10852000B4F7010020040040813201002F83004095
+:1085300081B200000400A205486D00000200004066
+:10854000439901000400A2F20E6C00000400A20294
+:10855000803200000500004043990100000000F354
+:1085600008B00100AE882250816C00000F0400406A
+:1085700089980100100000408AE401000999000474
+:108580008A14010004002048096C000004002057F0
+:10859000816C000004002040E6B1010003000040AF
+:1085A00096E401000000000496C00100B488004B6E
+:1085B00010C90000E48B004109B000000400002055
+:1085C0008FB00000040000208FB0000004000020E5
+:1085D0008FB00000040000208FB0000004000020D5
+:1085E0008FB00000040000208FB0000004000020C5
+:1085F0008FB00000040000208FB00000198C0041F3
+:1086000009B00000040000208FB00000040000202A
+:108610008FB00000040000208FB000000400002094
+:108620008FB00000040000208FB000000400002084
+:108630008FB00000040000208FB000000400002074
+:108640008FB00000558C004509B00000558C0045E6
+:1086500009B00000558C004509B00000558C00455C
+:1086600009B00000040000208FB0000004000020CA
+:108670008FB00000040000208FB000000400002034
+:108680008FB000009C8C004309B00000CB8C0043ED
+:1086900009B00000CF8C004409B000003E8E0045B8
+:1086A00009B00000040000208FB00000040000208A
+:1086B0008FB00000040000208FB0000004000020F4
+:1086C0008FB00000040000208FB00000DF8C00435A
+:1086D00009B00000DD8C004309B00000E08B0045CC
+:1086E00009B00000040000208FB00000040000204A
+:1086F0008FB00000040000208FB0000004000020B4
+:108700008FB00000988D004209B00000988D0043A2
+:1087100009B00000988D004409B00000E08B0045CE
+:1087200009B00000040000208FB000000400002009
+:108730008FB00000040000208FB000000400002073
+:108740008FB00000040000208FB00000B88D0043FF
+:1087500009B00000040000208FB00000E08B00454D
+:1087600009B00000040000208FB0000004000020C9
+:108770008FB00000040000208FB000000400002033
+:108780008FB00000040000208FB00000E08D004397
+:1087900009B00000E08D004409B00000E08B004506
+:1087A00009B00000040000208FB000000400002089
+:1087B0008FB00000040000208FB0000004000020F3
+:1087C0008FB00000040000208FB00000E08D004258
+:1087D00009B00000040000208FB00000E08B0045CD
+:1087E00009B00000040000208FB000000400002049
+:1087F0008FB00000040000208FB0000004000020B3
+:108800008FB00000040000208FB000000F8E0044E5
+:1088100009B00000040000208FB00000E08B00458C
+:1088200009B00000040000208FB000000400002008
+:108830008FB00000040000208FB000000400002072
+:108840008FB00000E08B004209B00000228E00458E
+:1088500009B00000228E004509B00000E08B004501
+:1088600009B00000040000208FB0000004000020C8
+:108870008FB00000040000208FB000000400002032
+:108880008FB00000248E004209B00000248E004307
+:1088900009B00000248E004409B00000248E004579
+:1088A00009B00000040000208FB000000400002088
+:1088B0008FB00000040000208FB0000004000020F2
+:1088C0008FB00000040000208FB0000004000020E2
+:1088D0008FB000002F8E004409B00000E08B0045EF
+:1088E00009B00000040000208FB000000400002048
+:1088F0008FB00000040000208FB0000004000020B2
+:108900008FB00000418E004209B00000308E00435D
+:1089100009B00000418E004409B00000E08B004522
+:1089200009B00000040000208FB000000400002007
+:108930008FB00000040000208FB000000400002071
+:108940008FB00000040000208FB00000438E004371
+:1089500009B00000378E004409B00000E08B0045EC
+:1089600009B00000040000208FB0000004000020C7
+:108970008FB00000040000208FB00000E08B0041A9
+:1089800009B00000968D004209B00000968D0043AA
+:1089900009B00000968D004409B00000E08B00454E
+:1089A00009B00000040000208FB000000400002087
+:1089B0008FB00000040000208FB00000E08B004169
+:1089C00009B00000458E004209B00000458E00430A
+:1089D00009B00000458E004409B00000E08B00455E
+:1089E00009B00000040000208FB000000400002047
+:1089F0008FB00000040000208FB0000004000020B1
+:108A00008FB00000040000208FB0000004000020A0
+:108A10008FB00000040000208FB000004C8E004595
+:108A200009B00000040000208FB000000400002006
+:108A30008FB00000040000208FB000004E8E004276
+:108A400009B00000040000208FB0000004000020E6
+:108A50008FB00000040000208FB000000400002050
+:108A60008FB00000040000208FB000000400002040
+:108A70008FB00000040000208FB000000400002030
+:108A80008FB000005B8E004309B00000C18E004330
+:108A900009B00000CF8C004409B000003E8E0045B4
+:108AA00009B00000040000208FB000000400002086
+:108AB0008FB00000040000208FB0000004000020F0
+:108AC0008FB00000040000208FB00000C98E00436A
+:108AD00009B00000CF8C004409B000003E8E004574
+:108AE00009B00000040000208FB000000400002046
+:108AF0008FB00000040000208FB0000004000020B0
+:108B00008FB00000040000208FB00000DD8E004315
+:108B100009B00000040000208FB00000E08B004589
+:108B200009B00000040000208FB000000400002005
+:108B30008FB00000040000208FB00000040000206F
+:108B40008FB00000968C004309B00000C58E004332
+:108B500009B00000CF8C004409B000003E8E0045F3
+:108B600009B00000040000208FB0000004000020C5
+:108B70008FB0000002002D0548B101000400A2F2F0
+:108B80000E6C00000400A2028032000007002D409D
+:108B900081B20100000000F308B0010010040040A1
+:108BA00089980100100000478AE401000999000437
+:108BB0008A1401000400204E096C00002A000047BE
+:108BC00080CE0100040024408132000006002047CE
+:108BD000E6B101000400004796E4010000000047F0
+:108BE00096D001000000004796D00100000000046C
+:108BF00096C001007D89004B10C90000F98E004924
+:108C000009B000000400002085B00000040000202E
+:108C100085B000000400002085B0000004000020A2
+:108C200085B000000400002085B000000400002092
+:108C300085B000000400002085B000000400002082
+:108C400085B000000400002085B000000400002072
+:108C500085B000000400002085B000000400002062
+:108C600085B000000400002085B000000400002052
+:108C700085B00000328F004209B0000004000020DF
+:108C800085B000000400002085B000000400002032
+:108C900085B000000400002085B000000400002022
+:108CA00085B000000400002085B000000400002012
+:108CB00085B000000400002085B000000400002002
+:108CC00085B000000400002085B0000004000020F2
+:108CD00085B000000400002085B0000004000020E2
+:108CE00085B00000398F004609B000000400002064
+:108CF00085B000000400002085B0000004000020C2
+:108D000085B000000400002085B0000004000020B1
+:108D100085B000000400002085B0000004000020A1
+:108D200085B000000400002085B000000400002091
+:108D300085B000000400002085B000000400002081
+:108D400085B000000400002085B000000400002071
+:108D500085B000000400002085B000004A8F00426A
+:108D600009B000000400002085B000006D8F0042B3
+:108D700009B000000400002085B0000004000020BD
+:108D800085B000000400002085B000000400002031
+:108D900085B000000400002085B000000400002021
+:108DA00085B00000678F004A09B000000400002071
+:108DB00085B000000400002085B000000400002001
+:108DC00085B000000400002085B00000748F0043CF
+:108DD00009B000000400002085B00000DF8F0044CF
+:108DE00009B000000400002085B00000040000204D
+:108DF00085B000000400002085B0000004000020C1
+:108E000085B000000400002085B0000004000020B0
+:108E100085B00000DD8F004B09B000000400002089
+:108E200085B000000400002085B000000400002090
+:108E300085B000003D8F004109B000000400002013
+:108E400085B000003D8F004309B000003D8F004415
+:108E500009B000003D8F004509B000003D8F00467D
+:108E600009B000003D8F004709B000003D8F004869
+:108E700009B000003D8F004909B000003D8F004A55
+:108E800009B000003D8F004B09B000003D8F004C41
+:108E900009B000003D8F004D09B000000400002023
+:108EA00085B000000400002085B00000489000421A
+:108EB00009B000000400002085B000004890004484
+:108EC00009B000000400002085B00000040000206C
+:108ED00085B000000400002085B0000004000020E0
+:108EE00085B000000400002085B0000004000020D0
+:108EF00085B000004890004B09B00000040000203D
+:108F000085B000000400002085B0000004000020AF
+:108F100085B000000400002085B00000040000209F
+:108F200085B000006590004509B0000004000020F5
+:108F300085B000000400002085B00000040000207F
+:108F400085B000000400002085B000007D9000473F
+:108F500009B000000400002085B0000056900045D4
+:108F600009B000000400002085B0000004000020CB
+:108F700085B000001593004609B0000004000020F1
+:108F800085B000000400002085B00000040000202F
+:108F900085B000000400002085B00000040000201F
+:108FA00085B000006D8F004609B000004A8F004672
+:108FB00009B00000658F004709B00000658F0048C8
+:108FC00009B000000400002085B00000040000206B
+:108FD00085B000000400002085B00000678F004AC3
+:108FE00009B000000400002085B00000040000204B
+:108FF00085B000000400002085B0000004000020BF
+:1090000085B000000400002085B0000004000020AE
+:1090100085B00000DF8F004509B00000748F004369
+:1090200009B00000658F004709B00000658F004857
+:1090300009B000000400002085B0000004000020FA
+:1090400085B000000400002085B00000DD8F004CDA
+:1090500009B000000400002085B0000004000020DA
+:1090600085B000000400002085B00000040000204E
+:1090700085B000000400002085B00000040000203E
+:1090800085B000008490004409B000008490004244
+:1090900009B00000C98B004709B00000C98B004827
+:1090A00009B000000400002085B00000040000208A
+:1090B00085B000000400002085B000008490004BC3
+:1090C00009B000000400002085B00000040000206A
+:1090D00085B000003D8F004109B00000AF9000470F
+:1090E00009B000000400002085B000009290004705
+:1090F00009B000000400002085B00000040000203A
+:1091000085B000000400002085B0000004000020AD
+:1091100085B000000400002085B00000040000209D
+:1091200085B000009290004709B0000004000020C4
+:1091300085B000000400002085B00000040000207D
+:1091400085B000000400002085B00000040000206D
+:1091500085B000000400002085B00000040000205D
+:1091600085B000009290004709B00000AF90004722
+:1091700009B00000658F004709B00000658F004806
+:1091800009B000000400002085B0000004000020A9
+:1091900085B000000400002085B0000092900047D8
+:1091A00009B000000400002085B000000400002089
+:1091B00085B000000400002085B0000004000020FD
+:1091C00085B000000400002085B0000004000020ED
+:1091D00085B000000400002085B0000004000020DD
+:1091E00085B00000BE90004709B00000BE90004866
+:1091F00009B000000400002085B000000400002039
+:1092000085B000000400002085B0000004000020AC
+:1092100085B000000400002085B00000040000209C
+:1092200085B000002F91004009B000005191004727
+:1092300009B000004391004809B000008A9000473F
+:1092400009B000008A90004709B000005191004722
+:1092500009B000005A91004709B000005A91004837
+:1092600009B000000400002085B0000043910048D0
+:1092700009B000008A90004709B000008A900047BA
+:1092800009B000004391004809B00000040000202C
+:1092900085B000000400002085B00000040000201C
+:1092A00085B000004890004309B000000400002091
+:1092B00085B000004890004509B000004890004685
+:1092C00009B00000658F004709B00000658F0048B5
+:1092D00009B000000400002085B000004890004A5A
+:1092E00009B000000400002085B000004890004C48
+:1092F00009B000000400002085B000000400002038
+:1093000085B000000400002085B00000AE9000474A
+:1093100009B00000A090004809B0000091900047FB
+:1093200009B000009190004709B00000AE900047DE
+:1093300009B00000C98B004709B00000C98B004884
+:1093400009B000000400002085B00000A090004893
+:1093500009B000009190004709B0000091900047CB
+:1093600009B00000A090004809B0000004000020EF
+:1093700085B000000400002085B000005D9100422F
+:1093800009B000000400002085B000005D91004499
+:1093900009B000000400002085B000000400002097
+:1093A00085B000000400002085B00000040000200B
+:1093B00085B000000400002085B0000004000020FB
+:1093C00085B000005D91004B09B000000400002052
+:1093D00085B000000400002085B0000004000020DB
+:1093E00085B000000400002085B0000004000020CB
+:1093F00085B000005D91004309B00000040000202A
+:1094000085B000005D91004509B000005D91004607
+:1094100009B000005D91004709B000005D9100486F
+:1094200009B000000400002085B000005D91004AF2
+:1094300009B000000400002085B000005D91004CE0
+:1094400009B000005D91004C09B00000040000204C
+:1094500085B000000400002085B00000040000205A
+:1094600085B000007A91004609B000000400002099
+:1094700085B000000400002085B00000040000203A
+:1094800085B000000400002085B000007D900047FA
+:1094900009B000000400002085B000007A91004669
+:1094A00009B000000400002085B000000400002086
+:1094B00085B000000400002085B0000004000020FA
+:1094C00085B000000400002085B0000004000020EA
+:1094D00085B000009C92004609B000000400002006
+:1094E00085B000000400002085B0000004000020CA
+:1094F00085B000000400002085B000007D9000478A
+:1095000009B000000400002085B000009C920046D5
+:1095100009B000000400002085B000000400002015
+:1095200085B000009C92004609B0000004000020B5
+:1095300085B000000400002085B000000400002079
+:1095400085B000000400002085B00000C5920042F4
+:1095500009B000000400002085B0000004000020D5
+:1095600085B000000400002085B000000400002049
+:1095700085B000000400002085B000000400002039
+:1095800085B00000C392004A09B00000040000202A
+:1095900085B000000400002085B000000400002019
+:1095A00085B000000400002085B000000400002009
+:1095B00085B000000400002085B0000004000020F9
+:1095C00085B00000C592004609B0000004000020EC
+:1095D00085B00000658F004709B00000658F004826
+:1095E00009B000000400002085B000000400002045
+:1095F00085B000000400002085B00000C392004A3E
+:1096000009B000000400002085B000000400002024
+:1096100085B000000400002085B000000400002098
+:1096200085B000000400002085B000000400002088
+:1096300085B000000400002085B000000400002078
+:1096400085B000000400002085B000000400002068
+:1096500085B000006A91004109B0000004000020BC
+:1096600085B000000400002085B000000400002048
+:1096700085B000000400002085B000000400002038
+:1096800085B000000400002085B000007791004202
+:1096900009B000000400002085B00000779100446C
+:1096A00009B000000400002085B000000400002084
+:1096B00085B000000400002085B0000004000020F8
+:1096C00085B000000400002085B0000004000020E8
+:1096D00085B000007791004B09B000000400002025
+:1096E00085B000000400002085B0000004000020C8
+:1096F00085B000000400002085B0000004000020B8
+:1097000085B000007791004309B0000004000020FC
+:1097100085B000007791004509B0000077910046C0
+:1097200009B000007791004709B000007791004828
+:1097300009B000000400002085B0000004000020F3
+:1097400085B000000400002085B000007791004C37
+:1097500009B000000400002085B0000004000020D3
+:1097600085B000000400002085B000000400002047
+:1097700085B000006590004C09B000000400002096
+:1097800085B000000400002085B000000400002027
+:1097900085B000000400002085B000007D900047E7
+:1097A00009B000000400002085B000005690004C75
+:1097B00009B000000400002085B000000400002073
+:1097C00085B000008393004609B00000040000202B
+:1097D00085B000000400002085B000000A9300421C
+:1097E00009B000000400002085B000000A93004486
+:1097F00009B000000400002085B000000400002033
+:1098000085B000000400002085B0000004000020A6
+:1098100085B000000400002085B000000400002096
+:1098200085B000000A93004B09B00000040000203E
+:1098300085B000000400002085B000000400002076
+:1098400085B000000400002085B000000400002066
+:1098500085B000000400002085B000000400002056
+:1098600085B000000A93004509B000000A93004645
+:1098700009B00000658F004709B00000658F0048FF
+:1098800009B000000400002085B0000004000020A2
+:1098900085B000000400002085B000000A93004C51
+:1098A00009B000000400002085B000000400002082
+:1098B00085B000000400002085B0000056900042F2
+:1098C00009B000001593004609B000000400002014
+:1098D00085B000000400002085B0000056900046CE
+:1098E00009B000000400002085B000007D90004712
+:1098F00009B000000400002085B000001593004668
+:1099000009B000000400002085B000000400002021
+:1099100085B000001593004609B000000400002047
+:1099200085B000000400002085B000000400002085
+:1099300085B000001C93004309B000000400002023
+:1099400085B000000400002085B000000400002065
+:1099500085B000000400002085B000007D90004725
+:1099600009B000000400002085B000001C930043F3
+:1099700009B000000400002085B0000004000020B1
+:1099800085B000001C93004D09B0000004000020C9
+:1099900085B000000400002085B000000400002015
+:1099A00085B000000400002085B000003293004321
+:1099B00009B000000400002085B000000400002071
+:1099C00085B000000400002085B0000004000020E5
+:1099D00085B000000400002085B0000004000020D5
+:1099E00085B000000393004A09B000000400002085
+:1099F00085B000000400002085B0000004000020B5
+:109A000085B000000400002085B0000004000020A4
+:109A100085B000000400002085B000000400002094
+:109A200085B000003293004309B00000040000201C
+:109A300085B00000658F004709B00000658F0048C1
+:109A400009B000000400002085B0000004000020E0
+:109A500085B000000400002085B000000393004A98
+:109A600009B000000400002085B0000004000020C0
+:109A700085B000000400002085B000000400002034
+:109A800085B000004A93004309B0000004000020A4
+:109A900085B000000400002085B000000400002014
+:109AA00085B000000400002085B000007D900047D4
+:109AB00009B000000400002085B000004A93004374
+:109AC00009B000000400002085B000000400002060
+:109AD00085B000004A93004D09B00000040000204A
+:109AE00085B000000400002085B000004A8F0042CD
+:109AF00009B000000400002085B000006D8F004216
+:109B000009B000000400002085B00000040000201F
+:109B100085B000000400002085B000000400002093
+:109B200085B000000400002085B000000400002083
+:109B300085B000006D93004209B0000004000020D1
+:109B400085B000000400002085B000000400002063
+:109B500085B000000400002085B000000400002053
+:109B600085B000000400002085B000000400002043
+:109B700085B000006D8F004609B000004A8F004696
+:109B800009B00000658F004709B00000658F0048EC
+:109B900009B000000400002085B00000040000208F
+:109BA00085B000000400002085B000006D930046E1
+:109BB00009B000000400002085B00000040000206F
+:109BC00085B000000400002085B0000004000020E3
+:109BD00085B000007493004A09B000000400002022
+:109BE00085B000000400002085B0000004000020C3
+:109BF00085B000000400002085B000007D90004783
+:109C000009B000000400002085B000007493004AF1
+:109C100009B000000400002085B00000040000200E
+:109C200085B000001693004609B000000400002033
+:109C300085B000000400002085B000000400002072
+:109C400085B000001693004609B000000400002013
+:109C500085B000000400002085B000000400002052
+:109C600085B000000400002085B000007D90004712
+:109C700009B000000400002085B0000016930046E3
+:109C800009B000000400002085B00000040000209E
+:109C900085B000001693004609B0000004000020C3
+:109CA00085B000000400002085B000000400002002
+:109CB00085B000000400002085B000007D930042C4
+:109CC00009B000000400002085B00000040000205E
+:109CD00085B000000400002085B0000004000020D2
+:109CE00085B000000400002085B0000004000020C2
+:109CF00085B000000393004A09B000000400002072
+:109D000085B000000400002085B0000004000020A1
+:109D100085B000000400002085B000000400002091
+:109D200085B000000400002085B000000400002081
+:109D300085B000007D93004609B0000004000020BB
+:109D400085B00000658F004709B00000658F0048AE
+:109D500009B000000400002085B0000004000020CD
+:109D600085B000000400002085B000000393004A85
+:109D700009B000000400002085B0000004000020AD
+:109D800085B000000400002085B00000748F004DF5
+:109D900009B000000400002085B00000040000208D
+:109DA00085B000000400002085B000000400002001
+:109DB00085B000000400002085B0000004000020F1
+:109DC00085B000000400002085B0000004000020E1
+:109DD00085B000000400002085B0000004000020D1
+:109DE00085B000000400002085B0000004000020C1
+:109DF00085B000000400002085B0000004000020B1
+:109E000085B000000400002085B0000004000020A0
+:109E100085B000000400002085B00000748F004D64
+:109E200009B00000658F004709B00000658F004849
+:109E300009B000000400002085B0000004000020EC
+:109E400085B000000400002085B000000400002060
+:109E500085B000000400002085B000000400A205C9
+:109E6000486D0000040022078032000007002E4BDE
+:109E700019900100FB870004E6B10000C98B224263
+:109E8000197C00000F97003A81300100C98B004017
+:109E900081B20000C98B2242197C0000FF1F000F15
+:109EA0001E8C01007396004081320100DB8B9C0FF9
+:109EB000803200000000005C1F8001000080001064
+:109EC00042C90100DB8B2240E36D00000000004529
+:109ED00061B101004000001062DD0100D88BA84094
+:109EE00081320000238300881CB000001D852202FF
+:109EF00080320000DC8B42408132000000000044D0
+:109F00009393010000001A02689701001D8500402C
+:109F100005B000000400A205486D000004002207FF
+:109F20008032000005002E4B19900100FB870004D1
+:109F3000E6B100000000004087B0010000000040D2
+:109F40008DB001000080000342C90100400000A163
+:109F500044C90100000000F0E0B101007F98000654
+:109F6000074001000400A25C1F7C00000000000606
+:109F700007D00100D4002E5C1F90010000000007F4
+:109F8000F0B101000C80000342C90100000000F0A4
+:109F9000F0B101000000004081B20100000000FEAD
+:109FA00096B00100000000FE96C00100000000F025
+:109FB000F0B101000000004081B20100000000FE8D
+:109FC00096C00100000000FE96C00100000000F0F5
+:109FD000F0B101000000004081B20100000000FA71
+:109FE00096C00100000000FE96C001000030004B4A
+:109FF000948801000000004695F001000000004A2E
+:10A0000096C001005E012E34978401000200004BCF
+:10A01000E4E5010064012040E1B10100090000070E
+:10A0200086E4010000002EA787C001001000001088
+:10A0300048C9010010000040F19901005801004397
+:10A04000F0C9010058010005E0C90100000000440A
+:10A0500061B10100A00000A462DD0100088CA840ED
+:10A06000813200000000000548B101001A000040E4
+:10A070009798010008002E4095B00100108C204BED
+:10A08000946C000000000040F1B101000D8C004113
+:10A0900095C000001080001042C90100178C2240BA
+:10A0A000E36D00000000004461B1010040000010B9
+:10A0B00062DD0100138CA8408132000023830088F8
+:10A0C0001CB000000000000548B101000F970040DF
+:10A0D00081300100E08B004081B200000C80000361
+:10A0E00042C90100000000F886B00100000000F83D
+:10A0F00088B001001480000398C801000400A2A1E8
+:10A10000986C00001E8C444081320000218CA24CCF
+:10A11000FD7F0000228C004CFD930000238C20F07A
+:10A12000566F0000000000F056B3010000001C4014
+:10A1300081B2010064000040819801006400004089
+:10A1400080CC01000400A64081320000D80000400D
+:10A15000819801000400A2438104000000800010E7
+:10A1600044C9010064000040F1990100700000053D
+:10A17000F0C9010000000043F0B1010000000047F9
+:10A1800061B101002000001062DD01002E8CA844A6
+:10A19000E0310000100000108CC801000080004673
+:10A1A00044C9010040000040F19901006801000528
+:10A1B000F0C9010064000043F0C90100040024401C
+:10A1C000813200000000004761B10100000000463C
+:10A1D00062B10100378CA844E0310000238300887D
+:10A1E0001CB000000900000786E4010038002EA71B
+:10A1F00087C001008B002D0548B101003F8C224330
+:10A20000E77D00000000004445C10100428C22446B
+:10A21000E77D00000000004C45C101000000004A3D
+:10A2200019900100680120A2E4B1010088000040FB
+:10A2300043990100468C230BE56D000000000041AE
+:10A24000199001000080001044C901005000004036
+:10A25000F199010058010043F0C9010058010005BF
+:10A26000E0C901000000004461B1010000000010DD
+:10A2700062B101004B8CA84081320000238300882A
+:10A280001CB000005C002E0548B1010000800003F6
+:10A2900042C90100000060F096B00100A00000403B
+:10A2A000439901000400A2F2803200000F970041A0
+:10A2B00081300100E08B004081B20000588CA2493F
+:10A2C000197C000086000040479901005C8C00402A
+:10A2D000E5B1000086002F49198001005C8CA2F2D4
+:10A2E000803200008B0000404799010000000042CE
+:10A2F000E79101005F8CA246197C0000A00000409D
+:10A3000047990100638C0040E5B10000A0002F4692
+:10A3100019800100638CA2F2803200008B000040A3
+:10A320004799010000000041E79101000700004E3D
+:10A3300080E401000039004080C801000400A24010
+:10A34000066C0000A80000404399010034002DF085
+:10A3500024B00100000000FB0CB00100000000FB75
+:10A3600010B00100000000FB12B001000F0000F36C
+:10A3700016880100040000F314F40100938C2640B9
+:10A3800081320000798C220A166C000058003D438F
+:10A3900013E00100000000F882B00100040022F088
+:10A3A000843000001B980040813201002383008824
+:10A3B0001CB000000000000548B101000000004191
+:10A3C00013C00100788CA043136C00000000004013
+:10A3D00013B001006E8C004115D00000938C220A4E
+:10A3E000803200000400A208126C000058003D43B7
+:10A3F00013E00100000000F882B00100040022F028
+:10A40000843000001B980040813201004000204051
+:10A41000E1B10100238300881CB0000000000005AA
+:10A4200048B10100938C224115500000000000410A
+:10A4300011C00100868CA043116C00000000004098
+:10A4400011B0010004002206106C000058003D43CA
+:10A4500011E00100000000F836B00100040022F015
+:10A46000003000000000005083B001005497004706
+:10A4700061310100238300881CB000003194000585
+:10A48000483101000000004561B1010040000010AA
+:10A4900062DD01008F8CA840813200002383008898
+:10A4A0001CB00000828C000548B10000370020403D
+:10A4B000E7B101008697005181300100E08B004038
+:10A4C00081B2000037000040439901000400A2F36C
+:10A4D0008032000034002E41F5B10100001100402F
+:10A4E000E59901000400A248197C0000A08C0048F6
+:10A4F0001990000037000040439901000400A2F3C6
+:10A500008032000034002E41F5B1010000110040FE
+:10A51000E59901000080000342C90100000000F835
+:10A5200094B00100A78C2245237C0000B0002FF0DE
+:10A530008CB00100000060F08CC001007C00004085
+:10A54000439901000400A3F08C6C000090000040CF
+:10A550004399010035002DF08CB0010034002DF33B
+:10A5600084B00100040022F3846C000058003E43D4
+:10A5700085E00100AE8C2248197C000000000041FB
+:10A580008DC001000000680A8CC0010038002A4A12
+:10A59000E0B1010028000000E0C901003C00201BE0
+:10A5A000E0B101001080000342C90100000000F882
+:10A5B00038B00100000000F826B00100040022F8C5
+:10A5C00002300000BC8C2301146C0000000000F875
+:10A5D00080B00100000000F882B001004C0020F0C3
+:10A5E000E4B1010044002040E0B1010048002041F6
+:10A5F000E0B10100A8002D1032B001005F9800F01A
+:10A6000024300100C58CA244816C0000C38C22411F
+:10A61000197C0000BC9500403B300100ED8CA20885
+:10A620003C300000C58C004081B20000BF94004067
+:10A6300081320100ED8CA2083C3000005000201C4B
+:10A64000E0B1010054002013E0B101004E002001F0
+:10A65000E4B101004000200AE0B101008697005FEC
+:10A6600081300100E08B004081B2000037000040E3
+:10A6700047990100959500F394300100A08C224A7F
+:10A6800080320000D18C004081B2000037000040D1
+:10A6900047990100959500F3943001000400204390
+:10A6A000976C000058003E4397E001000000001B3B
+:10A6B000F0B101001F006000008C0100E08B8511EB
+:10A6C000803200000480000342C90100B0002FF076
+:10A6D0008CB00100000060F08CC001007C000040E4
+:10A6E000439901000400A3F08C6C00008697005F82
+:10A6F00081300100E08B004081B20000040022495B
+:10A70000197C0000DF8C004919800000E48C224194
+:10A71000197C0000BC9500403B300100E88CA20889
+:10A720003C3000008697005F81300100E08B0040E4
+:10A7300081B20000BF94004081320100E88CA20881
+:10A740003C3000008697005F81300100E08B0040C4
+:10A7500081B2000050002D1032B0010054002DF0E5
+:10A7600038B001004E002DF026B0010040002DF25F
+:10A7700002B00100000000F014B001003000001031
+:10A780008CC801000080004644C9010068012D44C6
+:10A7900061B10100100068F280C8010000000008EB
+:10A7A000F0B1010058010005E0C901000000000BF4
+:10A7B00037B001000000004036D001005C012E409F
+:10A7C00010C001000000000680C00100000000521F
+:10A7D00081D0010018970040E431010020000046BC
+:10A7E00062DD0100F98CA840233000000E95004086
+:10A7F000813201001695004081320100078D8241AF
+:10A80000234000002080001042C90100048D224036
+:10A81000E36D00000000004661B10100400000103F
+:10A8200062DD0100018DA840813200002383008891
+:10A830001CB000000000000548B10100000000103D
+:10A8400032B001000000004123B001000080001977
+:10A8500044C901000F8D2241197C00000B8DA3011A
+:10A860000C6C00000C8D000604B00000000000011C
+:10A8700004B001000E8D2002366C00000000001BA9
+:10A8800004B00100128D0002E0B10000118DA3019F
+:10A890000C6C0000128D000604B0000000000001E6
+:10A8A00004B001000000680216940100FFFF000BD5
+:10A8B00016D80100000068083E9601000000001C48
+:10A8C000F0B101000000004661B101002000001954
+:10A8D00062DD0100178DA813E0310000548D2202C3
+:10A8E0001450000044002D020CD001003F8DA20244
+:10A8F00002500000258D225C1F7C00002080000398
+:10A9000042C90100248D2240E36D00000000004791
+:10A9100061B101004000001062DD0100208DA840FF
+:10A9200081320000238300881CB000000000000575
+:10A9300048B1010044002D5C1F80010048002DF04B
+:10A9400038B001004C002DF026B0010038002FF285
+:10A9500002B00100418D2201146C00000400A440EB
+:10A9600081320000338D22461F7C0000000000462B
+:10A970001F80010020002D0348B10100328D2240CC
+:10A98000E36D00000000004461B1010040000010D0
+:10A9900062DD01002F8DA8408132000023830088F2
+:10A9A0001CB0000038002F0548B10100000000F87D
+:10A9B00094B0010038002DF096B001000000004C6A
+:10A9C000E1C101002000000348C901000000224A43
+:10A9D000F1B1010044000005F0C901000000004A87
+:10A9E000F0B101000000004BE0B1010000000047A1
+:10A9F00061B10100A00000A462DD01003C8DA85CF3
+:10AA00001F100000418D000548B100000000000249
+:10AA100038C0010004002440813200004F8D22061E
+:10AA2000803200000000005033C001004D8DA202B2
+:10AA3000366C000004002241197C000004008F0DD8
+:10AA400042310000040022F0803200000400225C49
+:10AA5000E17D00000400A2F06A060000100000F88A
+:10AA600010C801000000005C11800100F0070040E8
+:10AA700037980100FD8C00A11AB000000000000210
+:10AA800010C00100FD8C000236D000005000201CD8
+:10AA9000E0B1010054002013E0B101004E0020019C
+:10AAA000E4B101004000200AE0B101005B8D005FCD
+:10AAB00001B000000400A202026C00000400A20227
+:10AAC0000C6C000037002D4601B00100040000F3BB
+:10AAD00080F401005A8DA043816C000000000055F5
+:10AAE00001B0010040002040E1B1010000800019E8
+:10AAF00042C90100618D2240E36D00000000004664
+:10AB000061B101004000001962DD01005E8DA840C6
+:10AB100081320000238300881CB0000013950040A0
+:10AB2000813201003080001042C90100688D22404E
+:10AB3000E36D00000000004461B10100400000101E
+:10AB400062DD0100658DA84081320000238300880A
+:10AB50001CB0000060012F0548B101000000000B8F
+:10AB6000E4B101000000005017F001006D8D90F27B
+:10AB7000164000000000004117C0010000006620E0
+:10AB800017A40100320000A62AC00100000000F254
+:10AB90002A940100708D45486131000000D0001EEC
+:10ABA00062DD0100758D284005300000718D22485E
+:10ABB000777D0000788D004081B200000000001514
+:10ABC00062B10100838D284081320000758D004004
+:10ABD00081B2000000001D0092B00100808D224172
+:10ABE000197C0000040022403B6C00000400A348D4
+:10ABF0003B6C00000080000342C90100C99400F8CA
+:10AC0000003001007D8DA2413B500000848D004941
+:10AC100000B00000FF07001E008C0100C994004036
+:10AC200081320100848D004900B0000000001D4702
+:10AC300019800100878D225F016C00008E98004012
+:10AC400081320100AA88000080B000008E8D225C55
+:10AC50001F7C00002080000342C901008E8D22402D
+:10AC6000E36D00000000004761B1010040000010EA
+:10AC700062DD01008B8DA8408132000023830088B3
+:10AC80001CB000008E8D400548310000FFFF00071A
+:10AC900094890100948D85CA943000008E98185CC8
+:10ACA0001F0001000E00000F1E8C0100B78700403E
+:10ACB00081B200008697180080300100E08B0047C9
+:10ACC000198000000000004019800100E08B22473D
+:10ACD000197C0000BF940040813201009B8DA208C6
+:10ACE00080320000E08B004081B2000018970040E5
+:10ACF0000D3001009C01004045990100FFFF000B51
+:10AD0000988801008B002D5017F00100A18D904C08
+:10AD1000164000000000004117C00100A38D22432F
+:10AD2000E77D00000000004445C1010000006620EE
+:10AD300017A4010068010040439901005C012EF254
+:10AD400080B00100020062407ECD0100000000578B
+:10AD500081C0010000002E1048B101000300004036
+:10AD6000F08D010000000008F0B10100580100055D
+:10AD7000E0C901000000004461B1010000000010C2
+:10AD800062B10100AD8DA8408132000023830088AC
+:10AD90001CB000000000000548B10100B18D45481D
+:10ADA000613100000050000862DD0100B78D2840CD
+:10ADB00005300000B28D2248777D0000C9941D083F
+:10ADC00000300100E08B004081B20000E08B1D47A5
+:10ADD000198000000400A205486D00003500004005
+:10ADE00047990100010063F384C80100BD8DA043B1
+:10ADF000856C00000000634085B00100A8000040A1
+:10AE00004399010037002FF024B00100040022F321
+:10AE10009E060000010063F382CC0100CB8DA241AD
+:10AE20009E060000E08B224483700000A8000040D2
+:10AE3000439901000400A2F0246C00003600004099
+:10AE40004399010058003D43E7E10100E08B1FF00A
+:10AE5000246C00008E98004881300100AA882341AC
+:10AE6000836C0000AA88004781B0000034000040D5
+:10AE70004399010004002242E66D000058003D4362
+:10AE800085E00100000000F836B00100000000F08D
+:10AE900000B0010004002200803200000400A20083
+:10AEA000BE06000028000040839801005497004728
+:10AEB00061310100238300881CB0000000002D03D5
+:10AEC00048B1010008002DF094B00100000000F826
+:10AED0008EB0010090002DF014B0010000000005BC
+:10AEE00048B10100A88CA2408F7C0000DE8D224773
+:10AEF0008F7C00000400A248197C0000A88C004848
+:10AF000019900000040022468F7C0000608E0040F3
+:10AF100081B200000400A205486D000036002D5DDE
+:10AF200005B4010037002DF380B00100000000F3EC
+:10AF30008EB00100F00000477E8901000400264029
+:10AF4000813200005C003D4381E00100A8002DF04B
+:10AF500094B001000400224A80320000000000F09A
+:10AF600024B001002000001086DC010040800003B6
+:10AF700044C901009293004AF03101000400A25C30
+:10AF80001F7C000036002F5C1F900100F28DA25044
+:10AF90008F50000034002040E1B10100E08B004000
+:10AFA00081B20000F00000477E89010004002640C5
+:10AFB000813200000000634181C00100F78DA04391
+:10AFC000816C00000000634081B001003700204721
+:10AFD000E6B10100E08B2247803200000400004708
+:10AFE0000CF401000000004F8F8401000C8E2247FA
+:10AFF0000C6C000058003D4381E001000C8E1FF0F6
+:10B00000246C00000000005C1F8001000080001024
+:10B0100042C90100058E2240E36D0000000000459A
+:10B0200061B101004000001062DD0100028EA84005
+:10B0300081320000238300881CB00000058E42404E
+:10B0400005300000000000449393010000001A5DE9
+:10B05000699301000A8E23410D6C0000E08D00050C
+:10B0600048B100008E98000548310100AA880048C8
+:10B0700081B00000E08B22408F6C00008697005F5B
+:10B0800081300100E08B004081B200004002000CE2
+:10B090007E8901000400A64081320000A200004029
+:10B0A00043990100000000F384B00100A6002D497F
+:10B0B00019900100020000F280F40100B8002D4058
+:10B0C00081B20100000000F280C0010000000040D9
+:10B0D00082F8010019000040819801001D8EA040F7
+:10B0E000826C00002C010040819801001D8EA3405D
+:10B0F000826C00000000004180B001001F8E204CD7
+:10B10000856C00000000004185C0010086002040E1
+:10B11000E4B10100A2002042E6B10100E08B004052
+:10B1200081B200000F97005081300100E08B004099
+:10B1300081B200000480000342C90100040022F033
+:10B1400080300000000000408DB001007F9800407A
+:10B15000873001000400A25C1F7C0000B0002F5C5F
+:10B160001F900100000060F080C001007C000040E2
+:10B17000439901000400A3F0806C00008697005FF3
+:10B1800081300100E08B004081B2000004000040EB
+:10B1900081B20000E08B2246197C0000A000004034
+:10B1A00047990100010062F296CC0100E08BA640B5
+:10B1B000813200008697004A813001005B9700468B
+:10B1C00095300100E08B004081B20000E08B224905
+:10B1D000197C00008600004047990100010062F2DE
+:10B1E00080CC0100E08BA640813200008697004AA7
+:10B1F000813001005B97004795300100E08B0040F3
+:10B2000081B2000031940040813201000400A25C50
+:10B210001F7C0000E08B005C1F9000000400A24631
+:10B22000197C0000E08B004081B200000400A249BC
+:10B23000197C0000E08B004081B20000BA000040A1
+:10B2400047990100010062F280C80100498E9040D8
+:10B2500080320000FFFF624081980100A40000409E
+:10B2600047990100E08B2240E56D0000E08B004132
+:10B27000E5C100000F97004D81300100E08B0040D8
+:10B2800081B200005C00004047990100040022F0F8
+:10B290009630000000000040E1B101000080000392
+:10B2A00044C901000000004BE0B101000000004073
+:10B2B0008DB001007F980040873001008B00004076
+:10B2C00047990100598E80F396300000000000403D
+:10B2D000E781010000000047199001000400A25C12
+:10B2E0001F7C0000E08B005C1F90000037000040D6
+:10B2F000439901000400A2F38032000034000040B2
+:10B300004599010001000040F5990100001100403D
+:10B31000E5990100BF94004081320100718EA208BE
+:10B32000803200003700004047990100000000F320
+:10B3300082B001000000635183D00100340000405E
+:10B3400047990100010063F384CC0100698E9F429C
+:10B35000803200000000634285B00100000000451B
+:10B3600003F001000000000100C001006B8E375C9B
+:10B37000613100000000001B62B101006C8EA84B1F
+:10B38000191000000000000062B101006E8EA8409C
+:10B3900081320000F087174081B200000080000376
+:10B3A00042C9010090002DF094B00100AC002DF0D6
+:10B3B00030B0010035002DF028B0010034002DF32D
+:10B3C00084B00100040022F3846C000058003E4366
+:10B3D00085E0010001000018F0C901000000004AEA
+:10B3E000E0B1010038002000E0B101003C00201B6A
+:10B3F000E0B1010040002040E1B101000000004048
+:10B400002BB001006A9700400D30010000000018C9
+:10B4100016C00100828EA0141644000000000041F6
+:10B4200017C001000E0000A244C90100000000186E
+:10B43000F8B10100B0002D14F8B101001050004027
+:10B44000879801008B8E224A197C0000003000434F
+:10B4500086C801000030000B16C801008B8EA44086
+:10B46000813200000000004117C0010001006E435E
+:10B4700086980100AE970030813001008F8EA04188
+:10B48000174000000000004117C00100968E224ABC
+:10B49000197C0000080000A244C90100CC002DABBB
+:10B4A000F9B10100000000AB17C00100958EA0F0BB
+:10B4B000164400000000004117C00100000064F0C5
+:10B4C00082B00100900000404599010000006041F9
+:10B4D00031C00100BC000040439901009C8E060C65
+:10B4E00080320000A00020F2E4B10100040009460F
+:10B4F000191000009C01004045990100FFFF000B5E
+:10B50000988801008B002D5017F00100A18E904CFF
+:10B51000164000000000004117C00100A38E224326
+:10B52000E77D00000000004445C1010000006620E6
+:10B5300017A4010068010040439901005C012EF24C
+:10B5400080B00100020062407ECD01000000005783
+:10B5500081C0010000002E1048B10100030000402E
+:10B56000F08D010000000008F0B101005801000555
+:10B57000E0C901000000004461B1010000000010BA
+:10B5800062B10100AD8EA8408132000023830088A3
+:10B590001CB000000000000548B10100B18E454814
+:10B5A000613100000050000862DD0100B28EA84049
+:10B5B0000530000035001D4047990100010063F38C
+:10B5C00084C80100B88EA043856C00000000634071
+:10B5D00085B001003700004047990100040022F3C4
+:10B5E0009E060000010063F382CC01000400A2412A
+:10B5F0009E0600008B000040479901000400A24510
+:10B60000E77D000000000045E79101008697005F9C
+:10B6100081300100E08B004081B200003700004023
+:10B6200047990100959500F394300100608E224AFD
+:10B6300080320000D18C004081B200003700004011
+:10B6400047990100959500F3943001009A8C224AA5
+:10B6500080320000D18C004081B2000036000040F2
+:10B6600043990100000000FB12B001000F0000F33D
+:10B6700090880100040000F30CF40100040026404F
+:10B6800081320000CB8C2206906C00000400AA409E
+:10B69000813200005C003D4313E00100A8002DF062
+:10B6A00094B0010004002240956C000037002FF098
+:10B6B00024B0010036002A50E7D1010000006341A8
+:10B6C00013C00100D88EA043136C0000000000409E
+:10B6D000E7B101008F9300108630010023830088BA
+:10B6E0001CB00000DA8E4205483100000000004422
+:10B6F00093930100CB8C1A5D699300000400A205AE
+:10B70000486D000036002D1086B001005C003D43FE
+:10B71000E7E10100A8002DF094B001000400224AE6
+:10B720008032000035002FF024B0010001006BFBD7
+:10B7300084C80100E78EA043856C000035002040DE
+:10B74000E7B101000000004081B20100010063F395
+:10B7500012C80100EA8EA043136C000000000040F4
+:10B76000E7B101004080000344C901009293004A00
+:10B77000F0310100238300881CB00000ED8E4205EB
+:10B7800048310000000000449393010000001A5D5E
+:10B79000699301003700004047990100040022F33B
+:10B7A0009E060000110063F382CC010004001F41DB
+:10B7B00080320000C28D22419E060000350000400C
+:10B7C0004399010058003D43E7E10100000000F803
+:10B7D00036B00100D08D00F000B000005E012D05F4
+:10B7E00048B10100FA8E65F21230000000993F4224
+:10B7F00013F00100FF8E2247E77D00002783758844
+:10B800001CB00000F98E004081B20000000000472B
+:10B81000E791010000007542199001007500004099
+:10B8200061990100018FA8B10C300000A9960010A9
+:10B8300094300100238300881CB000005E012E05B7
+:10B8400048B10100C0A83D460DE0010000000040E5
+:10B8500097B001000B8F2240E16D0000040002410F
+:10B8600097400000088F005043C10000178F224B03
+:10B87000803200000000624B1294010009000007B2
+:10B8800096E40100000000A797C0010030000010FE
+:10B8900094C801000080004A449901000000004261
+:10B8A000F1B101005E01004BF0C901005E0100052D
+:10B8B000E0C901000000004461B101002000004A1D
+:10B8C00062DD0100158FA840813200000080001069
+:10B8D00044C9010000000050F1B10100040000095A
+:10B8E00096E40100000068A897C00100D40000059C
+:10B8F000E0C901000000004461B101000000001037
+:10B9000062B101001D8FA8408132000023830088AE
+:10B910001CB0000000993F4213F00100218F6540E8
+:10B92000813200003F0000F39688010000000040D3
+:10B93000E7B101000000755561B10100000000068B
+:10B9400062B10100258FA840813200002A8F224B6E
+:10B95000803200000000004B62B10100288FA84037
+:10B96000813200000000009713B001000000009633
+:10B9700097B00100308F2009966C0000308F1F09AE
+:10B9800096240000278300881CB000002B8F004005
+:10B9900081B200000F97005781300100C98B00056C
+:10B9A00048B1000004002242197C00002E00004033
+:10B9B00043990100378F22F3803200000F97004235
+:10B9C00081300100F087004081B20000869700526C
+:10B9D00081300100C98B004219800000040022421E
+:10B9E000197C00000F97003A8130010086970052C1
+:10B9F00081300100C98B004081B20000000000408E
+:10BA000005B001000596004095300100C98B224029
+:10BA1000956C0000240400408998010009990000F9
+:10BA20008A300100458FA2401F7C0000C99400406D
+:10BA300081320100F087004081B2000004800003E1
+:10BA400042C90100000000F202B00100A5950052B9
+:10BA500095300100AC95004B02B00000F08700402B
+:10BA600081B200002B98004095300100518FA20850
+:10BA700080320000518FA21680320000F0872242EF
+:10BA8000197C00000000004B199001000F97003A4C
+:10BA900081300100F087004081B20000002300A641
+:10BAA00016B00100548F831E803200000008000B86
+:10BAB00016DC0100000000002AC001005E970008AB
+:10BAC00080300100588F005E179000007F97004380
+:10BAD000613101009E9300408D30010066970007A0
+:10BAE000161401000080001042C90100608F22403E
+:10BAF000E36D00000000004361B101004000001050
+:10BB000062DD01005D8FA840813200002383008840
+:10BB10001CB000000097005E05100100C9940040B1
+:10BB200081320100648F2209803000008697004036
+:10BB300013300100D08B000548B100003C96004056
+:10BB400081320100C98B004081B200000400A24A8A
+:10BB50001F7C00000000004A1F9001006C8F2243F0
+:10BB60003D7C0000000000441990010000000043EB
+:10BB70003D8001006D8F0042199000000400A24F2B
+:10BB80002B7C00000400A2451F7C000014002D4502
+:10BB90001F9001000400A2F0146C00000400A0013A
+:10BBA000146C0000DF8F831E80320000DF8F0044A2
+:10BBB000199000002F000040439901000400A247A3
+:10BBC000E77D0000B494004081320100878FA20815
+:10BBD00080320000878FA21680320000838FA2423D
+:10BBE000197C00000082000204DC0100A0980040E3
+:10BBF000479901003005004189300100808FA24142
+:10BC0000197C0000C994004081320100F087004097
+:10BC100081B20000A595001594300100AC95004B51
+:10BC200002B00000F087004081B200003C96004066
+:10BC3000813201000000004B199001000F97003A7B
+:10BC400081300100F087004081B200008A8F2242DB
+:10BC5000197C00003C960040813201008B8F00402F
+:10BC600081B200000596004081320100C38F22415D
+:10BC7000197C0000C000001598C80100C38FA00BFC
+:10BC8000996C0000040022441F7C0000FF070000A4
+:10BC90007E8901000400A6408132000030000010BF
+:10BCA00080C801000080004044990100000000505D
+:10BCB000F1B1010000000003F0B1010000000042FA
+:10BCC00061B101000000004062B10100968FA80040
+:10BCD000E0310000238300881CB000000000000554
+:10BCE00048B10100C000001598C8010030002E0BBB
+:10BCF00099D0010000006A5099C001000400200B97
+:10BD0000996C0000C000620180CC01000C8000032F
+:10BD100042C901002D002DF022B001000000004CAE
+:10BD200080C001000000005C23800100D4003F417E
+:10BD3000E7E1010004002242197C00000B0000F240
+:10BD400098E401000000005A998001000400A2005C
+:10BD5000986C0000200400408998010009990011A6
+:10BD60008A3001000B000011E4F501002F0020478C
+:10BD7000E7B50100AE8F230B816C00000000004F7F
+:10BD8000E59101000000000880B00100C100000141
+:10BD900080CE01000400A440813200000000000BAE
+:10BDA00003B001000000001502D001005E97000002
+:10BDB0002A4001000000004361B101004000001072
+:10BDC00062DD0100B58FA840813200002383008826
+:10BDD0001CB00000C994000548310100C0000001FA
+:10BDE00080CE0100C18F261100300000100000003D
+:10BDF0002AC801000000000880B001000000000116
+:10BE000080C00100C00000409998010000000001BE
+:10BE100098D001005E97004C02300100C000004045
+:10BE200003980100CB8F004081B2000030002F0842
+:10BE300080B00100C0000015F4C90100C00000017D
+:10BE4000E4CD0100C100000180CE01000400A44047
+:10BE5000813200000400200BE56D0000C0000040AE
+:10BE6000039801005E9700002A400100D08F224411
+:10BE70001F7C0000AC002F4013B001000000000147
+:10BE8000E0C10100B000004047990100D18F0001DE
+:10BE9000E0D100009E9300408D300100806300A639
+:10BEA00016B001006697000716140100008000100C
+:10BEB00042C90100D98F2240E36D00000000004319
+:10BEC00061B101004000001062DD0100D68FA84082
+:10BED00081320000238300881CB000000097005EC0
+:10BEE00005100100DC8F2209803000008697004099
+:10BEF00081320100C98B000548B100000400A24A4C
+:10BF00001F7C0000DF8F004A1F9000000400A24F3A
+:10BF10002B7C00000400A25C1F7C00000400A244F3
+:10BF20001F7C00000000000010B0010024002D154F
+:10BF300010C0010028002DF016B0010022002DF0E5
+:10BF400026B0010014002FF20CB001000000000127
+:10BF5000E0D101000000001032B001000000000B31
+:10BF60001BB0010004001F151A5000000000004023
+:10BF700023B00100000000012AB00100BE9600407D
+:10BF800035B000002F002040E7B101002990A24504
+:10BF90001F7C00000400A205486D00002400200B57
+:10BFA000E0B1010028002013E0B1010022002006CA
+:10BFB000E4B10100FD8F225C1F7C00000000005CEA
+:10BFC0001F8001003080001042C90100FD8F224017
+:10BFD000E36D00000000004761B101004000001067
+:10BFE00062DD0100F98FA8408132000023830088C0
+:10BFF0001CB000000000000548B101001400004022
+:10C00000439901000400A2F0146C000000800019A4
+:10C0100042C9010022902240E36D000010902242AC
+:10C02000197C000073960040813201005A94004050
+:10C03000813201001D90224B80320000000000433D
+:10C0400061B101004000001062DD01000690A840CF
+:10C0500081320000238300881CB000000C90224134
+:10C06000197C0000E7940040113001000D9000059C
+:10C0700048B10000C9940040813201000F902209AC
+:10C080008030000086970040813201002F830040FD
+:10C0900005B0000073960040813201004F940040CB
+:10C0A000813201000000004361B101004000001036
+:10C0B00062DD01001390A8408132000023830088D4
+:10C0C0001CB0000019902241197C0000E794004048
+:10C0D000113001001A90000548B10000C9940040D9
+:10C0E000813201001C9022098030000086970040B8
+:10C0F000813201002F83004005B0000000000043A2
+:10C1000061B101004000001062DD01001E90A840F6
+:10C1100081320000238300881CB00000000000056D
+:10C1200048B1010025902241197C0000E7940040AD
+:10C13000113001002690000548B10000C99400406C
+:10C14000813201002890220980300000869700404B
+:10C1500013300100D08B004005B0000014000040F7
+:10C16000439901000400A2F0146C00000080001943
+:10C1700042C9010032902240E36D000000000043FC
+:10C1800061B101004000001062DD01002E90A84066
+:10C1900081320000238300881CB0000000000005ED
+:10C1A00048B101000000004005B001003690224176
+:10C1B000197C0000E7940040113001003790000521
+:10C1C00048B10000C99400408132010008002D0AE6
+:10C1D00084B00100000000F082B00100040026409D
+:10C1E0008132000014002040E1B101003D90031EA7
+:10C1F000803200003E90004187B0000021000040E6
+:10C20000879801002C960040813201000400A25C56
+:10C210001F7C00000000005C1F9001004390220979
+:10C220008030000086970040133001004690224481
+:10C23000197C00008697004F813001000000004407
+:10C2400019800100C98BA24A1F7C0000D08B0040DE
+:10C2500081B200000400A205486D0000BA00204031
+:10C26000E5B101004E909C17803200000400224A84
+:10C27000197C0000CC000040439901003698004032
+:10C2800081320100D497004013300100C00000400B
+:10C2900043990100C4002DF082B001000B9800F01A
+:10C2A00084300100C994004081320100D08B220902
+:10C2B000803000008697004013300100D08B004092
+:10C2C00081B200002E000040439901005A902240A4
+:10C2D000E76D000032000040439901006590A240E4
+:10C2E000E56D0000F2950040813201002400200B32
+:10C2F000E0B1010028002013E0B101002200200677
+:10C30000E4B1010004002242197C00001400004046
+:10C31000439901000400A2F0803200001400200ABA
+:10C32000E0B10100D08B22098030000086970040E8
+:10C3300013300100D08B004081B20000F295004024
+:10C34000813201009D9500408132010073902241AD
+:10C35000197C00000000000B99B0010004001F15BB
+:10C360009850000073902001986C0000700000034A
+:10C3700048C9010000002E461F9001000000005037
+:10C38000F1B1010000000003F0B101000000004223
+:10C3900061B10100A00000A462DD01007090A8005E
+:10C3A000E03100000000000548B10100AC002F00A2
+:10C3B00010B0010000000001E0C1010014002F15C1
+:10C3C00010C001000400A2F0803200000000000A4A
+:10C3D00080B001000000600180D001000000004733
+:10C3E00019900100E98F2209803200008697000928
+:10C3F00080300100E98F004013B00000008000038E
+:10C4000042C90100000000F082B0010013000040AA
+:10C41000879801000000004C43C101002C9600F0F9
+:10C42000843001000400A25C1F7C0000C98B005C0A
+:10C430001F9000002C002040E7B101002D0020409B
+:10C44000E7B101002E000040439901000400A2F36F
+:10C450008032000004002242197C0000C98B004297
+:10C46000198000001C960040813201005B97004853
+:10C47000953001000000004561B10100400000104E
+:10C4800062DD01008D90A8401330000023830088F6
+:10C490001CB000009390000548B10000929000404D
+:10C4A00013B000000000000012B0010008000040BE
+:10C4B0004399010014002DF082B0010004002640D1
+:10C4C00081320000040022F084300000130000409C
+:10C4D000879801002C960040813201000400A25C84
+:10C4E0001F7C00000000005C1F900100B09000095C
+:10C4F00000B000000400A205486D0000C98B87420F
+:10C50000191000008B002F4719800100C98B0040D3
+:10C51000E79100000400A2401F7C00002F000040B3
+:10C5200047990100AE902247E77D000004002241B8
+:10C53000197C00001D940040E7310100AE902200FC
+:10C5400080320000A990A2401F7C0000C9940040E6
+:10C5500081320100AE90004081B200003000004006
+:10C560004399010032002DF294B00100A59500F22C
+:10C5700002300100AC95004B02B000000000000545
+:10C5800048B10100AF90004001B000000000004041
+:10C5900005B00100B590220080320000B490A242A4
+:10C5A000197C00000596004081320100B5900040E2
+:10C5B00081B200003C960040813201005491225C1F
+:10C5C0001F7C00000000005C1F8001000080001044
+:10C5D00042C90100BD902240E36D0000000000450B
+:10C5E00061B101004000001062DD0100BA90A84076
+:10C5F00081320000238300881CB0000054910005A4
+:10C6000048B10000B494004081320100C490A208F7
+:10C6100080320000C490A216803200000F97004DB7
+:10C62000813001000082000204DC0100F08700403C
+:10C6300081B200007400004043990100000000F83E
+:10C6400082B00100000000F084B001000000004151
+:10C6500096B00100D5902242961400000080001090
+:10C6600044C9010064006840979801006400004BD1
+:10C6700080CE01000400A64081320000000000418D
+:10C68000F0B1010000000042F0B1010070000005AF
+:10C69000E0C901000000004561B101002000001068
+:10C6A00062DD0100D190A840813200000400A25C4C
+:10C6B0001F7C00000000005C1F900100000000458E
+:10C6C00061B101004000001062DD0100D690A85C5D
+:10C6D0001F000000238300881CB000005E012D05B0
+:10C6E00048B10100DA9065F21230000000993F4233
+:10C6F00013F00100DF902247E77D00002783758853
+:10C700001CB00000D990004081B20000000000473A
+:10C71000E79101000400750996E401000080001013
+:10C7200044C9010000000044F1B10100000068A804
+:10C7300097C0010000000003E0B101000080000389
+:10C74000449901000000004461B1010000000010A4
+:10C7500062B10100E790A840E13100002383008826
+:10C760001CB0000000993F4213F00100EB906505FA
+:10C77000483100003F0000F39688010000000040AF
+:10C78000E7B101000000754081B20100F390224B37
+:10C79000803200000000005561B101000000004B34
+:10C7A00062B10100F190A840813200000000000752
+:10C7B00016B001000062000B16DC01002F000040E3
+:10C7C000439901000400A247E77D00001D9400404A
+:10C7D0008132010010912200803200004E96005FED
+:10C7E00001100100F7902240956C000004002241E6
+:10C7F000197C0000040022401F7C00000080001013
+:10C8000044C9010000000050F1B101000000000324
+:10C81000F0B101000000004261B101000000001011
+:10C8200062B101000191A800E0310000238300887B
+:10C830001CB000000000000548B1010004800003A6
+:10C8400042C90100000000F202B0010004002031E2
+:10C85000036C0000A595005295300100C99400407A
+:10C8600081320100F7902241975000000C800003B4
+:10C8700042C90100000000F000B001000000005CAF
+:10C8800001800100AC95004B02B00000F79000055C
+:10C8900048B1000066970040033001001780000394
+:10C8A00044C9010000F0000C968801000000634CB0
+:10C8B00097F001000400204D976C00000400224016
+:10C8C000976C00001080000344C90100000000AB19
+:10C8D000E1B101000097005E0510010003000007B0
+:10C8E0001AF40100070000071688010000B5000DCA
+:10C8F00046C901001C913040813200000400220B27
+:10C90000E67D00000000000BE681010000B7000D8D
+:10C9100046C901000400220BE67D00000000000B68
+:10C92000E68101001000100F94F401009304005FF1
+:10C930009504010076950040813201002A91225031
+:10C94000FD7F000026914640813200002991A240DF
+:10C95000316F000004001E4081B2000000001E4143
+:10C9600031D3010000002E0548B101000000004055
+:10C97000E1B10100000000400FB00100AB940041A4
+:10C9800081300100F087004081B20000B494004083
+:10C99000813201003D91A208803200003D91A21633
+:10C9A000803200000082000204DC0100000000452B
+:10C9B00003F001000000000100C001003591375C68
+:10C9C000613100000000001B62B101003A91284073
+:10C9D000813200000400A25C777D000036910040A7
+:10C9E00081B200000000000062B101003A91A8404D
+:10C9F00081320000F087174081B2000074002240AD
+:10CA0000F1B1010000000040E1B101005B97004A74
+:10CA1000953001000400A25C1F7C00001C96005CA5
+:10CA20001F100100C490004081B200000400A24029
+:10CA30001F7C00002F0000404799010051912247C0
+:10CA4000E77D000004002241197C00001D94004095
+:10CA5000E731010051912200803200004C91A24048
+:10CA60001F7C0000C99400408132010051910040B8
+:10CA700081B20000300000404399010032002DF2E5
+:10CA800094B00100A59500F202300100AC95004B76
+:10CA900002B000000000000548B101005B970048AB
+:10CAA000953001000400A25C1F7C00001C96005C15
+:10CAB0001F1001000400A205486D00005891874234
+:10CAC000191000008B002F47198001000000004062
+:10CAD000E79101008697004281300100C98B004038
+:10CAE00081B200001C960040813201000400A25C6B
+:10CAF0001F7C0000C98B005C1F900000B00000404C
+:10CB0000439901000400A2F080320000BA002040E6
+:10CB1000E5B10100D497004081320100C00000401F
+:10CB200043990100C4002DF082B001000B9800F081
+:10CB300084300100C994004081320100869700458D
+:10CB400081300100C98B2242197C00000F97003A06
+:10CB500081300100C98B004081B200000400004018
+:10CB600081B20000B4940040813201007091A208AB
+:10CB7000803200007091A216803200000F970047AB
+:10CB8000803001000082000204DC0100F0870040D8
+:10CB900081B200001080000344C9010000E100A63A
+:10CBA00084B0010000000040F1B10100000000402D
+:10CBB000F1B1010000006007849401000097005E5D
+:10CBC00005100100C98B004081B200008A000040BE
+:10CBD00047990100C9940041E7410100D08B004012
+:10CBE00081B200000400A205486D00000400A241CB
+:10CBF000197C00000400A2481F7C0000F295004050
+:10CC0000813201000400A30A0C6C00009D950040D5
+:10CC100081320100000000012CB00100000000156D
+:10CC200010B001000000000010C0010004001F0A45
+:10CC30002C50000014000040439901000400A2F0B1
+:10CC4000803200000000001032B00100A197000601
+:10CC5000043001008E91A2481F7C00008C91844812
+:10CC60001F100000AC000040479901008E91000A9F
+:10CC7000E0C100000000000A02B001009E93000124
+:10CC80008C3001000000004361B101004000001041
+:10CC900062DD01008F91A84081320000238300886B
+:10CCA0001CB000000000000548B1010000000002B7
+:10CCB00010C001009C91220214500000799600459A
+:10CCC0001F0001008691225C1F7C000000000047CD
+:10CCD00061B101004000001062DD01009891A85C84
+:10CCE0001F000000238300881CB00000869100050F
+:10CCF00048B100000000000B1BB0010008002D40EF
+:10CD000085B00100000000F082B00100000000408A
+:10CD100005B001002C96004187300100000000455D
+:10CD200061B101004000001062DD0100A291A84045
+:10CD300081320000238300881CB000000000000541
+:10CD400048B10100A8912209803000008697004078
+:10CD500013300100AC912244197C00008697004FEB
+:10CD600081300100AC91A2471F7C0000000000440C
+:10CD700019800100FF070008008C01000400264014
+:10CD800081320000BB91224A1F7C0000B391A216A1
+:10CD900002300000C9940040813201002F00204081
+:10CDA000E7B10100C98B004081B200002D002D08C1
+:10CDB0002AB00100B7912242197C00003C96004045
+:10CDC00081320100B891004081B200000596004018
+:10CDD0008132010030002E002AD0010032002A15D5
+:10CDE000E4B10100C98B0016E4B10000D191221614
+:10CDF000023000000400A2471F7C00000000000871
+:10CE00002AB001002B98004095300100C191A2404A
+:10CE1000116C0000D29122402D6C00000400A2058C
+:10CE2000486D0000040022441F7C0000AC0000405C
+:10CE300047990100B0002B01E0C10100002B00A6C2
+:10CE400016B0010000000001E0D101005E9700086B
+:10CE500080300100CA91005E179000007F97004368
+:10CE6000613101000000004361B101004000001089
+:10CE700062DD0100CB91A84081320000238300884D
+:10CE80001CB000000000000548B1010066970007D3
+:10CE9000161401000097005E05100100C9940040BF
+:10CEA000813201002F002040E7B10100D08B00400B
+:10CEB00081B200000000000B1BB0010004001F1530
+:10CEC0001A500000E09120161A6C00000400224065
+:10CED0001F7C00007000000348C9010000002250C0
+:10CEE000F1B1010000000003F0B1010000000000FA
+:10CEF000E0B101000000004261B10100A00000A407
+:10CF000062DD0100DD91A8461F1000000000000551
+:10CF100048B101000000000010B001000000001541
+:10CF200010C001000000000A2AB001000000000A41
+:10CF30002CD0010004001F168032000014000040B5
+:10CF4000439901000400A2F080320000AC002F40A1
+:10CF500023B00100EA9184451F100000EB91000A04
+:10CF6000E0C100000000000A02B00100BE960040CF
+:10CF700035B000000400A25C1F7C00000080001996
+:10CF800042C90100F4912240E36D0000000000431B
+:10CF900061B101004000001062DD0100F091A84085
+:10CFA00081320000238300881CB0000000000005CF
+:10CFB00048B101000592A2021A5000000A922240D4
+:10CFC0002D6C0000040022401F7C00000080001037
+:10CFD00044C9010000000050F1B10100000000034D
+:10CFE000F0B10100FF070008E08D010000000042E1
+:10CFF00061B101000000001062B10100FC91A84085
+:10D0000081320000238300881CB00000000000056E
+:10D0100048B101002F002047E7B501000C80000354
+:10D0200042C90100100000F010C80100F0070040E4
+:10D030001B9801000A92005C118000000400A25FAE
+:10D040001B7C0000FF070008988801000000000218
+:10D0500098C001000400200B996C00000000000241
+:10D0600010C0010004002240236C00000400A34310
+:10D07000236C0000E79400401F0001000000000541
+:10D0800048B101001092230D2C6C000000000040FC
+:10D090001F900100199222461F7C000000000046EC
+:10D0A0001F8001007080000342C9010019922240D4
+:10D0B000E36D00000000004261B10100400000107B
+:10D0C00062DD01001592A8408132000023830088B0
+:10D0D0001CB000000000000548B1010008002D4010
+:10D0E00085B00100000000F082B0010000000040A7
+:10D0F00005B001002C96004187300100000000457A
+:10D1000061B101004000001062DD01001E92A840E4
+:10D1100081320000238300881CB00000000000055D
+:10D1200048B1010024922209803000008697004017
+:10D130001330010028922244197C00008697004F8A
+:10D14000813001002892A2471F7C000000000044AB
+:10D1500019800100FF070008008C01000400264030
+:10D16000813200003E92224A1F7C00002F92A216BC
+:10D1700002300000C9940040813201002F0020409D
+:10D18000E7B10100C98B004081B200002D002D08DD
+:10D190002AB001003A922242197C00003392A2F395
+:10D1A00084300000000000A585B0010000000041AF
+:10D1B00085D00100D4003E4185E001003792224035
+:10D1C0001F7C00000000005A119001000B000008B5
+:10D1D000E4F501003C960040813201003B920040A2
+:10D1E00081B20000059600408132010030002E001F
+:10D1F0002AD0010032002A15E4B10100C98B0016C3
+:10D20000E4B100004192A21602300000C99400402F
+:10D21000813201009A92004081B200002D002D0859
+:10D220002AB00100549222471F7C00000400A09104
+:10D23000036C00004E922242197C00004792A2F338
+:10D2400084300000000000A585B00100000000410E
+:10D2500085D00100D4003E4185E001004B92224080
+:10D260001F7C00000000005A119001000B00000814
+:10D27000E4F50100200400408998010009990008A4
+:10D280008A30010058012D002AD0010060012DF0E4
+:10D2900010B00100000000F02CB0010000000016EA
+:10D2A00080B2010004002740116C0000878F00400D
+:10D2B00081B200000400A391036C00002B98004190
+:10D2C000953001005D92A208803200005D92A216A6
+:10D2D000803200000000004197B001005B92230DF6
+:10D2E000026C00000000004197C00100AC95004BAB
+:10D2F00002B000009A92000548B100000400A205A7
+:10D30000486D0000040022441F7C0000AC002F0187
+:10D3100014B00100B0002B01E0C10100002B00A6F9
+:10D3200016B0010004002241197C00000000000139
+:10D33000E0D101007092230D026C0000008000100B
+:10D3400044C9010000000050F1B1010000000003D9
+:10D35000F0B101000000004261B1010000000010C6
+:10D3600062B101006992A800E031000023830088C7
+:10D370001CB000000000000548B101000C80000353
+:10D3800042C90100100000F022C801000000005C4A
+:10D39000238001000000000184B001007392230D7E
+:10D3A000026C00000000000D02B001000000000847
+:10D3B00080B00100789222401B6C00005E97000153
+:10D3C0008450010081922240856C00000000000121
+:10D3D00080C001001080001046C901000000004F0D
+:10D3E0004381010000000042F0B101002000004034
+:10D3F000F0C9010000000016F0B101000000004378
+:10D4000061B10100A00000A162DD01007E92A811BF
+:10D41000E031000004002240236C00009092005E86
+:10D42000179000008492230D026C00000000000D94
+:10D4300002B001000000000184D001008992224066
+:10D440001B6C00007F9700436131010090922240E5
+:10D45000856C00000000000112C001001080001067
+:10D4600046C901000000004F438101000000004256
+:10D47000F0B1010000000009F0B101000000001847
+:10D48000F0B10100A00000A162DD01008E92A811A0
+:10D49000E03100000000004361B1010040000010D5
+:10D4A00062DD01009192A80A023000002383008807
+:10D4B0001CB00000C9940005483101009892230D6A
+:10D4C000026C0000FF070011008C0100C9940040AD
+:10D4D0008132010066970007161401000097005E74
+:10D4E000051001002F002040E7B10100D08B004063
+:10D4F00081B200000080000342C90100000000F872
+:10D5000082B001000400264081320000000000F8D3
+:10D510008CB00100000000F08EB00100EC950040DE
+:10D520001330010004000C4780320000000000406E
+:10D5300085B001002C960041873001009D95004088
+:10D540008132010004002091036C00000080001073
+:10D5500042C90100AE922240E36D00000000004588
+:10D5600061B101004000001062DD0100AA92A840F4
+:10D5700081320000238300881CB0000000000005F9
+:10D5800048B10100B0922209803000008697004027
+:10D59000133001000000000B1BB00100000000155B
+:10D5A0001AD00100B792A241197C00002B980040CC
+:10D5B000953001000000001680B20100C0922708DB
+:10D5C00080320000C19100002AC000002B98004169
+:10D5D000953001000000001680B20100BB922708C0
+:10D5E000803200005D9200002AC00000000000416F
+:10D5F00097B00100BE92230D026C000000000041B4
+:10D6000097C00100AC95004B02B00000000000057F
+:10D6100048B10100C98B2242197C00000F97003AE3
+:10D6200081300100C98B004081B200000400A24A91
+:10D630001F7C0000C592004A1F9000000400A24118
+:10D64000197C00000400A24F2B7C00000400A244BF
+:10D650001F7C00000400A2451F7C0000FF94000016
+:10D66000103001000000001510C001000000001083
+:10D6700032B00100A197000604300100D292A2440A
+:10D680001F7C00000000000B1BB001000000000A1E
+:10D690002CD001000000000A02B001009E9300019E
+:10D6A0008C3001000080001942C90100D99222404B
+:10D6B000E36D00000000004361B101004000001074
+:10D6C00062DD0100D592A8408132000023830088EA
+:10D6D0001CB000000000000548B10100000000027D
+:10D6E00010C00100E2922202145000007996004519
+:10D6F0001F000100CB92225C1F7C0000000000474D
+:10D7000061B101004000001062DD0100DE92A85C02
+:10D710001F000000238300881CB00000CB9200058E
+:10D7200048B1000008002D4085B00100000000F065
+:10D7300082B001000000004005B001002C960041BD
+:10D74000873001000000004561B101004000001079
+:10D7500062DD0100E792A840813200002383008847
+:10D760001CB000000000000548B10100ED92220944
+:10D77000803000008697004013300100F092224470
+:10D78000197C00008697004F8130010000000044A2
+:10D7900019800100FF070008008C010004002640EA
+:10D7A00081320000FF92224A1F7C0000F792A216ED
+:10D7B00002300000C9940040813201002F00204057
+:10D7C000E7B10100C98B004081B200002D002D0897
+:10D7D0002AB00100FB922242197C00003C960040D6
+:10D7E00081320100FC92004081B2000005960040A9
+:10D7F0008132010030002E002AD0010032002A15AB
+:10D80000E4B10100C98B0016E4B10000BC91A2167E
+:10D8100002300000C9940040813201002F002040F6
+:10D82000E7B10100D08B004081B20000040022412A
+:10D83000197C00000400A24F2B7C00000400A244CD
+:10D840001F7C00000400A2451F7C00000400A24AC7
+:10D850001F7C0000FF94004A1F100100D4910010AB
+:10D8600032B000008A002040E7B101000E93A241CF
+:10D87000197C0000C99400408132010011930040DE
+:10D8800081B20000A595001594300100AC95004BC5
+:10D8900002B000000000000548B1010013932242CD
+:10D8A000197C00000F97003A8130010086970045EF
+:10D8B00081300100C98B004081B2000065900045B5
+:10D8C0001F90000004002241197C00000400A247C0
+:10D8D0001F7C0000F2950040813201000400A30A81
+:10D8E0000C6C00009D95004081320100D491000134
+:10D8F0002CB0000004002241197C00000400A24862
+:10D900001F7C0000B4940040813201002C93A208D7
+:10D91000803200002C93A2168032000000820002A8
+:10D9200004DC01000000004503F0010000000001DC
+:10D9300000C001002493375C613100000000001B2F
+:10D9400062B1010029932840813200000400A25CEA
+:10D95000777D00002593004081B2000000000000A8
+:10D9600062B101002993A84081320000F08717407E
+:10D9700081B2000058012008E0B1010060012016CA
+:10D98000E0B10100F29500471F1001000400A30A56
+:10D990000C6C00009D95004081320100D491000183
+:10D9A0002CB0000004002241197C00000400A247B2
+:10D9B0001F7C0000B49400471F1001004393A2088D
+:10D9C000803200004393A216803200003F93A242AF
+:10D9D000197C00000082000204DC0100A0980040D5
+:10D9E00047990100300500418930010004002241BF
+:10D9F000197C0000A595001594300100AC95004BF2
+:10DA000002B00000F087004081B200003C96004068
+:10DA1000813201000000004B199001000F97003A7D
+:10DA200081300100F087004081B2000058012008D9
+:10DA3000E0B1010060012016E0B101000400A24F36
+:10DA40002B7C00000400A2441F7C00000400A245BF
+:10DA50001F7C0000FF94001032300100D491004080
+:10DA600013B00000B4940040813201005893A20822
+:10DA7000803200005893A21680320000008200021B
+:10DA800004DC01000000004503F00100000000017B
+:10DA900000C001005093375C613100000000001BA2
+:10DAA00062B1010055932840813200000400A25C5D
+:10DAB000777D00005193004081B20000000000001B
+:10DAC00062B101005593A84081320000F0871740F1
+:10DAD00081B200000080000342C90100000000F88C
+:10DAE00082B001000400264081320000000000F8EE
+:10DAF0008CB00100000000F08EB00100EC950040F9
+:10DB00001330010004000C47803200000000004088
+:10DB100085B001002C960041873001009D950040A2
+:10DB2000813201000400A091036C0000008000100D
+:10DB300042C901006A932240E36D000000000045E5
+:10DB400061B101004000001062DD01006693A84051
+:10DB500081320000238300881CB000000000000513
+:10DB600048B10100878F220980300000869700406D
+:10DB700013300100878F004081B200000400831E33
+:10DB8000803200000400A24F2B7C00000400A2455C
+:10DB90001F7C000014002D451F9001000400A2F01E
+:10DBA000146C00000400A001146C0000DF8F00441E
+:10DBB000199000000400A24A1F7C00007893A24143
+:10DBC000197C00000000004A1F9001007A9100407B
+:10DBD00081B200000400A2481F7C0000F295004AB8
+:10DBE0001F1001000400A30A0C6C00009D9500406A
+:10DBF00081320100D49100012CB0000004002241C8
+:10DC0000197C00000400A24F2B7C00000400A244F9
+:10DC10001F7C00000400A2451F7C0000FF94004010
+:10DC200081320100D491001032B000008B0000401E
+:10DC3000439901000400A246E77D0000659000457D
+:10DC40001F9000000000004137C3010000000041A8
+:10DC500033C301003600000102CC01000000D240B5
+:10DC600081B200008C9385178032000000009F482D
+:10DC700003D000008E939C178032000000009F4C60
+:10DC800003D000000000800134C301004080000385
+:10DC900044C901000000004AF0B101000400264020
+:10DCA0008132000000000040F1B1010000000012CC
+:10DCB000F0B10100D1940041E13101000080004346
+:10DCC00044C9010010000040F19901000000004823
+:10DCD000F0B1010000000049F0B101004000000374
+:10DCE000E0C901000000004561B1010000000043EF
+:10DCF00062B101000000A84081B200009B93004087
+:10DD000081B200002D04004089980100099900A506
+:10DD10008A300100BA002040E5B10100B0002F01B7
+:10DD20008CD0010004001FF080320000000000468B
+:10DD3000E0C10100AC002F4013B00100CC002D0168
+:10DD4000E0C10100A9939C17803200000400224A20
+:10DD5000197C00003698004081320100AB932247C5
+:10DD6000197C00000000005F13900100D497004769
+:10DD700019100100C0002D441F900100C4002DF0B7
+:10DD800082B001000B9800F084B0000090002D05D7
+:10DD900048B10100C093A24B1F7C00001594A24C17
+:10DDA0001F7C0000C0931F1CE06D0000C393A20104
+:10DDB00080320000A8002D468FB00100B9931F1CCF
+:10DDC000E06D0000B400004043990100BB9322F0D5
+:10DDD0003A6C000012941FF03A6C00000000A24060
+:10DDE00080B200000000804F8FB001008A00004028
+:10DDF0004399010013942042E76D0000BF93224035
+:10DE000080320000000080598FB00100000080586F
+:10DE10008FB00100C2932240803200000000805C7D
+:10DE20008FB001000000805B8FB00100AC000040AB
+:10DE300043990100B0002DF084B00100C793A242C5
+:10DE4000246C0000D29323F0026C0000B00000A10B
+:10DE500080CE01000400A64081320000CF93A2F0E2
+:10DE6000803200001494A242246C00001494A24159
+:10DE7000036C0000CE93A24080320000000080516D
+:10DE80008FB00100000080528FB0010014941F1267
+:10DE9000845000001494A001846C0000C0930040E2
+:10DEA00081B200008B00004043990100FD93A2461F
+:10DEB000E77D00001400004043990100EF9322F039
+:10DEC00014300000DB93200A026C0000EC93031E68
+:10DED00080320000DA93A2408032000000008044CB
+:10DEE0008FB00100000080498FB00100E093220A4A
+:10DEF000026C0000E393A241197C0000DF93A24072
+:10DF000080320000000080558FB001000000805674
+:10DF10008FB00100E293A2408032000000008043F5
+:10DF20008FB00100000080488FB0010000000001A8
+:10DF300082B001000000000A82D00100E993209124
+:10DF4000836C0000E893A2408032000026008040ED
+:10DF50008F980100270080408F980100EB93A2402A
+:10DF6000803200001F0080408F9801002000804018
+:10DF70008F980100EE93A240803200002200804082
+:10DF80008F980100230080408F98010088002D4465
+:10DF90008FB00100F893A241197C0000F593A243D1
+:10DFA0003D7C0000F593A2F2026C00000000A2404C
+:10DFB00080B20000000080498FB00100F793A240BA
+:10DFC00080320000000080438FB0010000008048D4
+:10DFD0008FB00100F593A091036C0000F3932243EE
+:10DFE0003D7C0000FC93A24080320000280080406D
+:10DFF0008F980100290080408F9801001400004094
+:10E00000439901000694A2F01430000088002D44CA
+:10E010008FB001000394A2F2026C00000000A24045
+:10E0200080B20000000080498FB00100F5932241CA
+:10E03000197C0000F3932091036C0000F5930040DD
+:10E0400081B200000A94200A026C00000994A240E8
+:10E0500080320000000080448FB001000000804941
+:10E060008FB001000F94220A026C0000E393A241DA
+:10E07000197C00000E94A240803200000000805500
+:10E080008FB00100000080568FB001001194A240B3
+:10E0900080320000000080438FB001000000804803
+:10E0A0008FB001001794004395B000001794004111
+:10E0B00095B000001794004295B0000017940044FA
+:10E0C00095B000001794004C95B00000300400405B
+:10E0D000899801000999004A8A3001005B97004045
+:10E0E000813201001C94A240803200000000804B6D
+:10E0F0008FB001000000804C8FB001000400A20529
+:10E10000486D00002D000040439901002E002FF3C0
+:10E1100084B001002294A2F39630000000008040F9
+:10E1200001B001002D002A41E7D10100D4003D419A
+:10E1300085E001000B0000F200E401002894225A5F
+:10E14000017C0000000000401F9001002994005A4B
+:10E1500001800000000000401F80010000006341BA
+:10E1600085C001002C94A0A5856C000000006340D0
+:10E1700085B001001204004089980100099900004F
+:10E180008A3001000000804081B201000000A0A59B
+:10E19000856C01000000E34085B001000C800003A5
+:10E1A00042C9010012000040879801007F9800F0EA
+:10E1B0008CB000000400225F1F7C000041942240CC
+:10E1C0000F6C000000002F0548B101000400225A26
+:10E1D0001F7C0000100000F098F401000400A2076A
+:10E1E000986C00001000000C98F401000400A207D5
+:10E1F000986C00003E94A24B197C00003F9422F0E2
+:10E20000186C00000000604B199001004395000756
+:10E21000103001002F83004005B000004394225AC3
+:10E220001F7C0000AB940040813001002F83004030
+:10E2300005B000000400225F1F7C000000002F05D5
+:10E2400048B101000000604B199001000400225AFF
+:10E250001F7C0000040022400F6C0000100000F042
+:10E2600096F401000400A207966C00001000000C58
+:10E2700096F401000400A207966C00004395000785
+:10E28000103001002F83004005B000000400225F21
+:10E290001F7C000000002F0548B101000000604B0A
+:10E2A000199001000400225A1F7C00000400224043
+:10E2B0000F6C0000100000F096F401000400A207AB
+:10E2C000966C00001000000C96F401000400A207F8
+:10E2D000966C00004395000710300100000080405C
+:10E2E00005B001005A943340813200005D94A1AD25
+:10E2F000952000006F94134081B200000000134A83
+:10E300005A8301003000394595E001000400A25F06
+:10E310005F7C00000400A25E5F7C00001F00000F15
+:10E320005ED801000000005A5F9001000000005E0E
+:10E330005F9001000000004045B0010000000004B3
+:10E3400048B00100000000054AB001000000000CC8
+:10E3500058B00100000000074EB001001C850040CD
+:10E360005D9801000400A2445F7C0000000000589A
+:10E3700061B101000000004A62B101000000A84143
+:10E3800097B000006C94004081B200000000804013
+:10E3900097B001000400A240056C00001C990040E9
+:10E3A000813201007294600796300000FFFF004B3D
+:10E3B00084890100000070C224B001007F94A2454E
+:10E3C000257C000076943120853000008094221254
+:10E3D000487F000058041112480301001000001289
+:10E3E00096E401000000004B1E9401001704004059
+:10E3F00089980100000000128AB001000999005FAD
+:10E400008B1001000000805A1F9001007F94314062
+:10E4100081320000000000B424B001008094221278
+:10E42000487F00005804004081320100170400407A
+:10E4300089980100099900128A30010000002F0517
+:10E4400048B101008F940BF08430000000001112DD
+:10E45000488301008C942250857000005E010040CA
+:10E4600043990100B49600F2963001009304001223
+:10E47000943001000000005A1F90010010000012AB
+:10E4800096E401000000804B1E9401001000004241
+:10E4900010F40100040022088032000000B73F435E
+:10E4A00011F00100070000088A880100939430A150
+:10E4B0000C30000096942245E67D000080941040C8
+:10E4C00081B2000000002A45E69101000000101210
+:10E4D000488301000400A205486D000000001140BF
+:10E4E00081B201000000604B858001005E010040A8
+:10E4F00043990100B49600F29630010000800010AC
+:10E5000044C90100D8000040819801002E002D056B
+:10E5100048B10100A2942240E76D00008000004055
+:10E5200080C8010000000040F0B1010009000008AF
+:10E5300086E40100000068A787C0010000000044D5
+:10E5400061B101000000001062B10100A694A805AD
+:10E55000E03100001000001296E401000014004BAE
+:10E5600096DC01000000804B1E9401000400225A3A
+:10E570001F7C00001000000F84F401001F00004207
+:10E5800084880100B094224080320000B19400429F
+:10E5900068B10000000000426AB10100B194315A34
+:10E5A0001F0000000400A242487F000000009142CA
+:10E5B00048930100B4943540813200006D00004062
+:10E5C00061990100BA9428B12C300000B594224D15
+:10E5D000757D0000000000402DB001000000954056
+:10E5E00011B001006D00004061990100BA94A8B11A
+:10E5F000103000000000001680B20100040027085F
+:10E60000803200000000954081B201007F00004090
+:10E6100061990100C59428B110300000BF949FBAE1
+:10E6200080320000150000408998010009990040DF
+:10E63000813201000000804011B001000400225C22
+:10E64000117C00000400A25A117C00000400220882
+:10E650004806000000008024118401000400A25C30
+:10E66000017C00000400A25A017C0000040022008A
+:10E670004806000004001FBB803200000000005F5D
+:10E6800061B101000010000062DD01000000A8403F
+:10E6900081B20000CE94004081B20000AC940040F2
+:10E6A00047990100D294324081320000DA9422F876
+:10E6B00096300000000000F890B00100000000F06B
+:10E6C00092B001000000004880B201000400274918
+:10E6D000803200000100004BF0CD01002000924884
+:10E6E000E0C901006C00004061990100DE9428B18E
+:10E6F00092300000DA94224C757D00000400124034
+:10E7000091B000006C00004061990100DE94A8B156
+:10E71000903000000000004980B20100040027484A
+:10E7200080320000FF000048968801000000004B86
+:10E7300090D001000100004BF0CD01002000004806
+:10E74000F0C9010000009249E0B101000C002D1059
+:10E7500048B10100FF070008828C01000400A25CA0
+:10E76000837C0000FF0700F0008C01000400A25C25
+:10E77000017C000004002240016C00000000A24166
+:10E7800000EC0000F094221A006C0000C994000014
+:10E79000343001000000005049C10100EA94A24158
+:10E7A000235000000000804081B201000C002D10B9
+:10E7B00048B10100FF070015828C01000400A25C33
+:10E7C000837C0000FF0700F0008C01000400A25CC5
+:10E7D000017C000004002240016C00000000A24106
+:10E7E00000EC0000FC94220D006C0000C9940000B5
+:10E7F0001A3001000000005049C10100F694A24106
+:10E80000235000000000804081B201000195831E6A
+:10E8100080320000000000441990010024002D0106
+:10E820002CB0010028002DF016B0010022002DF0C0
+:10E8300026B0010014002FF20CB001000400A2F079
+:10E84000146C000004002001146C000000008040E3
+:10E85000E1B10100300000409798010060972E4020
+:10E8600081B2010000000040F1B101000A95A2410F
+:10E870009750000064973E439DE0010000008040F7
+:10E88000E1B1010064973E439DE001000000800B70
+:10E89000E8B1010064973F439DE00100000000F0F3
+:10E8A00016C0010000008040E1B1010064973F43C1
+:10E8B0009DE00100000000F416B00100000080405F
+:10E8C000E1B1010060173D439DE00100100080A10F
+:10E8D00016E401000400A207166C00001A040040B0
+:10E8E000899801001000000B8AE401000999000DCD
+:10E8F0008A14010000B5000D42C901001D95304782
+:10E90000170400002095A20BE67D00000000904255
+:10E9100081B0010000B7000D46C901002495A20B8B
+:10E92000E67D00000000000BE69101000000904130
+:10E9300081B001000000104081B201002595400720
+:10E94000963000009D040040813201002F95A245C1
+:10E95000957C000001973F4195E00100000000F325
+:10E9600096B001000000004EE6B1010040973E4025
+:10E9700097E001000000004EE6B1010040973E40E4
+:10E980009DE001004295003BE7B100002F9530402B
+:10E99000813200003995A20BE67D000000B5000D24
+:10E9A00046C901003595A20BE67D0000000010402D
+:10E9B00081B201000000984281B0010000B7000D53
+:10E9C00046C901000000000BE69101000000104064
+:10E9D00081B201000000984181B00100040021A231
+:10E9E000952000000000104A4483010000973E413A
+:10E9F00095E001000000004EF6B101000000004E5D
+:10EA0000E6B1010040973E409DE001000000003B60
+:10EA1000E7B101000000004A90B10100FFFF0007CC
+:10EA2000928901000000984081B00100110400406B
+:10EA300089980100099900088A3001000300000844
+:10EA400086F4010000B7004346C901000700000832
+:10EA50008288010004002208803200000400224164
+:10EA6000E67D00004A954008963000009D04004075
+:10EA70008132010058952245957C00005395225A19
+:10EA80001F7C00001000000F96F401004F95315FCD
+:10EA9000970400000400A24B487F00000000114BC7
+:10EAA000489301000000004B6AB1010053953040CB
+:10EAB0008132000004002241E67D00000000004198
+:10EAC000E68101000000104081B201000000984082
+:10EAD00081B2010000973F4195E00100000000F382
+:10EAE00096B0010040973D4097E00100000063F3BD
+:10EAF00088B001006195A23B896C00000000004ACB
+:10EB000090B10100010000A692B101000400A24AE8
+:10EB1000447F00006295184A4493000000001840AA
+:10EB200081B201003F0400408998010016000012E4
+:10EB30008AE401000999004B8A140100300039452C
+:10EB400097E001000400A25F5F7C00000400225EE9
+:10EB50005F7C00001F04002F7ED901000400A64046
+:10EB6000813200006E95225A1F7C00001F04000FA6
+:10EB700098D801000000004C5E94010070950005DB
+:10EB80004AB000001F0400A75E840100000000409E
+:10EB90004BB001000000005E5F9001000400A2087D
+:10EBA0004E6C00000000005861B101000000004BF5
+:10EBB00062B101000000A84081B2000073950040DE
+:10EBC00081B20000330400408998010009990007D0
+:10EBD0008A30010078954007963000009D0400407F
+:10EBE000813201007C952245957C00000000984010
+:10EBF00081B201000400A24A447F00009B04004A45
+:10EC00004413010000973F4195E00100000000F32C
+:10EC100096B0010040973D4097E00100000063F38B
+:10EC200088B001003000384597E001000400A25F81
+:10EC30001F7C00000400225E1F7C0000040020AA4C
+:10EC40000F6C00000000005F0F90010000000058F2
+:10EC500061B101000000004B62B101008895A8403D
+:10EC6000813200007E95A23B896C0000300038455F
+:10EC70009DE001000000984081B2010004002208DC
+:10EC8000803200000300000894F4010000B7004A3D
+:10EC900046C9010007000008968801000400224BC5
+:10ECA000E67D000093040012943001004395005A61
+:10ECB0001F0001000000805A1F9001001100004A4F
+:10ECC000E6C901003000004A80CE01000400244063
+:10ECD0008132000034002F4F95840100000000F3C2
+:10ECE00096B001000100634B84C801000000A043FE
+:10ECF000856C01000000E34085B0010030002D4428
+:10ED00001F90010032002DF22AB0010004002640BD
+:10ED100081320000040022F2023000001D94001035
+:10ED20003230010004002200803200000400224240
+:10ED3000197C00003200A040E5B101000000004055
+:10ED400097B00100F0070040999801000000004AC8
+:10ED500002C001000000005003D00100000000418B
+:10ED600097C001000000A34C02D00000A99500400C
+:10ED700081B20000000000A836B00100BA9522411F
+:10ED8000035000000080001044C901000000005042
+:10ED9000F1B1010070000003F0C901000000004261
+:10EDA00061B101000000001062B10100B295A8003D
+:10EDB000E0310000238300881CB00000C9940040AB
+:10EDC000813201007C80000342C90100040022401E
+:10EDD000E16D0000000000F000B00100AD95005CA6
+:10EDE00001800000C9940040813201000000001B36
+:10EDF00010B1000068012D0682B00100000000F291
+:10EE000082C001000080000346C90100BF94004099
+:10EE100081320100E8952240116C00000000680872
+:10EE2000389601003A0400408998010009990008C9
+:10EE30008A300100F007004182CC0100BF95AA4151
+:10EE40003B400000000000F810B001000000005C32
+:10EE5000118001000400A3483B6C00000100001D6C
+:10EE600004CC0100E695264623300000080000038C
+:10EE700012C801000480000398C801000400A24CDD
+:10EE8000426D00000400A205486D0000640120F0FE
+:10EE9000E0B10100E595224105500000200000038B
+:10EEA00048C901000C0000F886C801000000224497
+:10EEB000F1B1010000000043F0B1010000000009C1
+:10EEC000E0B101000000004461B10100A00000A415
+:10EED00062DD0100D795A8461F100000E49522418D
+:10EEE00005500000E295A24123500000000000A15F
+:10EEF0001AB001000000004461B1010040000010A0
+:10EF000062DD0100DD95A8462330000023830088E0
+:10EF10001CB000001000000348C901000000000DF3
+:10EF200042B101000000004413C00100D29500501E
+:10EF300049C100000000000548B101000480000341
+:10EF40001AC801000400A205486D000000008040BE
+:10EF500081B20100E69522403B6C0000000000F801
+:10EF600000B00100C994005C01000100E895004177
+:10EF70003BD0000000008D4780320100B0002F5FC1
+:10EF800013B00100000060F08CC001007C00004064
+:10EF9000439901000400A3F08C6C00000000804045
+:10EFA00081B201000080000342C90100000000F8A6
+:10EFB00094B00100000000F88CB00100F7958CF8C7
+:10EFC0008E3000000000004419900100040022F877
+:10EFD00014300000000000F816B00100000000F836
+:10EFE00026B0010008002EF80CB001000C002A4ADF
+:10EFF000E0B1010028000000E0C901001000201B62
+:10F00000E0B101000496200A0C6C0000000000F83A
+:10F0100094B00100000000F896B00100200020F03C
+:10F02000E4B101001800204AE0B101001C00204BAF
+:10F03000E0B10100EC95004013B000000400A2050F
+:10F04000486D00002C002D42199001002E002FF376
+:10F0500082B00100000000F396B001000B96A2A55B
+:10F06000976C00000000804195B001000E96A24010
+:10F07000976C00000000004083B001002D0020408C
+:10F08000E7B101000000634197C00100D4003E4198
+:10F0900083E001000000004183C001001396A0A599
+:10F0A000836C00000000004083B001002C00204170
+:10F0B000E6B10100189622401F7C00000004000009
+:10F0C00098DC01000B00004CE4F5010019960040AB
+:10F0D0001F8000000B000000E4F501001E0400404A
+:10F0E00089980100099900008A30010000008040E1
+:10F0F00081B20100D1940040813201000080000300
+:10F1000042C9010004002240E16D000004800003B8
+:10F1100044C9010000000040F1B1010000000040BE
+:10F12000F1B101000000604187B0010000800010D3
+:10F1300044C9010000000050F1B101000000004886
+:10F14000F0B1010000000049F0B10100000000032F
+:10F15000E0B101000000004561B101002000001095
+:10F1600062DD01000000A85D0590000029960040C6
+:10F1700081B20000D1940040813201000080000380
+:10F1800044C9010000000041F0B101000400264024
+:10F190008132000000000042F0B101000000004098
+:10F1A000F1B1010000000043F0B101000080001047
+:10F1B00044C9010000000050F1B101000000004806
+:10F1C000F0B1010000000049F0B1010000000003AF
+:10F1D000E0B101000000004561B101002000001015
+:10F1E00062DD01000000A85D059000003996004036
+:10F1F00081B200000400A205486D00000400820CEA
+:10F20000803200002D000040439901002E002FF3B2
+:10F2100084B00100010063F396C8010043969F414A
+:10F2200085500000010000A585CC01002D00204282
+:10F23000E6B101000400A3A5976C0000D4003D4195
+:10F2400085E001000B0000F298E401004A9622409C
+:10F250001F7C00000400225A997C00000000005A24
+:10F26000998001000400A200986C00002004004076
+:10F2700089980100099900008A300100000080404F
+:10F2800081B2010021040040899801000999000021
+:10F290008A3001000400A2006A0600005E012D0011
+:10F2A00080B001005596524381600000020000F2D8
+:10F2B00082F4010056960041809400000000005F37
+:10F2C000819001000000005E61B10100000000407B
+:10F2D00062B101000000A84095B0000057969EBBA7
+:10F2E000803200005C96A2401F7C0000C994004060
+:10F2F00081B200000000804195B0010004000015BB
+:10F3000042C90100000000542BC00100000000FCB5
+:10F3100024B00100000000FC38B00100000000FE35
+:10F320003CB00100000000FE3AB0010071969C174D
+:10F33000803200006696A24A197C00000000804CD2
+:10F340001F9001000C00001E98F401006596A24871
+:10F35000996C00000000001542B101006596A28A78
+:10F36000F16D00000C00000102CC0100000000FC67
+:10F370003EB00100010000F428CC0100CC002D05B6
+:10F3800048B10100709620F03E6C00000000004B78
+:10F390001F9001000000004C2BC00100BF002D0594
+:10F3A00048B10100000080F33AE001000400A2052A
+:10F3B000486D00001000000C96F401000400A20744
+:10F3C000966C000000002E4B1990010007002A0CDB
+:10F3D000E4B1010000008004E6B101001800004023
+:10F3E000439901001C002DF016B0010020002DF003
+:10F3F00026B001000C002FF20CB001000000A206A4
+:10F4000014EC0000809622451F7C00000000A3063B
+:10F410002AEC0000000000F894B00100000000F0A9
+:10F4200096B001000C002D4081B2010000002A4C72
+:10F43000E1C101003000001048C901000A0000408D
+:10F44000F199010018000005F0C901000000004A10
+:10F45000F0B101000000004BE0B1010000000047E6
+:10F4600061B10100A00000A462DD01008A96A85CE1
+:10F470001F1000000000800548B101000400A295A3
+:10F48000036C000000002E1048B101004000000194
+:10F49000F0CD010040000003F0C901004000000071
+:10F4A000E0C9010000002E5049C101000000000623
+:10F4B000F1B1010000000003F0B101009596624235
+:10F4C000613100002000001062DD01009696A84026
+:10F4D000813200001000001062C901009896A80057
+:10F4E000E03100000000F24081B201000400A2956A
+:10F4F000036C000000002E1048B101004000000124
+:10F50000F0CD010040000003F0C901004000000000
+:10F51000E0C9010000002E5049C1010000000006B2
+:10F52000F1B1010000000003F0B10100A3966242B6
+:10F53000613100002000001062DD0100A496A840A7
+:10F5400081320000A00000A462DD0100A696A800A0
+:10F55000E03100000000F24081B201003080004A3A
+:10F5600044C9010000000006F1B10100C0A83D46F9
+:10F570000DE00100FF7F00A1F089010002000009F9
+:10F5800096F401000000004697E00100000060A82A
+:10F5900097C00100B0966342613100003000004A1C
+:10F5A00062C90100B196A840813200000000F3401A
+:10F5B00081B2010000993F4297F00100B596654085
+:10F5C00081320000BD9622F3740600003F0000F374
+:10F5D0009488010000000007E785010000007555D0
+:10F5E00061B101000000004A62B101000000A840C2
+:10F5F00081B20000BA96004081B200000000F540E0
+:10F6000081B20100000000A836B00100CD96824111
+:10F6100023400000C296A2441F7C00009E9300017C
+:10F620008C3001002080001042C90100C8962240A1
+:10F63000E36D00000000004361B1010040000010D4
+:10F6400062DD0100C596A840813200002383008856
+:10F650001CB000000000004123B0010000000010B9
+:10F6600032B00100CD962241197C0000E79400439E
+:10F67000233001000000004123B00100CF96A31504
+:10F680000C6C0000D096000604B0000000000015CD
+:10F6900004B00100D29620021A6C00000000000D98
+:10F6A00004B00100A197000548310100FD96220237
+:10F6B00014500000D696A2022A500000FD96A245E2
+:10F6C0001F7C0000D89622020C500000E196000238
+:10F6D00016C00000E096225C1F7C00003080001005
+:10F6E00042C90100E0962240E36D0000000000479F
+:10F6F00061B101004000001062DD0100DC96A8400D
+:10F7000081320000238300881CB000000000000547
+:10F7100048B101007996005C1F000100FD9622159A
+:10F72000803200000000005033C00100FC96A202AD
+:10F730001A500000ED9622461F7C000070800003E6
+:10F7400042C90100000000461F800100ED962240E2
+:10F75000E36D00000000004261B1010040000010B4
+:10F7600062DD0100E996A840813200002383008811
+:10F770001CB000000000000548B101000C8000032F
+:10F7800042C90100040022F080320000100000F0A5
+:10F7900010C801002F002F5C1180010000000047FD
+:10F7A000E7910100F00700401B980100BF9620156B
+:10F7B0001A6C00007000000348C9010000002250CC
+:10F7C000F1B1010000000003F0B10100FF070008E3
+:10F7D000E08D01000000004261B10100A00000A422
+:10F7E00062DD0100F996A8461F100000BF960005D3
+:10F7F00048B10000BF96000210C00000FF96A2446E
+:10F800001F7C00009E9300018C3001000000001B53
+:10F8100010B100000080001044C901000C0000403D
+:10F82000F199010010000008F0C901000000001665
+:10F83000F0B1010010000003E0C901000400A25C67
+:10F840001F7C00000000004561B101002000001095
+:10F8500062DD01000000A85C1F90000007970040D7
+:10F8600081B20000170000D0A2C901000000A24030
+:10F8700027EC00000000002000B00100C994004106
+:10F88000A34101000B97004127D00000360400403F
+:10F8900089980100099900408A3001001000000792
+:10F8A00096E401000000004B809401000000005429
+:10F8B00061B101000080004062DD01000000A8404D
+:10F8C00081B20000040014BB803200001497004095
+:10F8D00081B200000400A205486D00006A97004054
+:10F8E0002B300100AC002D0616C0010090002DF059
+:10F8F00016C401001E97A0F016440000000000414D
+:10F9000017C001000E0000A244C9010000006CF005
+:10F9100030B00100AC002D4087B0010000006CF059
+:10F9200028B001002797224A197C000000300043CC
+:10F9300086C801000030000B16C801002797A440BC
+:10F94000813200000000004117C001004A972206E2
+:10F95000803200003597A206146C000032972248CE
+:10F96000197C00002C97A0411740000000000041C6
+:10F9700017C001000000004131C0010090002018B4
+:10F98000E0B101008B002D48198001000400A24560
+:10F99000E77D00008B002045E7910100359700408E
+:10F9A0008790000008000043869801003597A04822
+:10F9B000174000000000004117C00100B0000040E7
+:10F9C0004399010010500043FCC90100AE9700307C
+:10F9D0008130010000000040E5B101004097224A5B
+:10F9E000197C0000080000A244C90100CC002DAB26
+:10F9F000F9B10100000000AB17C001003F97A0F073
+:10FA0000164400000000004117C00100449764F054
+:10FA100082B00000A4000040479901004497A2F280
+:10FA20008032000000000041E5B101008C00201888
+:10FA3000E0B101009000004045990100000060061F
+:10FA400030C001000000860C80B200000400A24912
+:10FA5000197C0000BC002D4619900100A000A0F206
+:10FA6000E4B10100B0000040439901001050004390
+:10FA7000FCC90100AE970030813001000000A24AAD
+:10FA800019FC0000080000A244C90100CC002DAB05
+:10FA9000F9B10100000000AB17C001005397A0F0BE
+:10FAA000164400000000004117C001000000E4F00F
+:10FAB00082B001000080001044C901000000004134
+:10FAC000F0B1010000000003F0B1010000000000EF
+:10FAD000F0B101000000001062B101000000A81B9D
+:10FAE000E0B100005897004081B2000000F0000C27
+:10FAF0007E8901000000A64C956001000000804A4C
+:10FB0000189401000080001044C901000400220183
+:10FB1000F031000020000040F0C901000000001694
+:10FB2000F0B101000000004361B1010020000010AD
+:10FB300062DD01000000A815E0B1000063970040FD
+:10FB400081B200001080000344C9010000000006DB
+:10FB5000F0B1010000000001F0B101000000E85F19
+:10FB60001790010070000040439901007A012EFEB9
+:10FB700092B001008B002DF616B001007097224361
+:10FB8000E77D00000000004445C10100040000A61C
+:10FB90002AB0010028006E0682C801007497224A2C
+:10FBA000197C00000000004245D1010000006E4CAD
+:10FBB00083C001000000004192C0010075974330EE
+:10FBC0003D0700000000669E83B0010000001B415D
+:10FBD0003DC301000000004192C00100060000A2E8
+:10FBE00044C901001000004998F401007E972630B6
+:10FBF000930400007E97904C92400000000000416A
+:10FC000093C00100FFFF8049ECA9010000800010B3
+:10FC100044C9010004002201F03100000000000985
+:10FC2000F0B1010000000018F0B101002000001048
+:10FC300062DD01000000A815E0B1000083970040DC
+:10FC400081B2000004002220816C000004002240E8
+:10FC5000816C00009597225F817C00009297A24002
+:10FC6000197C0000000000401990010000000054C1
+:10FC700061B101001000000796E401000000004F90
+:10FC8000979401000000004B62B101009297284058
+:10FC9000813200000400A254777D00008E9700405E
+:10FCA00081B20000250400408998010009990040B4
+:10FCB0008A3001000000A221818400009897A25F91
+:10FCC000816C00000000A243197C01000000004389
+:10FCD000199001002504004089980100099900400D
+:10FCE0008A3001000000005461B1010010000007DB
+:10FCF00096E4010000000040969401000000004BD3
+:10FD000062B101000000A84081B200000400A254CA
+:10FD1000777D00009D97004081B20000040022081A
+:10FD2000803200000400220280320000A697A24B1D
+:10FD3000FD7F0000B405000280CE01000400AA404F
+:10FD4000813200000080001944C901000400220231
+:10FD5000F03100000000000BF0B1010000000013C2
+:10FD6000F0B101000000004361B101002000001962
+:10FD700062DD01000000A808E0B10000AB97004080
+:10FD800081B200000400A205486D0000B00000A18F
+:10FD900080CE01000400A640813200007C002DF0DE
+:10FDA00084B00100020000F098F40100B797204CE5
+:10FDB000846C00008800004043990100B79720F24E
+:10FDC000846C00000000004085B0010098002D14F4
+:10FDD00082B00100000000F098B00100A3002D14D3
+:10FDE00098D00100BC97204C846C00000000004CAF
+:10FDF00084B001000400A230816C0000000000F318
+:10FE000080E00100C0972340846C000000000040A7
+:10FE100084B00100D0002014E0B101009800254218
+:10FE200080B0010000006EF380F001000000A642E7
+:10FE300082C00000C697A0401640000000000041AC
+:10FE400017C0010000009FF082EC00009800A04164
+:10FE5000E0B101000400A25C1F7C000037040040F8
+:10FE600089980100099900058A30010000000042CC
+:10FE700061B1010000002E1048B10100A80100404E
+:10FE8000F199010000000005F0B101000900000730
+:10FE900096E40100000060A797C001000000001078
+:10FEA00062B101000000A84081B20000D19700407B
+:10FEB00081B20000A8002D1C8AB0010000009FF054
+:10FEC0008AD000000000A2408BEC00008A00204095
+:10FED000E7B10100B400004047990100A4002D459E
+:10FEE000E0D10100DF979C17803200000400224A15
+:10FEF000197C0000BE002FAB83B001003C980014B9
+:10FF000082500100E497004081B20000E49722F2A1
+:10FF1000823000008C00004043990100E4979F1C50
+:10FF2000E06D0000BE000040479901003C98004091
+:10FF300081320100A800201CE0B101009C002D309E
+:10FF400081B0010088002DF084B0010094002DF2F2
+:10FF500086B00100F89723F0846C0000EC972392A0
+:10FF6000876C0000C90400A694B00100EE97004021
+:10FF700081B20000200000A694B001006089004A10
+:10FF800094980100EE9768408132000004002240FE
+:10FF9000BD7D00000000004AB0B10100BF002D424D
+:10FFA000B2B1010090002DF380E00100F397D4403E
+:10FFB00081320000000078DA84C00100FD97234000
+:10FFC000846C00009400209DE1B10100FD97004089
+:10FFD00084B00000BF002D4384C0010090002DF3C9
+:10FFE00080E00100FD972340846C00009400209D78
+:10FFF000E1B101000000004084B001000198A2F0CE
+:020000021000EC
+:10000000386C00009C002042E0B101000000005F5D
+:100010001394010000008046198001009C002042DA
+:10002000E0B101003700004043990100040000F3F3
+:1000300080F401000F0000F382880100079823413B
+:10004000806C00000000005F139401000000890C28
+:1000500080B200000400860C80320000BC0000402A
+:1000600043990100A000A0F2E4B1010000009F410B
+:1000700024EC00001398A6408132000000009F424B
+:1000800038EC00001398A64081320000B400004014
+:10009000439901001598A3F03A6C00000400A440B5
+:1000A000813200000000804081B20100B4000040B5
+:1000B00043990100199822F03A6C0000B400201D09
+:1000C000E0B1010080002D5F13940100199823F026
+:1000D0003A6C00008000201DE0B10100C000201239
+:1000E000E0B10100C400A01CE0B101002704004001
+:1000F00089980100099900428A3001000400A20594
+:10010000486D00000080000344C901000000004267
+:10011000E0B10100120000408798010025989F413E
+:10012000246C0000000000418CB0010000000012AF
+:100130008CD001002698004124B00000000000404F
+:100140008DB001007F980040813201000000004521
+:1001500061B101004000001062DD01000000A84014
+:1001600081B200002898004081B20000B4940040A1
+:10017000813201000000001680B201000000A708D3
+:10018000803201003204004089980100099900087A
+:100190008A3001003298A240956C0000C99400405A
+:1001A00081320100008200A604B00100000000407E
+:1001B0002DB00100A0982F4011B001003005004182
+:1001C00089B00000CC0000A180CE01000400A64050
+:1001D0008132000000009FF83EEC000000009F12FA
+:1001E000E0ED0000C80020ABE1B10100CC00A01F91
+:1001F000E0B101000400A205486D00003F98A35F34
+:10020000E76D000000000041E7C10100A6000040CA
+:1002100047990100539822F2863000000300004302
+:1002200084F401000100004180CC0100B8002D429F
+:1002300080D001000000624086C0010047981F4343
+:10024000803200004898A240876C000000006241A4
+:1002500087B001004C989F408032000000000040B1
+:1002600085B001000000004084D001000000004281
+:1002700080B00100000000F288B0010002000044DC
+:1002800084F40100B8002E4280D0010000006240DA
+:1002900088C0010052981F44803200005698A24046
+:1002A000896C00005698624189B0000003006241E9
+:1002B00086E40100B8000040459901000100624158
+:1002C00088E40100A4002040E5B10100A200204024
+:1002D000E7B10100BC002E4387F00100000000449C
+:1002E00086C001005C982043876C000000008043BA
+:1002F000E5B101004001004380CE01000000A443AD
+:10030000E43101004001E240879801000400A205A9
+:10031000486D00000400220A8032000088002D444D
+:1003200081B0010090002DF22EB001009C002DF054
+:1003300086B0010090002DF082B00100BA002DF0CF
+:1003400098B001006B98A212986C0000BC002DF2CE
+:1003500098B001006B98A0F2986C000000000017A4
+:1003600082B001009C002041E0B10100B4002D12D8
+:1003700086D001006E98A341E06D00006F9800F0F8
+:1003800084B000000000004184B0010080002D43D3
+:1003900084D0010072989F4280320000000000402B
+:1003A00085B001007498A342146C00007598000A8F
+:1003B0000CB00000000000420CB001007798A017BC
+:1003C0000C6C0000000080170CB001007C982240EB
+:1003D0000D6C00000000A00A0CEC0000010000F011
+:1003E00082F401007C98A0410C6C00000000A2F097
+:1003F00080320100290000408998010009990040DD
+:10040000813201000000804081B00100D1940040A1
+:1004100081320100040022038032000004800003C6
+:1004200044C9010000000046F0B101000000004096
+:10043000F1B10100000060418794010000800010CC
+:1004400044C9010000000050F1B101000000004863
+:10045000F0B1010000000049F0B10100000000030C
+:10046000E0B101000000004561B101002000001072
+:1004700062DD01000000A85D059000008B9800403F
+:1004800081B200000400A205486D00001000000CBD
+:1004900096F401000400A207966C000000002E4BA9
+:1004A0001990010005002A0CE4B10100000080044D
+:1004B000E6B101003E040040899801000999000856
+:1004C0008A3001009698454861310000001000080C
+:1004D00062DD01009C9828408730000097982248F0
+:1004E000777D000004002240276C00000A971D461B
+:1004F00087B000009F98225F117C00000400221545
+:10050000623100009D98A8408132000000009D40AB
+:1005100081B201000000004049B1010000142F4CDD
+:1005200083B0010000000040F1B10100A298A24197
+:10053000835000000000804081B2010000000040B4
+:1005400049B1010030000040A199010000000040C5
+:1005500093B00100000000401FB00100F698004970
+:10056000963001000700004906E40100003900034D
+:1005700006C801000000004005B00100200000D0C6
+:10058000A0C901000000004193C00100A998A05437
+:10059000936C000000002E0597B001000080004021
+:1005A0004999010000000040E1B10100000200A2F1
+:1005B00044C90100B298A2419750000000000020F9
+:1005C00049B30100FC980040493101000895004002
+:1005D0008132010000B52E0897B0010000000040F4
+:1005E000F1B10100B998A2419750000018000040F5
+:1005F0009798010000972E4081B201000000004052
+:10060000F1B10100BD98A2419750000000000040E8
+:1006100049B1010040182E0597B0010000000040CC
+:10062000F1B10100C198A2419750000057952040B8
+:10063000E7B101003094004045990100640000409A
+:10064000E599010056952040E7B10100B89420419A
+:10065000E5B10100BA942041E5B101009894004051
+:1006600045990100020000409798010000000040F9
+:10067000F1B10100CB98A24197500000000000406A
+:1006800097B00100000000406FB101000000004B76
+:1006900068B10100CF988541974000008004004078
+:1006A000813201000000004039B301000000004029
+:1006B00037B301000000004035B3010000000040E6
+:1006C00033B301000000004041B3010000000040CE
+:1006D0003FB30100EE050040259B010042000040B1
+:1006E0004B9B0100000000402FB3010000000040C0
+:1006F0002DB301000000004047B30100000000409E
+:1007000043B30100600000402B9B01000000005437
+:10071000EF93010000000055F1930100FFFF00A5D9
+:100720003C8B01000000002C5BB301000000002C9A
+:1007300045B301000000004059B301000000004033
+:1007400057B301000000004027B301000000004043
+:1007500053B30100EB98A250FD7F0000EB98A2512B
+:10076000FD7F0000EC9800401DB3000050460040A3
+:100770001D9B010000C000A688B30100FF3F00A63A
+:100780003AB3010000C0009D3B9B0100B40500404E
+:10079000239B0100000000404DB30100080A00A6A1
+:1007A00014B301000101008A159B01000000002024
+:1007B00087B30100008000A656B101000000805EF2
+:1007C00057B501001800004B20E401000600004B63
+:1007D00096E401000043004B96C801001800001089
+:1007E00020DC01000000004B209401000000805735
+:1007F0002190010000992E0A97B0010000000040EE
+:10080000F1B10100FD98A2419750000000030040A3
+:100810009798010000A900404599010000000040A0
+:10082000F1B101000199A241975000003000004051
+:10083000979801000000005561B101000000004BD5
+:1008400062B101000599A840813200000599A241DA
+:10085000975000000000804081B201001000004E5F
+:1008600098E4010000000007989401000000004394
+:1008700099E0010000000080989401000000004809
+:1008800099E001000000004C889401000F996A4033
+:10089000813200001299224F777D0000F004004061
+:1008A000813201000000004F61B1010000000044EE
+:1008B00062B101001399A840813200001A99224ABE
+:1008C000897C00001899224F777D0000F0040040D9
+:1008D000813201000000004562B101001899A84072
+:1008E000813200000000FA4081B201000000804027
+:1008F00081B201000400A25A1F7C00001000000F0A
+:1009000098F401000400A25F9904000000008040F8
+:1009100081B201000000804081B20100040000406B
+:1009200081B200000400004081B2000004000040D9
+:1009300081B200000400004081B2000004000040C9
+:1009400081B200000400004081B2000004000040B9
+:1009500081B200000400004081B2000004000040A9
+:1009600081B200000400004081B200000400004099
+:1009700081B200000400004081B200000400004089
+:1009800081B200000400004081B200000400004079
+:1009900081B200000400004081B200000400004069
+:1009A00081B200000400004081B200000400004059
+:1009B00081B200000400004081B200000400004049
+:1009C00081B200000400004081B200000400004039
+:1009D00081B200000400004081B200000400004029
+:1009E00081B200000400004081B200000400004019
+:1009F00081B200000400004081B200000400004009
+:100A000081B200000400004081B2000004000040F8
+:100A100081B200000400004081B2000004000040E8
+:100A200081B200000400004081B2000004000040D8
+:100A300081B200000400004081B2000004000040C8
+:100A400081B200000400004081B2000004000040B8
+:100A500081B200000400004081B2000004000040A8
+:100A600081B200000400004081B200000400004098
+:100A700081B200000400004081B200000400004088
+:100A800081B200000400004081B200000400004078
+:100A900081B200000400004081B200000400004068
+:100AA00081B200000400004081B200000400004058
+:100AB00081B200000400004081B200000400004048
+:100AC00081B200000400004081B200000400004038
+:100AD00081B200000400004081B200000400004028
+:100AE00081B200000400004081B200000400004018
+:100AF00081B200000400004081B200000400004008
+:100B000081B200000400004081B2000004000040F7
+:100B100081B200000400004081B2000004000040E7
+:100B200081B200000400004081B2000004000040D7
+:100B300081B200000400004081B2000004000040C7
+:100B400081B200000400004081B2000004000040B7
+:100B500081B200000400004081B2000004000040A7
+:100B600081B200000400004081B200000400004097
+:100B700081B200000400004081B200000400004087
+:100B800081B200000400004081B200000400004077
+:100B900081B200000400004081B200000400004067
+:100BA00081B200000400004081B200000400004057
+:100BB00081B200000400004081B200000400004047
+:100BC00081B200000400004081B200000400004037
+:100BD00081B200000400004081B200000400004027
+:100BE00081B200000400004081B200000400004017
+:100BF00081B200000400004081B200000400004007
+:100C000081B200000400004081B2000004000040F6
+:100C100081B200000400004081B2000004000040E6
+:100C200081B200000400004081B2000004000040D6
+:100C300081B200000400004081B2000004000040C6
+:100C400081B200000400004081B2000004000040B6
+:100C500081B200000400004081B2000004000040A6
+:100C600081B200000400004081B200000400004096
+:100C700081B200000400004081B200000400004086
+:100C800081B200000400004081B200000400004076
+:100C900081B200000400004081B200000400004066
+:100CA00081B200000400004081B200000400004056
+:100CB00081B200000400004081B200000400004046
+:100CC00081B200000400004081B200000400004036
+:100CD00081B200000400004081B200000400004026
+:100CE00081B200000400004081B200000400004016
+:100CF00081B200000400004081B200000400004006
+:100D000081B200000400004081B2000004000040F5
+:100D100081B200000400004081B2000004000040E5
+:100D200081B200000400004081B2000004000040D5
+:100D300081B200000400004081B2000004000040C5
+:100D400081B200000400004081B2000004000040B5
+:100D500081B200000400004081B2000004000040A5
+:100D600081B200000400004081B200000400004095
+:100D700081B200000400004081B200000400004085
+:100D800081B200000400004081B200000400004075
+:100D900081B200000400004081B200000400004065
+:100DA00081B200000400004081B200000400004055
+:100DB00081B200000400004081B200000400004045
+:100DC00081B200000400004081B200000400004035
+:100DD00081B200000400004081B200000400004025
+:100DE00081B200000400004081B200000400004015
+:100DF00081B200000400004081B200000400004005
+:100E000081B200000400004081B2000004000040F4
+:100E100081B200000400004081B2000004000040E4
+:100E200081B200000400004081B2000004000040D4
+:100E300081B200000400004081B2000004000040C4
+:100E400081B200000400004081B2000004000040B4
+:100E500081B200000400004081B2000004000040A4
+:100E600081B200000400004081B200000400004094
+:100E700081B200000400004081B200000400004084
+:100E800081B200000400004081B200000400004074
+:100E900081B200000400004081B200000400004064
+:100EA00081B200000400004081B200000400004054
+:100EB00081B200000400004081B200000400004044
+:100EC00081B200000400004081B200000400004034
+:100ED00081B200000400004081B200000400004024
+:100EE00081B200000400004081B200000400004014
+:100EF00081B200000400004081B200000400004004
+:100F000081B200000400004081B2000004000040F3
+:100F100081B200000400004081B2000004000040E3
+:100F200081B200000400004081B2000004000040D3
+:100F300081B200000400004081B2000004000040C3
+:100F400081B200000400004081B2000004000040B3
+:100F500081B200000400004081B2000004000040A3
+:100F600081B200000400004081B200000400004093
+:100F700081B200000400004081B200000400004083
+:100F800081B200000400004081B200000400004073
+:100F900081B200000400004081B200000400004063
+:100FA00081B200000400004081B200000400004053
+:100FB00081B200000400004081B200000400004043
+:100FC00081B200000400004081B200000400004033
+:100FD00081B200000400004081B200000400004023
+:100FE00081B200000400004081B200000400004013
+:100FF00081B200000400004081B200000400004003
+:1010000081B200000400004081B2000004000040F2
+:1010100081B200000400004081B2000004000040E2
+:1010200081B200000400004081B2000004000040D2
+:1010300081B200000400004081B2000004000040C2
+:1010400081B200000400004081B2000004000040B2
+:1010500081B200000400004081B2000004000040A2
+:1010600081B200000400004081B200000400004092
+:1010700081B200000400004081B200000400004082
+:1010800081B200000400004081B200000400004072
+:1010900081B200000400004081B200000400004062
+:1010A00081B200000400004081B200000400004052
+:1010B00081B200000400004081B200000400004042
+:1010C00081B200000400004081B200000400004032
+:1010D00081B200000400004081B200000400004022
+:1010E00081B200000400004081B200000400004012
+:1010F00081B200000400004081B200000400004002
+:1011000081B200000400004081B2000004000040F1
+:1011100081B200000400004081B2000004000040E1
+:1011200081B200000400004081B2000004000040D1
+:1011300081B200000400004081B2000004000040C1
+:1011400081B200000400004081B2000004000040B1
+:1011500081B200000400004081B2000004000040A1
+:1011600081B200000400004081B200000400004091
+:1011700081B200000400004081B200000400004081
+:1011800081B200000400004081B200000400004071
+:1011900081B200000400004081B200000400004061
+:1011A00081B200000400004081B200000400004051
+:1011B00081B200000400004081B200000400004041
+:1011C00081B200000400004081B200000400004031
+:1011D00081B200000400004081B200000400004021
+:1011E00081B200000400004081B200000400004011
+:1011F00081B200000400004081B200000400004001
+:1012000081B200000400004081B2000004000040F0
+:1012100081B200000400004081B2000004000040E0
+:1012200081B200000400004081B2000004000040D0
+:1012300081B200000400004081B2000004000040C0
+:1012400081B200000400004081B2000004000040B0
+:1012500081B200000400004081B2000004000040A0
+:1012600081B200000400004081B200000400004090
+:1012700081B200000400004081B200000400004080
+:1012800081B200000400004081B200000400004070
+:1012900081B200000400004081B200000400004060
+:1012A00081B200000400004081B200000400004050
+:1012B00081B200000400004081B200000400004040
+:1012C00081B200000400004081B200000400004030
+:1012D00081B200000400004081B200000400004020
+:1012E00081B200000400004081B200000400004010
+:1012F00081B200000400004081B200000400004000
+:1013000081B200000400004081B2000004000040EF
+:1013100081B200000400004081B2000004000040DF
+:1013200081B200000400004081B2000004000040CF
+:1013300081B200000400004081B2000004000040BF
+:1013400081B200000400004081B2000004000040AF
+:1013500081B200000400004081B20000040000409F
+:1013600081B200000400004081B20000040000408F
+:1013700081B200000400004081B20000040000407F
+:1013800081B200000400004081B20000040000406F
+:1013900081B200000400004081B20000040000405F
+:1013A00081B200000400004081B20000040000404F
+:1013B00081B200000400004081B20000040000403F
+:1013C00081B200000400004081B20000040000402F
+:1013D00081B200000400004081B20000040000401F
+:1013E00081B200000400004081B20000040000400F
+:1013F00081B200000400004081B2000004000040FF
+:1014000081B200000400004081B2000004000040EE
+:1014100081B200000400004081B2000004000040DE
+:1014200081B200000400004081B2000004000040CE
+:1014300081B200000400004081B2000004000040BE
+:1014400081B200000400004081B2000004000040AE
+:1014500081B200000400004081B20000040000409E
+:1014600081B200000400004081B20000040000408E
+:1014700081B200000400004081B20000040000407E
+:1014800081B200000400004081B20000040000406E
+:1014900081B200000400004081B20000040000405E
+:1014A00081B200000400004081B20000040000404E
+:1014B00081B200000400004081B20000040000403E
+:1014C00081B200000400004081B20000040000402E
+:1014D00081B200000400004081B20000040000401E
+:1014E00081B200000400004081B20000040000400E
+:1014F00081B200000400004081B2000004000040FE
+:1015000081B200000400004081B2000004000040ED
+:1015100081B200000400004081B2000004000040DD
+:1015200081B200000400004081B2000004000040CD
+:1015300081B200000400004081B2000004000040BD
+:1015400081B200000400004081B2000004000040AD
+:1015500081B200000400004081B20000040000409D
+:1015600081B200000400004081B20000040000408D
+:1015700081B200000400004081B20000040000407D
+:1015800081B200000400004081B20000040000406D
+:1015900081B200000400004081B20000040000405D
+:1015A00081B200000400004081B20000040000404D
+:1015B00081B200000400004081B20000040000403D
+:1015C00081B200000400004081B20000040000402D
+:1015D00081B200000400004081B20000040000401D
+:1015E00081B200000400004081B20000040000400D
+:1015F00081B200000400004081B2000004000040FD
+:1016000081B200000400004081B2000004000040EC
+:1016100081B200000400004081B2000004000040DC
+:1016200081B200000400004081B2000004000040CC
+:1016300081B200000400004081B2000004000040BC
+:1016400081B200000400004081B2000004000040AC
+:1016500081B200000400004081B20000040000409C
+:1016600081B200000400004081B20000040000408C
+:1016700081B200000400004081B20000040000407C
+:1016800081B200000400004081B20000040000406C
+:1016900081B200000400004081B20000040000405C
+:1016A00081B200000400004081B20000040000404C
+:1016B00081B200000400004081B20000040000403C
+:1016C00081B200000400004081B20000040000402C
+:1016D00081B200000400004081B20000040000401C
+:1016E00081B200000400004081B20000040000400C
+:1016F00081B200000400004081B2000004000040FC
+:1017000081B200000400004081B2000004000040EB
+:1017100081B200000400004081B2000004000040DB
+:1017200081B200000400004081B2000004000040CB
+:1017300081B200000400004081B2000004000040BB
+:1017400081B200000400004081B2000004000040AB
+:1017500081B200000400004081B20000040000409B
+:1017600081B200000400004081B20000040000408B
+:1017700081B200000400004081B20000040000407B
+:1017800081B200000400004081B20000040000406B
+:1017900081B200000400004081B20000040000405B
+:1017A00081B200000400004081B20000040000404B
+:1017B00081B200000400004081B20000040000403B
+:1017C00081B200000400004081B20000040000402B
+:1017D00081B200000400004081B20000040000401B
+:1017E00081B200000400004081B20000040000400B
+:1017F00081B200000400004081B2000004000040FB
+:1018000081B200000400004081B2000004000040EA
+:1018100081B200000400004081B2000004000040DA
+:1018200081B200000400004081B2000004000040CA
+:1018300081B200000400004081B2000004000040BA
+:1018400081B200000400004081B2000004000040AA
+:1018500081B200000400004081B20000040000409A
+:1018600081B200000400004081B20000040000408A
+:1018700081B200000400004081B20000040000407A
+:1018800081B200000400004081B20000040000406A
+:1018900081B200000400004081B20000040000405A
+:1018A00081B200000400004081B20000040000404A
+:1018B00081B200000400004081B20000040000403A
+:1018C00081B200000400004081B20000040000402A
+:1018D00081B200000400004081B20000040000401A
+:1018E00081B200000400004081B20000040000400A
+:1018F00081B200000400004081B2000004000040FA
+:1019000081B200000400004081B2000004000040E9
+:1019100081B200000400004081B2000004000040D9
+:1019200081B200000400004081B2000004000040C9
+:1019300081B200000400004081B2000004000040B9
+:1019400081B200000400004081B2000004000040A9
+:1019500081B200000400004081B200000400004099
+:1019600081B200000400004081B200000400004089
+:1019700081B200000400004081B200000400004079
+:1019800081B200000400004081B200000400004069
+:1019900081B200000400004081B200000400004059
+:1019A00081B200000400004081B200000400004049
+:1019B00081B200000400004081B200000400004039
+:1019C00081B200000400004081B200000400004029
+:1019D00081B200000400004081B200000400004019
+:1019E00081B200000400004081B200000400004009
+:1019F00081B200000400004081B2000004000040F9
+:101A000081B200000400004081B2000004000040E8
+:101A100081B200000400004081B2000004000040D8
+:101A200081B200000400004081B2000004000040C8
+:101A300081B200000400004081B2000004000040B8
+:101A400081B200000400004081B2000004000040A8
+:101A500081B200000400004081B200000400004098
+:101A600081B200000400004081B200000400004088
+:101A700081B200000400004081B200000400004078
+:101A800081B200000400004081B200000400004068
+:101A900081B200000400004081B200000400004058
+:101AA00081B200000400004081B200000400004048
+:101AB00081B200000400004081B200000400004038
+:101AC00081B200000400004081B200000400004028
+:101AD00081B200000400004081B200000400004018
+:101AE00081B200000400004081B200000400004008
+:101AF00081B200000400004081B2000004000040F8
+:101B000081B200000400004081B2000004000040E7
+:101B100081B200000400004081B2000004000040D7
+:101B200081B200000400004081B2000004000040C7
+:101B300081B200000400004081B2000004000040B7
+:101B400081B200000400004081B2000004000040A7
+:101B500081B200000400004081B200000400004097
+:101B600081B200000400004081B200000400004087
+:101B700081B200000400004081B200000400004077
+:101B800081B200000400004081B200000400004067
+:101B900081B200000400004081B200000400004057
+:101BA00081B200000400004081B200000400004047
+:101BB00081B200000400004081B200000400004037
+:101BC00081B200000400004081B200000400004027
+:101BD00081B200000400004081B200000400004017
+:101BE00081B200000400004081B200000400004007
+:101BF00081B200000400004081B2000004000040F7
+:101C000081B200000400004081B2000004000040E6
+:101C100081B200000400004081B2000004000040D6
+:101C200081B200000400004081B2000004000040C6
+:101C300081B200000400004081B2000004000040B6
+:101C400081B200000400004081B2000004000040A6
+:101C500081B200000400004081B200000400004096
+:101C600081B200000400004081B200000400004086
+:101C700081B200000400004081B200000400004076
+:101C800081B200000400004081B200000400004066
+:101C900081B200000400004081B200000400004056
+:101CA00081B200000400004081B200000400004046
+:101CB00081B200000400004081B200000400004036
+:101CC00081B200000400004081B200000400004026
+:101CD00081B200000400004081B200000400004016
+:101CE00081B200000400004081B200000400004006
+:101CF00081B200000400004081B2000004000040F6
+:101D000081B200000400004081B2000004000040E5
+:101D100081B200000400004081B2000004000040D5
+:101D200081B200000400004081B2000004000040C5
+:101D300081B200000400004081B2000004000040B5
+:101D400081B200000400004081B2000004000040A5
+:101D500081B200000400004081B200000400004095
+:101D600081B200000400004081B200000400004085
+:101D700081B200000400004081B200000400004075
+:101D800081B200000400004081B200000400004065
+:101D900081B200000400004081B200000400004055
+:101DA00081B200000400004081B200000400004045
+:101DB00081B200000400004081B200000400004035
+:101DC00081B200000400004081B200000400004025
+:101DD00081B200000400004081B200000400004015
+:101DE00081B200000400004081B200000400004005
+:101DF00081B200000400004081B2000004000040F5
+:101E000081B200000400004081B2000004000040E4
+:101E100081B200000400004081B2000004000040D4
+:101E200081B200000400004081B2000004000040C4
+:101E300081B200000400004081B2000004000040B4
+:101E400081B200000400004081B2000004000040A4
+:101E500081B200000400004081B200000400004094
+:101E600081B200000400004081B200000400004084
+:101E700081B200000400004081B200000400004074
+:101E800081B200000400004081B200000400004064
+:101E900081B200000400004081B200000400004054
+:101EA00081B200000400004081B200000400004044
+:101EB00081B200000400004081B200000400004034
+:101EC00081B200000400004081B200000400004024
+:101ED00081B200000400004081B200000400004014
+:101EE00081B200000400004081B200000400004004
+:101EF00081B200000400004081B2000004000040F4
+:101F000081B200000400004081B2000004000040E3
+:101F100081B200000400004081B2000004000040D3
+:101F200081B200000400004081B2000004000040C3
+:101F300081B200000400004081B2000004000040B3
+:101F400081B200000400004081B2000004000040A3
+:101F500081B200000400004081B200000400004093
+:101F600081B200000400004081B200000400004083
+:101F700081B200000400004081B200000400004073
+:101F800081B200000400004081B200000400004063
+:101F900081B200000400004081B200000400004053
+:101FA00081B200000400004081B200000400004043
+:101FB00081B200000400004081B200000400004033
+:101FC00081B200000400004081B200000400004023
+:101FD00081B200000400004081B200000400004013
+:101FE00081B200000400004081B200000400004003
+:101FF00081B200000400004081B2000004000040F3
+:1020000081B200000400004081B2000004000040E2
+:1020100081B200000400004081B2000004000040D2
+:1020200081B200000400004081B2000004000040C2
+:1020300081B200000400004081B2000004000040B2
+:1020400081B200000400004081B2000004000040A2
+:1020500081B200000400004081B200000400004092
+:1020600081B200000400004081B200000400004082
+:1020700081B200000400004081B200000400004072
+:1020800081B200000400004081B200000400004062
+:1020900081B200000400004081B200000400004052
+:1020A00081B200000400004081B200000400004042
+:1020B00081B200000400004081B200000400004032
+:1020C00081B200000400004081B200000400004022
+:1020D00081B200000400004081B200000400004012
+:1020E00081B200000400004081B200000400004002
+:1020F00081B200000400004081B2000004000040F2
+:1021000081B200000400004081B2000004000040E1
+:1021100081B200000400004081B2000004000040D1
+:1021200081B200000400004081B2000004000040C1
+:1021300081B200000400004081B2000004000040B1
+:1021400081B200000400004081B2000004000040A1
+:1021500081B200000400004081B200000400004091
+:1021600081B200000400004081B200000400004081
+:1021700081B200000400004081B200000400004071
+:1021800081B200000400004081B200000400004061
+:1021900081B200000400004081B200000400004051
+:1021A00081B200000400004081B200000400004041
+:1021B00081B200000400004081B200000400004031
+:1021C00081B200000400004081B200000400004021
+:1021D00081B200000400004081B200000400004011
+:1021E00081B200000400004081B200000400004001
+:1021F00081B200000400004081B2000004000040F1
+:1022000081B200000400004081B2000004000040E0
+:1022100081B200000400004081B2000004000040D0
+:1022200081B200000400004081B2000004000040C0
+:1022300081B200000400004081B2000004000040B0
+:1022400081B200000400004081B2000004000040A0
+:1022500081B200000400004081B200000400004090
+:1022600081B200000400004081B200000400004080
+:1022700081B200000400004081B200000400004070
+:1022800081B200000400004081B200000400004060
+:1022900081B200000400004081B200000400004050
+:1022A00081B200000400004081B200000400004040
+:1022B00081B200000400004081B200000400004030
+:1022C00081B200000400004081B200000400004020
+:1022D00081B200000400004081B200000400004010
+:1022E00081B200000400004081B200000400004000
+:1022F00081B200000400004081B2000004000040F0
+:1023000081B200000400004081B2000004000040DF
+:1023100081B200000400004081B2000004000040CF
+:1023200081B200000400004081B2000004000040BF
+:1023300081B200000400004081B2000004000040AF
+:1023400081B200000400004081B20000040000409F
+:1023500081B200000400004081B20000040000408F
+:1023600081B200000400004081B20000040000407F
+:1023700081B200000400004081B20000040000406F
+:1023800081B200000400004081B20000040000405F
+:1023900081B200000400004081B20000040000404F
+:1023A00081B200000400004081B20000040000403F
+:1023B00081B200000400004081B20000040000402F
+:1023C00081B200000400004081B20000040000401F
+:1023D00081B200000400004081B20000040000400F
+:1023E00081B200000400004081B2000004000040FF
+:1023F00081B200000400004081B2000004000040EF
+:1024000081B200000400004081B2000004000040DE
+:1024100081B200000400004081B2000004000040CE
+:1024200081B200000400004081B2000004000040BE
+:1024300081B200000400004081B2000004000040AE
+:1024400081B200000400004081B20000040000409E
+:1024500081B200000400004081B20000040000408E
+:1024600081B200000400004081B20000040000407E
+:1024700081B200000400004081B20000040000406E
+:1024800081B200000400004081B20000040000405E
+:1024900081B200000400004081B20000040000404E
+:1024A00081B200000400004081B20000040000403E
+:1024B00081B200000400004081B20000040000402E
+:1024C00081B200000400004081B20000040000401E
+:1024D00081B200000400004081B20000040000400E
+:1024E00081B200000400004081B2000004000040FE
+:1024F00081B200000400004081B2000004000040EE
+:1025000081B200000400004081B2000004000040DD
+:1025100081B200000400004081B2000004000040CD
+:1025200081B200000400004081B2000004000040BD
+:1025300081B200000400004081B2000004000040AD
+:1025400081B200000400004081B20000040000409D
+:1025500081B200000400004081B20000040000408D
+:1025600081B200000400004081B20000040000407D
+:1025700081B200000400004081B20000040000406D
+:1025800081B200000400004081B20000040000405D
+:1025900081B200000400004081B20000040000404D
+:1025A00081B200000400004081B20000040000403D
+:1025B00081B200000400004081B20000040000402D
+:1025C00081B200000400004081B20000040000401D
+:1025D00081B200000400004081B20000040000400D
+:1025E00081B200000400004081B2000004000040FD
+:1025F00081B200000400004081B2000004000040ED
+:1026000081B200000400004081B2000004000040DC
+:1026100081B200000400004081B2000004000040CC
+:1026200081B200000400004081B2000004000040BC
+:1026300081B200000400004081B2000004000040AC
+:1026400081B200000400004081B20000040000409C
+:1026500081B200000400004081B20000040000408C
+:1026600081B200000400004081B20000040000407C
+:1026700081B200000400004081B20000040000406C
+:1026800081B200000400004081B20000040000405C
+:1026900081B200000400004081B20000040000404C
+:1026A00081B200000400004081B20000040000403C
+:1026B00081B200000400004081B20000040000402C
+:1026C00081B200000400004081B20000040000401C
+:1026D00081B200000400004081B20000040000400C
+:1026E00081B200000400004081B2000004000040FC
+:1026F00081B200000400004081B2000004000040EC
+:1027000081B200000400004081B2000004000040DB
+:1027100081B200000400004081B2000004000040CB
+:1027200081B200000400004081B2000004000040BB
+:1027300081B200000400004081B2000004000040AB
+:1027400081B200000400004081B20000040000409B
+:1027500081B200000400004081B20000040000408B
+:1027600081B200000400004081B20000040000407B
+:1027700081B200000400004081B20000040000406B
+:1027800081B200000400004081B20000040000405B
+:1027900081B200000400004081B20000040000404B
+:1027A00081B200000400004081B20000040000403B
+:1027B00081B200000400004081B20000040000402B
+:1027C00081B200000400004081B20000040000401B
+:1027D00081B200000400004081B20000040000400B
+:1027E00081B200000400004081B2000004000040FB
+:1027F00081B200000400004081B2000004000040EB
+:1028000081B200000400004081B2000004000040DA
+:1028100081B200000400004081B2000004000040CA
+:1028200081B200000400004081B2000004000040BA
+:1028300081B200000400004081B2000004000040AA
+:1028400081B200000400004081B20000040000409A
+:1028500081B200000400004081B20000040000408A
+:1028600081B200000400004081B20000040000407A
+:1028700081B200000400004081B20000040000406A
+:1028800081B200000400004081B20000040000405A
+:1028900081B200000400004081B20000040000404A
+:1028A00081B200000400004081B20000040000403A
+:1028B00081B200000400004081B20000040000402A
+:1028C00081B200000400004081B20000040000401A
+:1028D00081B200000400004081B20000040000400A
+:1028E00081B200000400004081B2000004000040FA
+:1028F00081B200000400004081B2000004000040EA
+:1029000081B200000400004081B2000004000040D9
+:1029100081B200000400004081B2000004000040C9
+:1029200081B200000400004081B2000004000040B9
+:1029300081B200000400004081B2000004000040A9
+:1029400081B200000400004081B200000400004099
+:1029500081B200000400004081B200000400004089
+:1029600081B200000400004081B200000400004079
+:1029700081B200000400004081B200000400004069
+:1029800081B200000400004081B200000400004059
+:1029900081B200000400004081B200000400004049
+:1029A00081B200000400004081B200000400004039
+:1029B00081B200000400004081B200000400004029
+:1029C00081B200000400004081B200000400004019
+:1029D00081B200000400004081B200000400004009
+:1029E00081B200000400004081B2000004000040F9
+:1029F00081B200000400004081B2000004000040E9
+:102A000081B200000400004081B2000004000040D8
+:102A100081B200000400004081B2000004000040C8
+:102A200081B200000400004081B2000004000040B8
+:102A300081B200000400004081B2000004000040A8
+:102A400081B200000400004081B200000400004098
+:102A500081B200000400004081B200000400004088
+:102A600081B200000400004081B200000400004078
+:102A700081B200000400004081B200000400004068
+:102A800081B200000400004081B200000400004058
+:102A900081B200000400004081B200000400004048
+:102AA00081B200000400004081B200000400004038
+:102AB00081B200000400004081B200000400004028
+:102AC00081B200000400004081B200000400004018
+:102AD00081B200000400004081B200000400004008
+:102AE00081B200000400004081B2000004000040F8
+:102AF00081B200000400004081B2000004000040E8
+:102B000081B200000400004081B2000004000040D7
+:102B100081B200000400004081B2000004000040C7
+:102B200081B200000400004081B2000004000040B7
+:102B300081B200000400004081B2000004000040A7
+:102B400081B200000400004081B200000400004097
+:102B500081B200000400004081B200000400004087
+:102B600081B200000400004081B200000400004077
+:102B700081B200000400004081B200000400004067
+:102B800081B200000400004081B200000400004057
+:102B900081B200000400004081B200000400004047
+:102BA00081B200000400004081B200000400004037
+:102BB00081B200000400004081B200000400004027
+:102BC00081B200000400004081B200000400004017
+:102BD00081B200000400004081B200000400004007
+:102BE00081B200000400004081B2000004000040F7
+:102BF00081B200000400004081B2000004000040E7
+:102C000081B200000400004081B2000004000040D6
+:102C100081B200000400004081B2000004000040C6
+:102C200081B200000400004081B2000004000040B6
+:102C300081B200000400004081B2000004000040A6
+:102C400081B200000400004081B200000400004096
+:102C500081B200000400004081B200000400004086
+:102C600081B200000400004081B200000400004076
+:102C700081B200000400004081B200000400004066
+:102C800081B200000400004081B200000400004056
+:102C900081B200000400004081B200000400004046
+:102CA00081B200000400004081B200000400004036
+:102CB00081B200000400004081B200000400004026
+:102CC00081B200000400004081B200000400004016
+:102CD00081B200000400004081B200000400004006
+:102CE00081B200000400004081B2000004000040F6
+:102CF00081B200000400004081B2000004000040E6
+:102D000081B200000400004081B2000004000040D5
+:102D100081B200000400004081B2000004000040C5
+:102D200081B200000400004081B2000004000040B5
+:102D300081B200000400004081B2000004000040A5
+:102D400081B200000400004081B200000400004095
+:102D500081B200000400004081B200000400004085
+:102D600081B200000400004081B200000400004075
+:102D700081B200000400004081B200000400004065
+:102D800081B200000400004081B200000400004055
+:102D900081B200000400004081B200000400004045
+:102DA00081B200000400004081B200000400004035
+:102DB00081B200000400004081B200000400004025
+:102DC00081B200000400004081B200000400004015
+:102DD00081B200000400004081B200000400004005
+:102DE00081B200000400004081B2000004000040F5
+:102DF00081B200000400004081B2000004000040E5
+:102E000081B200000400004081B2000004000040D4
+:102E100081B200000400004081B2000004000040C4
+:102E200081B200000400004081B2000004000040B4
+:102E300081B200000400004081B2000004000040A4
+:102E400081B200000400004081B200000400004094
+:102E500081B200000400004081B200000400004084
+:102E600081B200000400004081B200000400004074
+:102E700081B200000400004081B200000400004064
+:102E800081B200000400004081B200000400004054
+:102E900081B200000400004081B200000400004044
+:102EA00081B200000400004081B200000400004034
+:102EB00081B200000400004081B200000400004024
+:102EC00081B200000400004081B200000400004014
+:102ED00081B200000400004081B200000400004004
+:102EE00081B200000400004081B2000004000040F4
+:102EF00081B200000400004081B2000004000040E4
+:102F000081B200000400004081B2000004000040D3
+:102F100081B200000400004081B2000004000040C3
+:102F200081B200000400004081B2000004000040B3
+:102F300081B200000400004081B2000004000040A3
+:102F400081B200000400004081B200000400004093
+:102F500081B200000400004081B200000400004083
+:102F600081B200000400004081B200000400004073
+:102F700081B200000400004081B200000400004063
+:102F800081B200000400004081B200000400004053
+:102F900081B200000400004081B200000400004043
+:102FA00081B200000400004081B200000400004033
+:102FB00081B200000400004081B200000400004023
+:102FC00081B200000400004081B200000400004013
+:102FD00081B200000400004081B200000400004003
+:102FE00081B200000400004081B2000004000040F3
+:102FF00081B200000400004081B2000004000040E3
+:1030000081B200000400004081B2000004000040D2
+:1030100081B200000400004081B2000004000040C2
+:1030200081B200000400004081B2000004000040B2
+:1030300081B200000400004081B2000004000040A2
+:1030400081B200000400004081B200000400004092
+:1030500081B200000400004081B200000400004082
+:1030600081B200000400004081B200000400004072
+:1030700081B200000400004081B200000400004062
+:1030800081B200000400004081B200000400004052
+:1030900081B200000400004081B200000400004042
+:1030A00081B200000400004081B200000400004032
+:1030B00081B200000400004081B200000400004022
+:1030C00081B200000400004081B200000400004012
+:1030D00081B200000400004081B200000400004002
+:1030E00081B200000400004081B2000004000040F2
+:1030F00081B200000400004081B2000004000040E2
+:1031000081B200000400004081B2000004000040D1
+:1031100081B200000400004081B2000004000040C1
+:1031200081B200000400004081B2000004000040B1
+:1031300081B200000400004081B2000004000040A1
+:1031400081B200000400004081B200000400004091
+:1031500081B200000400004081B200000400004081
+:1031600081B200000400004081B200000400004071
+:1031700081B200000400004081B200000400004061
+:1031800081B200000400004081B200000400004051
+:1031900081B200000400004081B200000400004041
+:1031A00081B200000400004081B200000400004031
+:1031B00081B200000400004081B200000400004021
+:1031C00081B200000400004081B200000400004011
+:1031D00081B200000400004081B200000400004001
+:1031E00081B200000400004081B2000004000040F1
+:1031F00081B200000400004081B2000004000040E1
+:1032000081B200000400004081B2000004000040D0
+:1032100081B200000400004081B2000004000040C0
+:1032200081B200000400004081B2000004000040B0
+:1032300081B200000400004081B2000004000040A0
+:1032400081B200000400004081B200000400004090
+:1032500081B200000400004081B200000400004080
+:1032600081B200000400004081B200000400004070
+:1032700081B200000400004081B200000400004060
+:1032800081B200000400004081B200000400004050
+:1032900081B200000400004081B200000400004040
+:1032A00081B200000400004081B200000400004030
+:1032B00081B200000400004081B200000400004020
+:1032C00081B200000400004081B200000400004010
+:1032D00081B200000400004081B200000400004000
+:1032E00081B200000400004081B2000004000040F0
+:1032F00081B200000400004081B2000004000040E0
+:1033000081B200000400004081B2000004000040CF
+:1033100081B200000400004081B2000004000040BF
+:1033200081B200000400004081B2000004000040AF
+:1033300081B200000400004081B20000040000409F
+:1033400081B200000400004081B20000040000408F
+:1033500081B200000400004081B20000040000407F
+:1033600081B200000400004081B20000040000406F
+:1033700081B200000400004081B20000040000405F
+:1033800081B200000400004081B20000040000404F
+:1033900081B200000400004081B20000040000403F
+:1033A00081B200000400004081B20000040000402F
+:1033B00081B200000400004081B20000040000401F
+:1033C00081B200000400004081B20000040000400F
+:1033D00081B200000400004081B2000004000040FF
+:1033E00081B200000400004081B2000004000040EF
+:1033F00081B200000400004081B2000004000040DF
+:1034000081B200000400004081B2000004000040CE
+:1034100081B200000400004081B2000004000040BE
+:1034200081B200000400004081B2000004000040AE
+:1034300081B200000400004081B20000040000409E
+:1034400081B200000400004081B20000040000408E
+:1034500081B200000400004081B20000040000407E
+:1034600081B200000400004081B20000040000406E
+:1034700081B200000400004081B20000040000405E
+:1034800081B200000400004081B20000040000404E
+:1034900081B200000400004081B20000040000403E
+:1034A00081B200000400004081B20000040000402E
+:1034B00081B200000400004081B20000040000401E
+:1034C00081B200000400004081B20000040000400E
+:1034D00081B200000400004081B2000004000040FE
+:1034E00081B200000400004081B2000004000040EE
+:1034F00081B200000400004081B2000004000040DE
+:1035000081B200000400004081B2000004000040CD
+:1035100081B200000400004081B2000004000040BD
+:1035200081B200000400004081B2000004000040AD
+:1035300081B200000400004081B20000040000409D
+:1035400081B200000400004081B20000040000408D
+:1035500081B200000400004081B20000040000407D
+:1035600081B200000400004081B20000040000406D
+:1035700081B200000400004081B20000040000405D
+:1035800081B200000400004081B20000040000404D
+:1035900081B200000400004081B20000040000403D
+:1035A00081B200000400004081B20000040000402D
+:1035B00081B200000400004081B20000040000401D
+:1035C00081B200000400004081B20000040000400D
+:1035D00081B200000400004081B2000004000040FD
+:1035E00081B200000400004081B2000004000040ED
+:1035F00081B200000400004081B2000004000040DD
+:1036000081B200000400004081B2000004000040CC
+:1036100081B200000400004081B2000004000040BC
+:1036200081B200000400004081B2000004000040AC
+:1036300081B200000400004081B20000040000409C
+:1036400081B200000400004081B20000040000408C
+:1036500081B200000400004081B20000040000407C
+:1036600081B200000400004081B20000040000406C
+:1036700081B200000400004081B20000040000405C
+:1036800081B200000400004081B20000040000404C
+:1036900081B200000400004081B20000040000403C
+:1036A00081B200000400004081B20000040000402C
+:1036B00081B200000400004081B20000040000401C
+:1036C00081B200000400004081B20000040000400C
+:1036D00081B200000400004081B2000004000040FC
+:1036E00081B200000400004081B2000004000040EC
+:1036F00081B200000400004081B2000004000040DC
+:1037000081B200000400004081B2000004000040CB
+:1037100081B200000400004081B2000004000040BB
+:1037200081B200000400004081B2000004000040AB
+:1037300081B200000400004081B20000040000409B
+:1037400081B200000400004081B20000040000408B
+:1037500081B200000400004081B20000040000407B
+:1037600081B200000400004081B20000040000406B
+:1037700081B200000400004081B20000040000405B
+:1037800081B200000400004081B20000040000404B
+:1037900081B200000400004081B20000040000403B
+:1037A00081B200000400004081B20000040000402B
+:1037B00081B200000400004081B20000040000401B
+:1037C00081B200000400004081B20000040000400B
+:1037D00081B200000400004081B2000004000040FB
+:1037E00081B200000400004081B2000004000040EB
+:1037F00081B200000400004081B2000004000040DB
+:1038000081B200000400004081B2000004000040CA
+:1038100081B200000400004081B2000004000040BA
+:1038200081B200000400004081B2000004000040AA
+:1038300081B200000400004081B20000040000409A
+:1038400081B200000400004081B20000040000408A
+:1038500081B200000400004081B20000040000407A
+:1038600081B200000400004081B20000040000406A
+:1038700081B200000400004081B20000040000405A
+:1038800081B200000400004081B20000040000404A
+:1038900081B200000400004081B20000040000403A
+:1038A00081B200000400004081B20000040000402A
+:1038B00081B200000400004081B20000040000401A
+:1038C00081B200000400004081B20000040000400A
+:1038D00081B200000400004081B2000004000040FA
+:1038E00081B200000400004081B2000004000040EA
+:1038F00081B200000400004081B2000004000040DA
+:1039000081B200000400004081B2000004000040C9
+:1039100081B200000400004081B2000004000040B9
+:1039200081B200000400004081B2000004000040A9
+:1039300081B200000400004081B200000400004099
+:1039400081B200000400004081B200000400004089
+:1039500081B200000400004081B200000400004079
+:1039600081B200000400004081B200000400004069
+:1039700081B200000400004081B200000400004059
+:1039800081B200000400004081B200000400004049
+:1039900081B200000400004081B200000400004039
+:1039A00081B200000400004081B200000400004029
+:1039B00081B200000400004081B200000400004019
+:1039C00081B200000400004081B200000400004009
+:1039D00081B200000400004081B2000004000040F9
+:1039E00081B200000400004081B2000004000040E9
+:1039F00081B200000400004081B2000004000040D9
+:103A000081B200000400004081B2000004000040C8
+:103A100081B200000400004081B2000004000040B8
+:103A200081B200000400004081B2000004000040A8
+:103A300081B200000400004081B200000400004098
+:103A400081B200000400004081B200000400004088
+:103A500081B200000400004081B200000400004078
+:103A600081B200000400004081B200000400004068
+:103A700081B200000400004081B200000400004058
+:103A800081B200000400004081B200000400004048
+:103A900081B200000400004081B200000400004038
+:103AA00081B200000400004081B200000400004028
+:103AB00081B200000400004081B200000400004018
+:103AC00081B200000400004081B200000400004008
+:103AD00081B200000400004081B2000004000040F8
+:103AE00081B200000400004081B2000004000040E8
+:103AF00081B200000400004081B2000004000040D8
+:103B000081B200000400004081B2000004000040C7
+:103B100081B200000400004081B2000004000040B7
+:103B200081B200000400004081B2000004000040A7
+:103B300081B200000400004081B200000400004097
+:103B400081B200000400004081B200000400004087
+:103B500081B200000400004081B200000400004077
+:103B600081B200000400004081B200000400004067
+:103B700081B200000400004081B200000400004057
+:103B800081B200000400004081B200000400004047
+:103B900081B200000400004081B200000400004037
+:103BA00081B200000400004081B200000400004027
+:103BB00081B200000400004081B200000400004017
+:103BC00081B200000400004081B200000400004007
+:103BD00081B200000400004081B2000004000040F7
+:103BE00081B200000400004081B2000004000040E7
+:103BF00081B200000400004081B2000004000040D7
+:103C000081B200000400004081B2000004000040C6
+:103C100081B200000400004081B2000004000040B6
+:103C200081B200000400004081B2000004000040A6
+:103C300081B200000400004081B200000400004096
+:103C400081B200000400004081B200000400004086
+:103C500081B200000400004081B200000400004076
+:103C600081B200000400004081B200000400004066
+:103C700081B200000400004081B200000400004056
+:103C800081B200000400004081B200000400004046
+:103C900081B200000400004081B200000400004036
+:103CA00081B200000400004081B200000400004026
+:103CB00081B200000400004081B200000400004016
+:103CC00081B200000400004081B200000400004006
+:103CD00081B200000400004081B2000004000040F6
+:103CE00081B200000400004081B2000004000040E6
+:103CF00081B200000400004081B2000004000040D6
+:103D000081B200000400004081B2000004000040C5
+:103D100081B200000400004081B2000004000040B5
+:103D200081B200000400004081B2000004000040A5
+:103D300081B200000400004081B200000400004095
+:103D400081B200000400004081B200000400004085
+:103D500081B20000AE9F00889AB00000AE9F00883C
+:103D60009AB00000AE9F00889AB00000AE9F008815
+:103D70009AB00000AE9F00889AB000000000008852
+:103D80009AB00100AE9F414081320000B29F2240B4
+:103D90007B6F00000000194081B20100AE9F00401F
+:103DA00081B20000000019417BB30100000000A4B3
+:103DB000C4B30100000000A1C6B3010000002FA29F
+:103DC000C8B301000814004049990100A89F004DA4
+:103DD0009ACC0100BB9F2640813200000000004CBD
+:103DE00049C10100B99FA2419B500000BF9F808044
+:103DF0008032000000005249FD9301000000004A9B
+:103E0000FD930100C29F0042CD9300000000514A83
+:103E1000FD93010000000049FD930100C29F004393
+:103E2000CB9300000000504081B20100D29F0040BF
+:103E300019990100000000F09AB001000000004450
+:103E400049D10100000040F080B201000000414D66
+:103E500080B20100CA9F00401999010000004C4047
+:103E600081B201000000004449D10100000000F0CF
+:103E70009AB001000000004D10B10000000000E207
+:103E800049B10100000000E343B10100000000E47B
+:103E900045B10100000000407BB301000000484F25
+:103EA00040B10100D29F004081B2000004000040F8
+:103EB00081B200000400004081B200000400004014
+:103EC00081B200000400004081B200000400004004
+:103ED00081B20000040000CB81C8010022830040B1
+:103EE000F29300005582004081B20000400500407E
+:103EF00081B200001806004081B200002283004019
+:103F000081B20000C682004081B2000043810040BF
+:103F100081B200004181004081B20000B8800040C1
+:103F200081B20000F087004081B20000238300408E
+:103F300081B200002783004081B20000BF9400409E
+:103F400081B200009498004081B200007F9400404C
+:103F500081B200007F98004081B200008D95004042
+:103F600081B200001695004081B20000109500401B
+:103F700081B20000B182004081B20000209900406F
+:103F800081B200000400004081B200000400004043
+:103F900081B200000400004081B200000400004033
+:103FA00081B200000400004081B200000400004023
+:103FB00081B200000400004081B200000400004013
+:103FC00081B200000400004081B200000400004003
+:103FD00081B200000400004081B2000004000040F3
+:103FE00081B200000400004081B2000004000040E3
+:103FF00081B200000400004081B2000004000040D3
+:1040000081B200000400004081B2000004000040C2
+:0440100081B2000079
+:00000001FF
diff --git a/firmware/slicoss/oasisdownload.sys.ihex b/firmware/slicoss/oasisdownload.sys.ihex
new file mode 100644
index 0000000..82026c2
--- /dev/null
+++ b/firmware/slicoss/oasisdownload.sys.ihex
@@ -0,0 +1,5124 @@
+:1000000002000000004000000000010000000000AD
+:10001000008000001500004081B200001B0000407D
+:1000200081B200002100004081B2000003000040C6
+:1000300081B20000000000A898B001000480A24036
+:10004000FD7F00000900A249DD7D00000000004C9A
+:1000500080B2010007000040D1B100000000004C58
+:1000600080B201000900A240757D000060000040E0
+:10007000619901000B00A8B17E3100000900004029
+:1000800081B2000000808F981831000010000098A5
+:1000900080E40100000041988094010000000040CD
+:1000A00081B201001000009880E401000E00409829
+:1000B000809400001100004081B200000000004068
+:1000C000A59901001900294081320000190014BCD3
+:1000D000803200000E0093BC8032000000005040CF
+:1000E00081B201000080004081B200001000004099
+:1000F000A59901001F002940813200001F0014BC97
+:1001000080320000120093BC80320000000050409A
+:1001100081B201000180004081B200002000004057
+:10012000A59901002500294081320000250014BC5A
+:1001300080320000140093BC8032000000000049AF
+:10014000DD810100120100408132010033010040D5
+:10015000813201002A0014BC80320000FE0013BC72
+:10016000803200005495004045990100FFFF004097
+:10017000E599010000002F4049B101000000004056
+:10018000E1B1010000000040FDB3010000000040AB
+:10019000FFB30100330018EE803200000000005071
+:1001A00089B001003200A24189500000990000404E
+:1001B000813201003094004043990100000000F8B2
+:1001C00020B10100000000FAE0B30100390098EE10
+:1001D00080320000000000FB80B001003B0080F393
+:1001E000DE33000000000047FD9301003E0083F372
+:1001F00080320000F00000F38088010001800040A0
+:100200002EDD0100009400404399010000000046EB
+:1002100043C10100000000FA24B101007C0018EE87
+:1002200080320000450095E880320000FFFF00E8C2
+:10023000808801007C0026408132000000000040E0
+:10024000D5990100000000F2ECB30100000000F8B5
+:10025000D6B1010008000040D5990100000000F06F
+:10026000D6B10100FF0000F8EE8B0100080100404C
+:10027000D5990100FF0000F0808C0100000000F71C
+:100280008194010000000040D6B10100FF0000F899
+:10029000808801003C000040D5990100FF0000F07B
+:1002A000D68D0100FFFF00F0F0DB010000000048E8
+:1002B00081E00100000000F8819401003C01004051
+:1002C000D599010000000040D6B10100FF0000F800
+:1002D000808801000000004881E00100000000F873
+:1002E000819401003C020040D599010000000040CB
+:1002F000D6B101002C000040D5990100000000F8A3
+:10030000D6B101001E0000F082F40100FF3F00F8AA
+:1003100080D80100640026408132000000000041C6
+:1003200081D00100FFFF004080D8010000000041A3
+:100330008094010000000040D8B10100680022FA5A
+:10034000803000000000004C81E00100010000400E
+:1003500080CC010000000040DEB10100000100403F
+:10036000D5990100100000FA80E40100000000F6B9
+:100370008194010000000040D6B10100000200405D
+:10038000D5990100100000FA80E40100000000F699
+:100390008194010000000040D6B101000600004039
+:1003A000D5990100100000FBD6E5010007000040D0
+:1003B000D5990100180000FBD6E501004800004077
+:1003C000D5990100100000FAD6E501005000004068
+:1003D000D5990100100000FBD6E50100030000FBE9
+:1003E0007A890100000000F0DCB101007C00004CC3
+:1003F000DD9100007C0095E88430000000002FE9CA
+:10040000FAB3010000000040D1B10100FF0000423A
+:10041000808801003400004080CE01007C00A640AE
+:1004200081320000850000408132010002802240BC
+:10043000803200007C00004081B200000000004FCC
+:1004400081B001008E0009F9813200008C0008F9AA
+:100450008132000098001FFDF93300008B009EFDE3
+:10046000813200000000004AF39301000000804840
+:10047000F3930100000000FDF7B301000000804984
+:10048000F3930100000000FC19B1010093000AF988
+:1004900081320000000040FB81B20100000041FDFC
+:1004A00081B20100000780F9F38F0100000742F9D3
+:1004B000F38F01009700A2FFF76F00000000434098
+:1004C00081B201000000A2FFFBEF0000000080FCF1
+:1004D000E1B101000000804081B0010000940040C3
+:1004E00047990100BB000040813201000000A24694
+:1004F000FD7F01000094004047990100CE000040BC
+:10050000813201000000A244FD7F01000094004000
+:100510004599010000000040F1B10100FF7F00405B
+:10052000F5990100FF7F0040F59901009A13004002
+:10053000F599010007000040F59901000100004015
+:10054000F599010000020040F59901000200004009
+:10055000F599010000020040F599010003010040F7
+:10056000F599010000000040F59901009A13004040
+:10057000F59901000B000040F59901008000004052
+:10058000F599010000000040F599010000000040CD
+:10059000F599010007000040F599010008000040AE
+:1005A000F5990100B0020040F599010000000040FB
+:1005B000F599010000000040F59901000229004072
+:1005C000F599010000000040F59901000067004026
+:1005D000F599010000000040F599010080000040FD
+:1005E000F599010000008040F599010000000045E8
+:1005F000FD83010000000046FD830100FF7F0040F5
+:1006000025990100C4000040813201000000A2448D
+:1006100080B2000000000045FD930100E2000040B0
+:10062000833001000000A2458032010000008046B6
+:10063000FD9301000010004083980100DD000040A0
+:100640002B3101000000A24688B0000000000041EC
+:1006500089B00100000000948CB00100FFFF00464B
+:1006600080880100A5A5A24080CE000000000048BF
+:100670008DF00100C90082418940000000008040E7
+:1006800089B0010000000044FD830100D400004057
+:10069000813201000000A24480B20000E2000008A4
+:1006A000833001000000A245803201000000804438
+:1006B000FD93010000300008839801008000004095
+:1006C0002B990100DB000040893001000000A246A8
+:1006D00080B20000FFFF009480880100A5A5A24021
+:1006E000804E01000000804389B001000384004176
+:1006F0002C990100DE00004081B200000388004117
+:100700002C990100000000208DB0010000009F9690
+:1007100080B20000DF00A2418D5000000000804048
+:1007200081B20100FF7F0040259901000000004CCC
+:1007300089E00100DD000044821401000000909473
+:100740008AB0000000000045F0B101001000004533
+:1007500088F401000000004489D00100DD0000445D
+:100760002B410100EC00084180320000ED000094B4
+:1007700024B100001000009424F501000000009452
+:10078000F0B10100F200A04489500000DD000044F7
+:100790002B41010000000094F0B10100EF00204463
+:1007A000895000001000004588F40100000000FAA4
+:1007B0008AB001000000A34289D00000F700A0FA2F
+:1007C0008A400000000000418BC00100F500A342F8
+:1007D00089500000FFFF0045888801001000004597
+:1007E0008AF40100FC0090448A40000000000041AF
+:1007F0008BC00100FFFF00458AA801000000805067
+:100800008BE00100FF7F0040259901007C00004043
+:100810002B9901000030004083980100DD000008A2
+:1008200083140100000000942AB101000080004000
+:10083000F99B0100DD0000FC19310100000040942B
+:1008400080B20100DD0000442B4101000000419412
+:1008500080B2010000000041F9C301000000004423
+:100860002BC1010004019F948032000002800040EF
+:1008700081B200001001005193B000001001004D42
+:1008800093B000001001004993B000000000004246
+:1008900093B001001001A24193500000000080407D
+:1008A00081B201000000104081B20100000011403F
+:1008B00081B201000000124081B20100000013402B
+:1008C00081B201000000144081B201000000154017
+:1008D00081B201000000164081B201000000174003
+:1008E00081B201000000184081B2010000001940EF
+:1008F00081B2010000001A4081B2010000001B40DB
+:1009000081B2010000001C4081B2010000001D40C6
+:1009100081B2010000001E4081B2010000001F40B2
+:1009200081B201000000704081B2010000007140FE
+:1009300081B201000000724081B2010000007340EA
+:1009400081B201000000744081B2010000007540D6
+:1009500081B201000000764081B2010000007740C2
+:1009600081B201000000784081B2010000007940AE
+:1009700081B2010000007A4081B2010000007B409A
+:1009800081B2010000007C4081B2010000007D4086
+:1009900081B2010000007E4081B2010000007F4072
+:1009A00081B201000000804081B2010000040040DB
+:1009B000A199010000000050A1D1010000000040F9
+:1009C0001BB001000000004019B001000000004011
+:1009D00017B001000000004015B001000000004009
+:1009E00013B001000000004011B001000000004001
+:1009F0000FB00100000000400DB0010000000040F9
+:100A00000BB001000000004009B0010000000040F0
+:100A100007B001000000004005B0010000000040E8
+:100A200003B001000000004001B001003B0120487C
+:100A3000A15100000000804081B201004701224B1B
+:100A4000747D00000000804081B201006000004B16
+:100A500060990100000000B17EB101004801A8408A
+:100A6000813200004501004081B200000500804055
+:100A700097980100180000AA9688010000008043A2
+:100A800097F00100070000AA96880100000080404E
+:100A900081B201000000005807900100D89F00407B
+:100AA00081B2000000000044A5B30100D80200405C
+:100AB00081320100F8020040813201000000005C38
+:100AC00007900100D89F0040BFB300005A0122CC1C
+:100AD000857F00000000005107900100D89F004072
+:100AE00081B200000000004049B10100AE0300CB1C
+:100AF000A3C90100D0140040A19B01000000002008
+:100B000046B1010000000048F1B10100000000D032
+:100B1000F1B10100000000CAF1B10100000000D5F0
+:100B2000E1B10100070000406199010020000020B0
+:100B300062DD01006301A84081320000000000CCAA
+:100B400085930100F802004081320100D01400407A
+:100B500043990100000000FABAB30100000000FA56
+:100B6000A4B30100000000F8BCB3010000142F4042
+:100B700081B00100000000E7A7B30100000000D829
+:100B8000A9B30100FF0000DD8188010002000040E0
+:100B900080F401007301004080C80100860100DD7F
+:100BA000813200000000004010B1000087010040C9
+:100BB00081B200008801004081B20000890100403C
+:100BC00081B200008A01004081B200008B01004028
+:100BD00081B200008D01004081B200008F01004011
+:100BE00081B200005001004081B20000B601004017
+:100BF00081B200005001004081B20000C4010040F9
+:100C000081B20000C501004081B2000082020040B4
+:100C100081B200008302004081B22800B802004087
+:100C200081B22800D49F004081B22800D59F0040A7
+:100C300081B22800D69F004081B22800D79F004093
+:100C400081B228007201004181C02800550151493C
+:100C5000FD9328005501524AFD932A00550155493C
+:100C6000FD832A005501564AFD832A0050019181D7
+:100C700080302A005501454081B22A0050019182FE
+:100C800080302A005501464081B22A000000004011
+:100C900089B02B0000002F4081B0010000140040FB
+:100CA00049990100B30122DEE16D00000000004C13
+:100CB00049C101000000004181C001009201A2442D
+:100CC000816C00000000004C49D101009A012240D3
+:100CD000E16D00009601A2418150000050010041E9
+:100CE000BFB3000000000042BFB301005001A00FDD
+:100CF000BD6F0000000000DEE1B101000000004413
+:100D000049C10100B50100401999010000004240AD
+:100D100081B20100000043FF85B00100000000DE49
+:100D200019B10100000042FF87B00100000043FF3D
+:100D3000E1B101000000004449C1010000002FFFA3
+:100D4000E1B10100081400A480CC0100AA012640F2
+:100D5000813200000000004185C00100A801A24CC2
+:100D600081500000B40122D281320000AF01224143
+:100D7000A56F00005001A2E081320000000000D207
+:100D8000C1B301000000005C8990010000004042F6
+:100D900080B201000000414380B20100000000F079
+:100DA0008894010055010044E0B10000B101004801
+:100DB00049C10000AF01005B89900000A89F00A01E
+:100DC0009EB000000000004083B00100001400400D
+:100DD000499901000000234081B00100BE0122DEDC
+:100DE000E16D00000000004C49C10100000000411D
+:100DF00081C00100B901A244816C00005001004390
+:100E0000BFB30000000000F818B10100000040F876
+:100E100080B20100000041F080B2010000000040FB
+:100E2000F1B1010000000040F1B1010055010040A6
+:100E3000E1B10000C601004091B000000000004197
+:100E400091B00100D0142E4049B1010005000040CE
+:100E5000A39B0100080000DD81F40100CB010040EC
+:100E600080C801000000004010B10000D101004026
+:100E700081B00000530100DEA1B30000E301004097
+:100E800081B20000E501004081B00000EB010040AC
+:100E900081B20000520100DFE1B10000000000D08B
+:100EA000BAB30100000000DEA1B10100020000D2CF
+:100EB000A5E70100000000D2C1B30100000000005E
+:100EC000F0B10100DB012244C1530000DA0184418A
+:100ED00081400000DE01004081320100000000D0AE
+:100EE00045B10100D5010041A1C10000DA02004076
+:100EF00081320100F802004081320100550100DD1D
+:100F0000A1B100000000004081B00100400000409D
+:100F1000A59B0100DA02004081320100400000D3AD
+:100F2000A7CB0100F80200E0A5B3000003000040D9
+:100F3000A39B0100530100DEA1B3000000000044A8
+:100F4000BFB30100000000DE819001005001A2BA91
+:100F500080040000600000DE61990100E801A8B192
+:100F60008030000052010040E0B10000000000D0DD
+:100F7000BAB301006B020040819801006002004D8D
+:100F80008330010000000044E1B301000000004490
+:100F9000E3B3010000000044E5B301000000004499
+:100FA000E9B3010000000044EBB30100000000447D
+:100FB000F5B3010000000044F7B301000000004455
+:100FC000F9B30100F90122408F6F00007802004060
+:100FD00081980100600200C7833001008002004058
+:100FE000819801006002004283300100000000E8A7
+:100FF000F1B10100000000E9F1B10100000000EAD8
+:10100000F1B10100000000EBF1B10100000000852A
+:10101000F0B10100000000ECF1B10100000000EDB2
+:10102000F1B10100000000B2F0B10100000000A920
+:10103000F0B10100000000ACF0B10100000000AB15
+:10104000F0B10100000000B8F0B10100000000B9EB
+:10105000F0B10100000000BAF0B10100000000BBD7
+:10106000F0B101000C02B8408130000000000040E7
+:10107000819001000E02B940813200000000004161
+:10108000819001001002BA4081320000000000424D
+:10109000819001001202BB40813200000000004339
+:1010A000819001001402BC40813200000000004425
+:1010B000819001001602BD40813200000000004511
+:1010C000819001001802BE408132000000000046FD
+:1010D000819001001A02BF408132000000000047E9
+:1010E000819001001C02C8408132000000000048CD
+:1010F000819001001E02C9408132000000000049B9
+:10110000819001002002CA40813200000000004AA4
+:10111000819001002202CB40813200000000004B90
+:10112000819001002402CC40813200000000004C7C
+:10113000819001002602CD40813200000000004D68
+:10114000819001002802CE40813200000000004E54
+:10115000819001002A02CF40813200000000004F40
+:10116000819001002C02F04081320000000000500C
+:10117000819001002E02F1408132000000000051F8
+:10118000819001003002F2408132000000000052E4
+:10119000819001003202F3408132000000000053D0
+:1011A000819001003402F4408132000000000054BC
+:1011B000819001003602F5408132000000000055A8
+:1011C000819001003802F640813200000000005694
+:1011D000819001003A02F740813200000000005780
+:1011E000819001003C02F84081320000000000586C
+:1011F000819001003E02F940813200000000005958
+:10120000819001004002FA40813200000000005A43
+:10121000819001004202FB40813200000000005B2F
+:10122000819001004402FC40813200000000005C1B
+:10123000819001004602FD40813200000000005D07
+:10124000819001004802FE40813200000000005EF3
+:10125000819001004A02FF40813200000000005FDF
+:101260008190010000000040F0B10100400000400A
+:10127000A59B0100D802004081320100F802004025
+:1012800081320100D0142E06A5B30100400000D326
+:10129000A7CB0100000000F0F1B10100000000F157
+:1012A000F1B10100000000F2F1B10100000000F412
+:1012B000F1B10100000000F5F1B10100000000FAF9
+:1012C000F1B10100000000FBF1B10100000000FCE1
+:1012D000F1B10100000000EBF1B10100000000EEEF
+:1012E000F1B10100000000EFF1B10100000000F3D6
+:1012F000F1B10100000000F6F1B10100000000FDB5
+:10130000F1B10100DB0100C7E1B100000000804045
+:1013100081B20100660200488032000000005140A6
+:101320001AB1010000004D4081B2010000004540AB
+:1013300081B201006302A241835000005F02494074
+:1013400081B20000000052401CB1010000004E407C
+:1013500081B201000000464081B201006802A24152
+:10136000835000005F024A4081B20000000000A0EC
+:101370009EB0010000000080D8B30100000000A171
+:10138000D0B30100000000A2D2B30100000000A40D
+:10139000D4B30100000000D0D6B30100000000D19A
+:1013A000DCB30100000000D2DEB3010000000088C1
+:1013B000DAB30100000000D48EB30100000000D3B6
+:1013C000E6B30100000000ACECB30100000000999E
+:1013D000FAB30100000000D5E0B30100000000D521
+:1013E000E2B30100000000D5E4B30100000000D525
+:1013F000E8B30100000000D5EAB30100000000D509
+:10140000F4B30100000000D5F6B30100000000D5E0
+:10141000F8B30100000000C7A9B101000000004FAF
+:1014200040B101008402004091B000000000004182
+:1014300091B0010007000040A39B0100080000DDFF
+:1014400081F401008802004080C8010000000040D3
+:1014500010B100008D02004081B2000098020040EF
+:1014600081B2000098020046A3B300009B02004036
+:1014700081B20000A102004081B200008F0223501F
+:10148000A56F000000000050A5B30100E802004273
+:10149000A5630100F802004081320100D0142D4004
+:1014A00049B10100000000D0BAB30100000000DE25
+:1014B000A1B10100000000F800B001009702224431
+:1014C000A553000094020041A1C10000550100DDB8
+:1014D000A1B10000E80200DEA1330100F8020040E3
+:1014E000813201005501004081B20000000000453A
+:1014F000BFB301005001A2D2777D0000000000D2EE
+:1015000061B10100000000DE63B101009E02A8404D
+:10151000813200005501004081B20000E802005411
+:10152000A5330100F802004081320100D0142D40A3
+:1015300049B10100000000F8D0B30100000000F83C
+:10154000D2B30100000000F8D4B30100000000F89D
+:10155000D6B30100000000F808B10100AC02004061
+:10156000819801006002004683300100550100406F
+:1015700081B20000000000A09EB00100000000E861
+:1015800043B10100000000E945B10100000000EA9C
+:1015900049B10100000000EBA1B101000000004FC3
+:1015A00040B101000400004081B20000040000408E
+:1015B00081B200000400004081B20000040000403D
+:1015C00081B200000400004081B20000040000402D
+:1015D00081B20000D0142E4049B101000500004046
+:1015E000A39B010000000040C1B30100080000DD22
+:1015F00081F40100BD02004010C90000C3020005D3
+:1016000081B000005001004081B20000CB02000513
+:1016100081B000005001004081B20000D0020044BF
+:10162000A5B30000D2020044A5B3000002000040B0
+:10163000A4E70100000000E081B10100FFFF00C14C
+:10164000F0890100C802224181500000C40200411B
+:10165000C1C30000DA02004081320100F8020040FC
+:10166000813201005501004081B2000002000040BB
+:10167000A4E70100000000E091B10100FFFF00C9F4
+:10168000F0890100C802224181500000CC020041D3
+:10169000C1C30000FFFF00DE85890100C80200C24F
+:1016A000E0B10000FFFF00DE95890100C80200CA1A
+:1016B000E0B100000400004081B2000004000040DE
+:1016C00081B200000400004081B20000040000402C
+:1016D00081B20000000000E7A7B30100000000D8BD
+:1016E000A9B301000000004049B10100AE0300CBE6
+:1016F000A3C901000000002046B10100000000D293
+:10170000F1B10100000000D3F1B10100000000D4EC
+:10171000F1B10100000000D0E1B10100000000D1F2
+:1017200061B101002000002062DD0100E202A8405A
+:1017300081320000000080CC85930100040000404D
+:1017400081B200000400004081B2000004000040AB
+:1017500081B20000000000E7A7B30100000000D83C
+:10176000A9B301000000004049B10100AE0300CB65
+:10177000A3C901000000002046B10100000000D212
+:10178000F1B10100000000D0F1B10100000000D370
+:10179000F1B10100E10200D4E1B100000400004019
+:1017A00081B200000400004081B20000040000404B
+:1017B00081B200000400004081B20000040000403B
+:1017C00081B200000400004081B20000040000402B
+:1017D00081B200000000A2CC85FF00000000005094
+:1017E00081B00100FA02A24181500000F902A2F288
+:1017F00080300000000080CC8583010004000040A0
+:1018000081B200000400004081B2000004000040EA
+:1018100081B20000B5030040A199010000002F41F2
+:1018200099B301000A032244816C0000120322488C
+:10183000816C00000C03224C816C000016032250C6
+:10184000816C000017032254816C00001903225898
+:10185000816C00001E03225C816C0000500100407E
+:1018600081B20000000000BC09B00100DD9F00CA89
+:1018700001B000000000004003B001000000004182
+:10188000F38301001003A242056C00000000004138
+:1018900005B00100DD9F22CA07140000DD9F00454E
+:1018A000F3930000DD9F2043956F0000DD9F80CA09
+:1018B00005300000DD9F220180300000DD9F00CB5D
+:1018C000DB910000570100BCABB30000000000BC7E
+:1018D000B1B30100DD9F00CACFB30000FF0000CA12
+:1018E00081880100DD9FA240747D000060002040DF
+:1018F000609901001B03A8B1823000001A03004068
+:1019000081B20000DD9F00CA79B3000004000040EE
+:1019100081B200000000004E81B0010000000043D1
+:10192000CB8301000000454081B201002203A241A7
+:10193000815000000000454081B201000000454098
+:1019400081B201002D039182823000000000008AE4
+:1019500080B00100AE9F004080CE01002B03A64066
+:10196000813200002D03564081B20000B5030040D3
+:10197000A19901000000005307900100B503004049
+:10198000A19901000000005207900100D89F00417A
+:101990008BB300000000004E81B001000000004247
+:1019A000CD8301000000464081B201003203A24114
+:1019B000815000000000464081B201000000464016
+:1019C00081B201003D039181823000000000008956
+:1019D00080B00100AE9F004080CE01003B03A640D6
+:1019E000813200003D03554081B20000B503004044
+:1019F000A19901000000005207900100B5030040CA
+:101A0000A19901000000005307900100D89F0041F8
+:101A10008BB30000B0030040A1990100C4142F4013
+:101A200099B301005701004049B100000400004093
+:101A300081B200000400004081B2000004000040B8
+:101A400081B200000400004081B2000004000040A8
+:101A500081B200003094004043990100009000F8EA
+:101A600080980100100000F288E40100200000408E
+:101A7000209901000000005F239101004D031F9198
+:101A80008032000030000040209901000000005F1B
+:101A90002391010050031F9180320000400000405C
+:101AA000209901000000005F2391010053031F9162
+:101AB000803200000000005F2391010055031F9158
+:101AC000803200000008804020990100040000409E
+:101AD00081B200000000004784B001000000A2486D
+:101AE000848400000000005F61B101000000005C20
+:101AF0008F9001000000004762B101005A03A84026
+:101B000081320000000800478EC801005803005CC5
+:101B10008F800000E00000406199010058152D40C1
+:101B20008DB00100D0142DF088B00100000000FA43
+:101B30008AB001000000004581B0010007000045A7
+:101B400082880100000000438BF001000000004883
+:101B500083E0010000000046829401002000004163
+:101B600060990100000000418DC001007403225FF4
+:101B70008D6C00006503A2418150000063030040AA
+:101B800081B2000008000040859801000000004478
+:101B900082B001000000004186B00100001C00433B
+:101BA00086D801000000A641855001007003004165
+:101BB00083E000006E030040813201000000004815
+:101BC00085E00100D0142F468494010020000042DB
+:101BD00060990100C0000040619901000000804050
+:101BE00081B201000400004081B200000400004006
+:101BF00081B200000400004081B2000004000040F7
+:101C000081B200000400004081B2000004000040E6
+:101C100081B20000070000458088010000000043F9
+:101C20008BF0010000040040839801008503A0416F
+:101C3000815000008303004182E8000000008041E1
+:101C40008EC001000400004081B20000040000408A
+:101C500081B200000000004049B1010000020040D4
+:101C600083980100003900404599010000000040C0
+:101C7000F1B101008B03A24183500000000000403D
+:101C800085B001000B00004482F401001A1500A683
+:101C900086B0010070150040459901000008004021
+:101CA000F199010000000042F0B10100003900404C
+:101CB000E1990100040000406199010070150043A2
+:101CC000629901009503A840813200009703225ACF
+:101CD000737D00007A000040619901009803A8B16B
+:101CE0007E3100000008004284C801009003A24138
+:101CF000835000000000804081B2010004000040D9
+:101D000081B200000400004081B2000004000040E5
+:101D100081B2000058152D408DB00100D0142DF077
+:101D200088B00100000000408FB00100010000A653
+:101D300090B0010000F800489098010000000045B4
+:101D400093B00100000000FA8AB001008003004057
+:101D500081320100020000A680B00100AC032240E5
+:101D6000826C0000B0030040813201005803004043
+:101D700081320100000000418DC00100B503225FE7
+:101D80008D6C0000A703A24193500000A503004002
+:101D900081B20000FF070047848801000000A640D0
+:101DA00081B20000ED9F0047803001000002004733
+:101DB0008EC80100B003004081B200000000004462
+:101DC00050B30100BB032018896C0000040000A67A
+:101DD00084B00100200000A686B001000010004081
+:101DE000559B0100BE03004081B20000040000A624
+:101DF00084B00100200000A686B001000010004061
+:101E0000559B01000000004250D30100000000A8D3
+:101E10004FB30100000000434ED301006E030040A9
+:101E2000813201008203004280300100B003004093
+:101E300081320100C70322A78F6C00005A030040C3
+:101E400081320100C403004081B2000000008040E4
+:101E500081B20100C8142EBB85B00100000000EE65
+:101E600082B0010000000041E0B10100000000A2CA
+:101E7000A0B3010000000044A5B30100E19F00CA27
+:101E8000A7330100E09F004081B200000400004041
+:101E900081B20000D6032242756F0000D8032241B0
+:101EA000756F0000DA031ECA81320000DC031FCA0E
+:101EB00081320000000000CAC9B10100DD9F00426C
+:101EC00075B30000000000CACDB10100DD9F0041E4
+:101ED00075B30000000000CACFB10100DD9F0040D3
+:101EE00075B30000008100A6C6B10100DD9F00406F
+:101EF00081B20000008000A6C6B10100DD9F004055
+:101F000075B300000400004081B2000004000040EE
+:101F100081B200004501004D933001004501004EA3
+:101F2000933001004501004C93300100EC9F0040CC
+:101F300081320100DD9F004081B2000004000040BA
+:101F400081B200000400004081B2000004000040A3
+:101F500081B200005495004045990100DD9F00CA00
+:101F6000E5B100000400004081B200000400004020
+:101F700081B200000400004081B200000400004073
+:101F800081B200000400004081B200000400004063
+:101F900081B20000CC142E4087B00100000000A2E6
+:101FA000A0B3010015040043B2330100000068DA59
+:101FB00089B001007C0000408B98010000000050B7
+:101FC00089F001000000004189D0010003000044B5
+:101FD000888C01000000004487C00100000000411F
+:101FE000A5B3010015040043B2330100000000DA7C
+:101FF000F1B101000000004487C001000000004171
+:10200000A5C301000B042244895000000B042244A4
+:102010008B500000FA03A250A56F000000000042A0
+:10202000A5E30100000000CAA7B30100E19F00BBC7
+:1020300085300100CC142ED295C30100AE0300CB35
+:10204000A3C901000000002042B1010000000050BF
+:1020500081B001000804A241815000000704A2F2EF
+:1020600080300000FA030040A5B3000000000042E9
+:10207000A5E30100000000CAA7B30100E19F00BB77
+:1020800085300100E09F004081B200000400004064
+:1020900081B20000000000D92BB101000010004007
+:1020A00083980100DB00004081320100FFFF0094B3
+:1020B000B48B01000000804081B20100000000D913
+:1020C0002BB101000010004083980100DD000040AA
+:1020D0008132010000008094B4B30100040000408C
+:1020E00081B200000400004081B200000400004002
+:1020F00081B200000400004081B2000004000040F2
+:1021000081B200000400004081B2000004000040E1
+:1021100081B20000000000D92BB10100000000DAFC
+:1021200027B1010006C000402D990100DE000040EB
+:1021300081320100001000408398010002C4004178
+:102140002C990100DE000040813201000040004077
+:1021500083980100058200412C990100DE000040B7
+:10216000813201002D048094803200000C01004077
+:10217000813201002804004081B200000480004048
+:102180002D990100DE0000408132010000008040F6
+:1021900081B201003104001210C9000000488040E3
+:1021A0000B980100C04980400B980100804B804093
+:1021B0000B980100404D80400B980100004F80407B
+:1021C0000B980100C05080400B9801008052804065
+:1021D0000B980100405480400B980100005680404D
+:1021E0000B980100C05780400B9801008059804037
+:1021F0000B980100405B80400B980100005D80401F
+:102200000B980100C05E80400B9801008060804008
+:102210000B980100406280400B98010000648040F0
+:102220000B980100C06580400B98010080678040DA
+:102230000B980100406980400B980100006B8040C2
+:102240000B980100C06C80400B980100806E8040AC
+:102250000B980100407080400B9801000072804094
+:102260000B980100C07380400B980100807580407E
+:102270000B980100407780400B9801000079804066
+:102280000B980100C07A80400B980100807C804050
+:102290000B980100407E80400B9801000400004034
+:1022A00081B200000400004081B200000400004040
+:1022B00081B200000400004081B200000400004030
+:1022C00081B200000400004081B200000400004020
+:1022D00081B200005904001210C900000080804043
+:1022E0000B980100008280400B9801000084804020
+:1022F0000B980100008680400B9801000088804008
+:102300000B980100008A80400B980100008C8040EF
+:102310000B980100008E80400B98010000908040D7
+:102320000B980100009280400B98010000948040BF
+:102330000B980100009680400B98010000988040A7
+:102340000B980100009A80400B980100009C80408F
+:102350000B980100009E80400B98010000A0804077
+:102360000B98010000A280400B98010000A480405F
+:102370000B98010000A680400B98010000A8804047
+:102380000B98010000AA80400B98010000AC80402F
+:102390000B98010000AE80400B98010000B0804017
+:1023A0000B98010000B280400B98010000B48040FF
+:1023B0000B98010000B680400B98010000B88040E7
+:1023C0000B98010000BA80400B98010000BC8040CF
+:1023D0000B98010000BE80400B98010004000040F3
+:1023E00081B200000400004081B2000004000040FF
+:1023F00081B200000400004081B2000004000040EF
+:1024000081B200000400004081B2000004000040DE
+:1024100081B200000000004087B1010000000040D0
+:1024200097B001000000004B80B10100010000A640
+:1024300082B1010082048541974000000000004005
+:1024400097B101000000004097B001000000004B70
+:1024500090B10100010000A692B1010087048541FE
+:10246000974000000000804081B20100040000405D
+:1024700081B200000400004081B20000040000406E
+:1024800081B200000400004081B20000040000405E
+:1024900081B2000090046040813200000000001210
+:1024A00080B10100FFFFF04B82890100930460407E
+:1024B000813200000000004A80B101000100F0A656
+:1024C00082B101009604604081320000FFFF004BA2
+:1024D000848901000000F0C224B001000000004A1D
+:1024E00090B10100FFFF804B928901000000004A7B
+:1024F00090B10100010080A692B10100FFFF004BE6
+:1025000094890100000080CA94B0010004000040DA
+:1025100081B200001000004E98E4010000000007A6
+:10252000989401000000004399E001000000008041
+:10253000989401000000004999E001000000004C5F
+:1025400088940100A604474081320000AD04222097
+:10255000876F000000001F4081B2010000000040B2
+:1025600081B201000000004081B201000000004083
+:1025700081B20100A604004081B2000000001F806B
+:1025800086B30100B004224F777D0000C0040040F4
+:10259000813201000000004F61B1010000000044E1
+:1025A00062B10100B104A84081320000B804224B9E
+:1025B000897C0000B604224F777D0000C0040040F3
+:1025C000813201000000004562B10100B604A8405C
+:1025D000813200000000802087B301000400004029
+:1025E00081B200000400004081B2000004000040FD
+:1025F00081B200000400004081B2000004000040ED
+:1026000081B200000400004081B2000004000040DC
+:1026100081B200000000005099B001006F0000403E
+:1026200061990100C104A8B152330000C604224BD5
+:10263000537F00006F00004061990100C404A8B1FD
+:102640007E310000C104A241995000000000A24F59
+:1026500077FD00000400004081B20000040000404B
+:1026600081B200000400004081B20000040000407C
+:1026700081B200000400004081B20000040000406C
+:1026800081B200000400004081B20000040000405C
+:1026900081B200001000004E98E401000000000725
+:1026A000989401000000004399E0010000000080C0
+:1026B000989401000000004899E00100D604004C05
+:1026C00088940000D604474081320000DD042220B7
+:1026D000876F000000001F4081B201000000004031
+:1026E00081B201000000004081B201000000004002
+:1026F00081B20100D604004081B2000000001F80BA
+:1027000086B30100E004224F777D0000F004004012
+:10271000813201000000004F61B10100000000445F
+:1027200062B10100E104A84081320000E804224ABD
+:10273000897C0000E604224F777D0000F004004011
+:10274000813201000000004562B10100E604A840AA
+:10275000813200000000802087B3010004000040A7
+:1027600081B200000400004081B20000040000407B
+:1027700081B200000400004081B20000040000406B
+:1027800081B200000400004081B20000040000405B
+:1027900081B200000000005099B001006F000040BD
+:1027A00061990100F104A8B152330000F604224AF5
+:1027B000537F00006F00004061990100F404A8B14C
+:1027C0007E310000F104A241995000000000A24FA8
+:1027D00077FD00000400004081B2000004000040CA
+:1027E00081B200000400004081B2000004000040FB
+:1027F00081B200000400004081B2000004000040EB
+:1028000081B200000400004081B2000004000040DA
+:1028100081B200007B000040619901000005A8B171
+:102820008030000012051D4080320000401800403A
+:1028300049990100040000A686B001001005A240DD
+:1028400086040000DE9F9C4080320000FFFF0040B5
+:1028500088880100300500504731010036000044EF
+:1028600088CC01000C055240813200003005004048
+:10287000473101000000004189B0010030050048E7
+:10288000473101003005000547310100DE9F00405F
+:1028900081B200002800004047991B00DE9F0041E4
+:1028A000E1C11A007818004049991B00190522540B
+:1028B000817C1A001405424081321A00008200B364
+:1028C00067DF1B0000001A4493931B0028000040A0
+:1028D00047991B00300500418930010027050F4052
+:1028E00080320000FF7F00408888010030050050E2
+:1028F000473101003600004488CC01001F05994093
+:10290000803200000000004889D0010021059B4072
+:10291000803200000000004C89D0010023051F44D4
+:1029200080320000300500404731010000000041C6
+:1029300089B00100300500484731010030050058DA
+:1029400047310100DE9F004081B2000010000040CE
+:1029500086F401006F00004386880100DE9F260593
+:10296000473100003005004189300100DE9F004002
+:1029700081B200000400004081B200000400004069
+:1029800081B200000400004081B200000400004059
+:1029900081B200000000A044F041010000000040AE
+:1029A00081B2010000008041E1C10100040000404B
+:1029B00081B200000400004081B200000400004029
+:1029C00081B200000400004081B200000400004019
+:1029D00081B200004C010007913001000000A240CC
+:1029E00097EC00000000800591C001000400004049
+:1029F00081B200000400004081B2000004000040E9
+:102A000081B200000400004081B2000004000040D8
+:102A100081B200004C010040813201004405A24017
+:102A2000976C00003A000040B39B01004505004050
+:102A300081B2000040000040B39B01001004004040
+:102A400081320100000000DAF5B1010010040042FB
+:102A5000B3430100000000DAF5B1010010040042A8
+:102A6000B3430100000000DAF5B101004E00004060
+:102A7000B39B01001004004081320100080000DA1D
+:102A8000F7F5010050000040919801000000004758
+:102A90008FB0010010040048B2330100000000DADA
+:102AA000F7B10100080000DAF7F50100000000426C
+:102AB00091C001005005A2418F500000000000416C
+:102AC00045D1010008000040B39B01001004004004
+:102AD00081320100000000DAFDB101000A0000406F
+:102AE000B39B01001004004081320100000000DAB5
+:102AF000FDB101001A000040B39B0100100400402A
+:102B000081320100000000DAFDB101001800004030
+:102B1000B39B01001004004081320100000000DA84
+:102B2000FDB1010038050040813201001E0000485F
+:102B3000B2CB01001004004081320100000000DA35
+:102B400091C0010000000048B2CB01001004004019
+:102B50008132010000006EDA8FB0010002000048EF
+:102B6000B2CB01001004004081320100000000DA05
+:102B7000FDB1010004000048B2CB01001004004088
+:102B800081320100000080DAFDB101000400004044
+:102B900081B200007A052245FD7F0000401600400A
+:102BA00045990100DB9F00404931010008000048C1
+:102BB000B2CB010015040040813201007805A2402B
+:102BC0008F6C00007D052220B56F00007A05004063
+:102BD00081B20000DA9F004081321F007D05224053
+:102BE000976C1E007A05424081321E000000004FA3
+:102BF00067931F00DF9F005867931E005416004024
+:102C000047991F00000000FEF4B11F0000000040C3
+:102C100081B21F00000000FEF4B10100000000407E
+:102C200081B20100000000FEF4B10100000000408C
+:102C300081B20100000000FEF4B10100000000407C
+:102C400081B20100000000FEF4B10100000000406C
+:102C500081B20100000000FEF4B10100000000405C
+:102C600081B20100000000FEF4B101004600004006
+:102C7000B39B01001004004081320100080000DA1B
+:102C8000F7F501004800004095980100000000445D
+:102C900097B001001004004AB2330100000000DACE
+:102CA000F7B10100080000DAF7F50100000000426A
+:102CB00095C001009005A241975000002A000040F5
+:102CC000A59B010040160040A19B0100000000CA26
+:102CD000A7B30100E19F00BB85300100E09F0040E9
+:102CE00081B200000400004081B2000004000040F6
+:102CF00081B200000400004081B2000004000040E6
+:102D000081B200000400004081B2000004000040D5
+:102D100081B20000B8052245FD7F0000E0150040AB
+:102D2000479901001A0000A280DC01000000005059
+:102D3000F1B10100F0150040F1990100000000CA56
+:102D4000F1B101000700004061990100200000403E
+:102D500062DD0100A705A8BBE131000000000050C2
+:102D600083B00100AA05A24183500000A905A2F288
+:102D7000823000004C01004081320100B005A240C9
+:102D8000976C00003A000040B39B0100B105004081
+:102D900081B2000040000040B39B0100F0150040EC
+:102DA000439901001004004081320100B805A2FAE5
+:102DB000B46F000010040042B3430100B805A2FA4A
+:102DC000B46F000010040042B3430100BB0522FAB7
+:102DD000B46F0000B8054240813220000000004E70
+:102DE00067932100DF9F0058679320004016004042
+:102DF00045992100DB9F004049312100F615004034
+:102E0000439921005C1600404599210000006EFAAC
+:102E10008EB021000000004081B20100000000FEE1
+:102E2000F4B101000000004081B20100000000FE8A
+:102E3000F4B101000000004081B20100000000F088
+:102E4000B4B30100C905A2408F6C0000FC1520201E
+:102E5000E1B10100CE05004081B22400DA9F0040BC
+:102E600081322500CE052240976C2400CB054240DC
+:102E7000813224000000004F67932500DF9F005837
+:102E80006793240038050040813225001E00004869
+:102E9000B2CB25001004004081320100D30522503E
+:102EA000B56F00000000005091C001000000004814
+:102EB000B2CB0100F615004043990100200400F256
+:102EC000B433010002000048B2CB0100F815004005
+:102ED00043990100200400F2B433010004000048CB
+:102EE000B2CB0100FA15004043990100200400F222
+:102EF000B433010008000048B2CB0100FC150040CB
+:102F000043990100000000F094B00100FFFF004A67
+:102F1000B48B010020040040813201000A00004807
+:102F2000B2CB01001000004AB4F7010020040040B9
+:102F30008132010038050040813201001E00004846
+:102F4000B2CB01001004004081320100E90522509B
+:102F5000B56F0000EA050050B5B300000000004066
+:102F6000B5B301002004004081320100E09F004021
+:102F700081B200000400004081B200000400004063
+:102F800081B200000400004081B200000400004053
+:102F900081B2000000160040479901003031004026
+:102FA000F599010032330040F599010034350040B5
+:102FB000F599010036370040F59901003839004095
+:102FC000F599010041420040F59901004344004059
+:102FD000F599010045460040F59901004748004039
+:102FE000F5990100494A0040F59901002C00004084
+:102FF0008398010000000040F7B10100FC05A241E8
+:103000008350000080162E0683B00100360000FBBE
+:10301000F6A90100FF05A2418350000022000040F4
+:1030200083980100000000FBF6B101000206A241F6
+:10303000835000006200004095980100DC9F004032
+:103040008132010000162D0683B001008016004079
+:10305000459901005C0000FBF6A901000806A241A9
+:103060008350000000000070F9B101000000007101
+:10307000F9B1010000000072F9B101000000007315
+:10308000F9B1010000000074F9B1010054000040E2
+:1030900095980100DC9F0040813201000000007023
+:1030A00095B0010014062270B56F00000000804149
+:1030B00097B001000000804097B00100040000407C
+:1030C00081B200000400004081B200000400004012
+:1030D00081B20000456700A6E0B201000123007044
+:1030E000E19A0100CDEF00A6E2B2010089AB0071C8
+:1030F000E39A0100BA9800A6E4B20100FEDC007277
+:10310000E59A0100321000A6E6B201007654007381
+:10311000E79A0100D2C300A6E8B20100F0E1007412
+:10312000E99A01008016004A44C901000000000726
+:1031300081B001000000004A80D001000000004082
+:10314000F7B101002506A241815000008016004A17
+:1031500044C90100FC162A47E7B501000300004AF4
+:10316000E8E50100000000408DB001005003004080
+:10317000A399010080163D468DE00100000000503B
+:1031800089B00100000000FC40B0010000000041D7
+:10319000A3C101002E06A24189500000000000706A
+:1031A000EBB2010000000071EDB2010000000072FE
+:1031B000EFB2010000000073F1B2010000000074E2
+:1031C000F3B201000000004083B001000F00004195
+:1031D0008088010050030040A2C901004B06A050A6
+:1031E000836C00000D00004098C801000000004FF3
+:1031F000998401005003004CA2C901000000002086
+:1032000086B001000800004098C801000000004F8F
+:10321000998401005003004CA2C901000000002065
+:1032200086A401000200004098C801000000004F81
+:10323000998401005003004CA2C901000000002045
+:1032400086A4010050030040A2C901000000004311
+:1032500040A401000100002088E401000000005F9C
+:1032600041F0010000000044409401000500007599
+:1032700089E401001B00007585F401000000004492
+:10328000849401005506A353836C0000000000766F
+:1032900089B00100000000778984010000000076F9
+:1032A0008BB00100000000208BA40100000000781A
+:1032B0008B840100640600458894000027000041CB
+:1032C00080CE01005A06AA4081320000000000763C
+:1032D00089B001000000007789A40100640600782D
+:1032E00089A400003B00004180CE01005706AA409F
+:1032F000813200000000007689B0010000000077F4
+:1033000089840100000000768BB001000000007885
+:103310008B840100000000458894010000000077C4
+:103320008BB00100000000788B840100640600452A
+:10333000889400000000004484C00100000000796F
+:1033400085C001000000002084C001006B06A3536B
+:10335000836C0000825A00A684C001009979004263
+:1033600084C801007806004081B2000027000041B7
+:1033700080CE01007006AA4081320000D96E00A6FE
+:1033800084C00100A1EB004284C80100780600401F
+:1033900081B200003B00004180CE01007506AA40CA
+:1033A000813200001B8F00A684C00100DCBC0042FB
+:1033B00084C801007806004081B2000062CA00A6FD
+:1033C00084C00100D6C1004284C8010078060040D4
+:1033D00081B2000000000078F3B201000000007725
+:1033E000F1B201001E00007689E4010002000076BF
+:1033F000EFF6010000000044EE96010000000075A9
+:10340000EDB2010000000042EAB2010000000041FC
+:1034100083C001004F00004180CE010037062A40E2
+:103420008132000000000075E1C20100000000765A
+:10343000E3C2010000000077E5C20100000000784F
+:10344000E7C2010000000079E9C201002B068141BA
+:103450008D4000000000804081B201000400004067
+:1034600081B200000400004081B20000040000406E
+:1034700081B200000400004081B20000040000405E
+:1034800081B200000400004081B20000040000404E
+:1034900081B2000000000050FD9301004016004082
+:1034A00045990100DB9F00404931010008000048B8
+:1034B000B2CB01001504004081320100B906224060
+:1034C0008F6C0000DA9F004081320100B906A240F3
+:1034D000976C00005E160040439901007C1620F6B0
+:1034E000E0B101000000004031B301009D06224F11
+:1034F0008F7C000000000051FD9301009F062240D8
+:103500008F7C0000A3060054FD930000A106224218
+:103510008F7C000000000052FD930100A3062241B1
+:103520008F7C000000000053FD930100B70622517C
+:10353000FD7F000038050040813201000C0000488A
+:10354000B2CB01001004004081320100B206A2405B
+:10355000B56F00001E000048B2CB01001004004807
+:1035600096300100000000DA97C001000400004B13
+:10357000B2CB010010040040813201000E0000486F
+:10358000B2CB010020040040813201000C00004851
+:10359000B2CB010000000030B5B3010020040040B0
+:1035A000813201000E000048B2CB0100100400403F
+:1035B00081320100B6062240B56F0000BA06005401
+:1035C000FD93000000000051FD8301001C0000FE7F
+:1035D0007FD90100BA06A6408132000000000055E4
+:1035E000FD9301000000804081B201000400004012
+:1035F00081B200000400004081B2000004000040DD
+:1036000081B200000400004081B2000004000040CC
+:1036100081B20000E79F004081320100C406225CB5
+:103620001F7C0000E39F00881CB00000E99F005C45
+:103630001F00010000002E0548B1010000000040FD
+:10364000E1B1010004002D0348B10100000000F0C9
+:103650003CB001002800001402C801000000000175
+:1036600034B0010000002D0532B001002200000539
+:103670000AC801001000000348C90100000000F85A
+:1036800018B00100000000F804B00100000000F8CC
+:103690000EB001000C0000A40CC80100EA9F00401D
+:1036A000813201000000004023B001000A0722011E
+:1036B0008032000000003C4423E0010000002EA402
+:1036C00080B001000000001048C10100D906A30726
+:1036D000026C0000DA0668011AB0000000006807FA
+:1036E0001AB001000000000D02D00100000000052A
+:1036F000F0B101000000000CF0B101000000000278
+:10370000E0B101000000000D0AC00100EC062240FB
+:10371000036C0000E6062242236C0000000000411A
+:1037200023C001000000004761B10100200000A497
+:1037300062DD01002307284081320000E3060040DB
+:1037400081B200000000001080C0010000000047AE
+:1037500061B101000000004062B10100E806A8402C
+:1037600023300000E39F00881CB0000023070040C6
+:1037700081B200000000001080C00100000000477E
+:1037800061B101000000004062B10100EE06A840F6
+:1037900023300000E39F00881CB0000022000019C5
+:1037A00048C9010000002D1448C101000F0000F2BB
+:1037B0003A880100000000423BE001000E000014C6
+:1037C00002C801000000001D02C00100FA06231A11
+:1037D000025000000000004603C001002307000162
+:1037E00034C000000C002D1D48C10100F00000F2A3
+:1037F000308801000000004231F001000000001498
+:1038000002B001000000001D02C00100000000180D
+:1038100002C001000207221A025000002307000123
+:1038200034C000002200001948C9010002002D1414
+:1038300048C10100000000F614B001000000001DA6
+:1038400014D001000000001814D001000000001E78
+:1038500024B001001200001710C801002307001A4D
+:1038600010C0000000003C4423E00100000000A460
+:1038700086B0010000002E1048C101000F07A312FE
+:103880000E6C0000100760071AB000000000601204
+:103890001AB001000000680D16940100FFFF000B34
+:1038A00016D8010000000008F0B101000000000C73
+:1038B000F0B1010000000002E0B1010000000010C2
+:1038C00086C001000000004661B1010020000043F5
+:1038D00062DD01001707A85C1F1000004007220DE1
+:1038E000145000004007220D245000000000000D7D
+:1038F00010C001001E072242236C00002307004174
+:1039000023C000000000004661B10100400000102B
+:1039100062DD01001F07A85C1F000000E39F008814
+:103920001CB000000000004023B001003F07A20DC2
+:103930000E5000002E0722461F7C000000000046AB
+:103940001F8001003080001042C901002C0722F2C4
+:10395000640600000000004761B101004000001053
+:1039600062DD01002907A84081320000E39F008842
+:103970001CB0000020800003469901000000005F99
+:10398000E191010000002D0648B10100000000F89F
+:1039900018B00100000000F804B0010033071FF068
+:1039A0000E300000D306004C0DC0000000002E5F5A
+:1039B0000F800100D3062307146C000030000010B4
+:1039C00048C9010024000040F199010000000003F3
+:1039D000F0B1010000000000F0B10100000000168D
+:1039E000F0B101002400000000C801000000004701
+:1039F00061B10100200000A462DD01003C07A8467F
+:103A00001F100000D30600030CB00000D306000D09
+:103A100018C000005F07A2441F7C000000000019CE
+:103A20000AB001002200000548C901000A002D1457
+:103A300048C1010002002040E5B10100040020401F
+:103A4000E5B101000D002D1D48C10100090000F382
+:103A5000388801000D002050E7B1010004002D401E
+:103A60003FB00100000000F432B00100040020402B
+:103A7000E1B101002200000548C9010000002D1439
+:103A800048C101000200001D94F401000000004044
+:103A900091B001005207A0FC9040000000000041DE
+:103AA00091C001005007A24195500000000000A401
+:103AB00096B0010004002E0548B101000000004846
+:103AC000F0B101000000004B48B1010000000018F7
+:103AD00048C101000200001894F4010000002D18F4
+:103AE00090B001005C07A0FC904000000000004185
+:103AF00091C001005A07A241955000000000004803
+:103B0000E0B1010010002040E5B1010004002D05E6
+:103B100048B10100000000F880B02D00000000F066
+:103B200016B02D002200000548C92D000000001429
+:103B300048C12D00640743303D072C000000009E63
+:103B400085B02D0000001B413DC32D000400204224
+:103B5000ECB12D000000001E82B0010002002E1DFD
+:103B600082C001000000661882C00100000000420F
+:103B700080C001006E07A0418044000000000041A9
+:103B800081C001001000004092F401000A002E30B4
+:103B900081840100720790409240000000000041C3
+:103BA00093C001000000662093A401000000001DE6
+:103BB00048C1010004002019E8B101000000001E06
+:103BC00016C001007807A01916440000000000414B
+:103BD00017C001000D002F1E32C001007D07A2405A
+:103BE000156C00007C07A01C16400000000000417E
+:103BF00017C00100000063F33894010010000005B5
+:103C000048C9010004002E1E98B001000000601A8F
+:103C100098C001000C002040E1B101008B07224652
+:103C20001F7C0000000000461F8001003080001053
+:103C300042C90100890722F2640600000000004723
+:103C400061B101004000001062DD01008607A8405C
+:103C500081320000E39F00881CB000002080000338
+:103C6000469901000000005FE191010030800010E2
+:103C700044C901001200001AF0C901000000001739
+:103C8000F0B1010010000005E0C901003000001093
+:103C900080C801000000004461B101002000004024
+:103CA00062DD01009107A840813200009B07225C81
+:103CB0001F7C000000003C4423E0010000002D10A8
+:103CC00048C101009B0722F2640600000000004684
+:103CD00061B101004000001062DD01009807A840BA
+:103CE00081320000E39F00881CB00000EB9F005C65
+:103CF0001F00010020002F0548B101000000000B4B
+:103D0000E4B101000000005017F00100A10790F29B
+:103D1000164000000000004117C0010000006620AE
+:103D200017A40100100000142AC801000000001DA3
+:103D30002AC00100000000502BE00100000000F24A
+:103D40002A9401003080001042C90100AC0722F221
+:103D5000640600000000004461B101004000001052
+:103D600062DD0100A907A84081320000E39F0088BE
+:103D70001CB000000080001710DC0100C9072240C1
+:103D8000156C0000B407A2441F7C00000000004432
+:103D90001F900100B307229F136C000002000088EF
+:103DA0001CCC0100E49F004081B2000000000041F3
+:103DB0003FC30100E69F004081320100B707A241E6
+:103DC000877C00000000001E3EC00100C9072240A1
+:103DD000156C0000BA07201E146C00000000000AD9
+:103DE0003CB00100E59F001E24300100BF072208FF
+:103DF0002E3000000000005211C001000000001A27
+:103E000010C001002307004017B00000E49F0088A5
+:103E10001CB00000E59F004081320100BC07A208F1
+:103E20002E300000808000A604B001000600004093
+:103E300087980100008000034499010004002204D7
+:103E4000E0310000E89F001F8C30010000000040BE
+:103E50000FB00100E29F005C1F9000000080000393
+:103E60004499010004002204E0310000E69F004074
+:103E700081320100CE07A241877C0000CF07001EDF
+:103E80003EC000000000001F8CB001000000004098
+:103E900005B00100E89F00400F300100E29F005C88
+:103EA0001F9000000400004081B2000004000040A8
+:103EB00081B200000400004081B200000400004014
+:103EC00081B200000400004081B200000400004004
+:103ED00081B200000400004081B2000004000040F4
+:103EE00081B200000400004081B2000004000040E4
+:103EF00081B200000400004081B2000004000040D4
+:103F000081B200000400004081B2000004000040C3
+:103F100081B200000400004081B2000004000040B3
+:103F200081B200000400004081B2000004000040A3
+:103F300081B200000400004081B200000400004093
+:103F400081B200000400004081B200000400004083
+:103F500081B200000400004081B200000400004073
+:103F600081B200000400004081B200000400004063
+:103F700081B200000400004081B200000400004053
+:103F800081B200000400004081B200000400004043
+:103F900081B200000400004081B200000400004033
+:103FA00081B200000400004081B200000400004023
+:103FB00081B200000400004081B200000400004013
+:103FC00081B200000400004081B20000F70700BC8D
+:103FD00080B200000380004081B2000003800040F6
+:103FE00081B200000380004081B2000003800040E5
+:103FF00081B200000380004081B2000003800040D5
+:1040000081B200000380004081B2000003800040C4
+:1040100081B200003180004081B200003480004055
+:1040200081B200003580004081B2000004000040F1
+:1040300081B200001B808180803200001487A24082
+:10404000916F00000000004C90B301005C952EA21F
+:1040500080B00100FF000080F489010090952AC81B
+:10406000E5B10100000000A1F0B101000000004036
+:10407000F0B10100000000A4F0B10100000000D088
+:10408000F0B10100000000D1F0B10100000000D249
+:10409000F0B101000000004CF0B10100000000D4BC
+:1040A000F0B10100000000D3F0B10100000000EE0B
+:1040B000F0B101000000004EF0B10100000000402E
+:1040C00044B1010018801181983000000000514077
+:1040D00081B201001A801182983000000000524025
+:1040E00081B2010014870048FD930000B603004030
+:1040F000A19901002380A242FD7F00002080008062
+:1041000080320000228011818230000022805140E4
+:1041100081B2000022801182823000002280524051
+:1041200081B200002C800048FD93000027800080B1
+:10413000803200002680A253077C0000000051530B
+:10414000079001002A800052079000002980A252A7
+:10415000077C00000000525207900100000000534D
+:104160000790010000000048FD9301000000004698
+:10417000F39301005C952EA252B30100FF00008072
+:10418000F48901000000004CE4B10100000000A926
+:1041900045B101003080004C80B200000000454075
+:1041A00081B201000000554081B20100AF8205409C
+:1041B00049B10000AF82054049B100000000054050
+:1041C00049B101004C010040813201000000004B68
+:1041D000DEB2010000000040FD9301000000004835
+:1041E000FD830100020000409B9B0100000000A530
+:1041F0009CB30100480300408132010058952044DF
+:10420000E0B101000494004043990100000000F275
+:1042100024B10100000C00EE968801000000004A65
+:1042200097F001004480A243976C00000000004218
+:10423000FD93010000C000A636B10100D01400407B
+:104240004799010005000040F59901000038004041
+:10425000F599010000060040F599010000000040BA
+:10426000F599010005100040F59901000209004090
+:10427000F599010004000040F59901006003004039
+:10428000813201008803004081320100A003004018
+:1042900081320100A2820040813201009A820040F6
+:1042A0008132010060952040E1B10100709520400D
+:1042B000E1B1010000000049DD9101000000004073
+:1042C00091B30100F99500408132010000000040E7
+:1042D00085B301005C952040E1B1010027820040D8
+:1042E0008132010090060040813201000000005F31
+:1042F0002F8101008D81004081320100FE95004038
+:10430000813201000000454081B2010000005540AB
+:1043100081B20100DD82004081B200000400004053
+:1043200081B200000400004081B20000040000409F
+:1043300081B200000400004081B20000040000408F
+:1043400081B200000400004081B20000040000407F
+:1043500081B200002800004047990100AF8200416F
+:10436000E1C1000078180040499901001905225464
+:10437000817C00006C80424081320000008200B4E9
+:1043800069DF010000001A449393010028000040F7
+:10439000479901001805004081B200000400004068
+:1043A00081B200000400004081B20000040000401F
+:1043B00081B200000400004081B20000040000400F
+:1043C00081B200000400004081B2000004000040FF
+:1043D00081B2000040820040813201007D80224095
+:1043E000976C00007A804240813200000000004F4C
+:1043F0006993010038810058699300005416004009
+:1044000047990100000000FEF4B101008005004062
+:1044100081B2000080804240813200000000004EE6
+:1044200069930100388100586993000040160040EC
+:10443000459901004005004049310100F615004052
+:10444000439901005C1600404599010000006EFA96
+:104450008EB00100C105004081B2000004000040A0
+:1044600081B200000400004081B20000040000405E
+:1044700081B200000400004081B20000040000404E
+:1044800081B200000400004081B20000040000403E
+:1044900081B200009680004081B20000408200405E
+:1044A0008132010096802240976C00009380424048
+:1044B000813200000000004F6993010038810058EC
+:1044C0006993000038050040813201001E00004859
+:1044D000B2CB0100D005004081B2000004000040D2
+:1044E00081B200000400004081B2000004000040DE
+:1044F00081B200000400004081B2000004000040CE
+:1045000081B200000400004081B2000004000040BD
+:1045100081B200008302004081B20000B802004076
+:1045200081B20000D49F004081B20000D59F0040BE
+:1045300081B20000D69F004081B20000D79F0040AA
+:1045400081B200007201004181C000005501514854
+:10455000FD93000055015248FD9300005501554957
+:10456000FD8300005501564AFD83000050019181F2
+:10457000803000005501454081B200005001918219
+:10458000803000005501464081B20000000000402C
+:1045900089B00100000000F880B00100000000F0C8
+:1045A00016B001002200000548C9010000000014F7
+:1045B00048C10100B48043303D0700000000009E68
+:1045C00085B0010000001B413DC3010004002042F2
+:1045D000ECB101000000004049B10100AE0300CB86
+:1045E000A3C901000000002046B10100000000D274
+:1045F000F1B10100000000D3F1B101000000004260
+:10460000F0B101000000004561B101002000002070
+:1046100062DD01000000A8D0E1B10000BF800040D1
+:1046200081B20000000000A898B0010004800040A2
+:104630008BB30000B1030040A1990100C780A242E2
+:10464000976F000000000045A1C1010000000000BC
+:1046500080B001000000A2048094000080153F4259
+:1046600097E301000000004049B101000000600331
+:10467000029401000000004007B00100040000CBDC
+:1046800099CB0100000000CCF3830100D180A2424D
+:10469000976F0000000000CBF3930100AE0300CB46
+:1046A000A3C901000000002044B101000000004443
+:1046B000F1B1010000000000F0B1010000000004B1
+:1046C000F0B10100000000A1E0B1010005000040D0
+:1046D000619901002000002062DD0100D880A8401F
+:1046E00081320000F9020020423101000000A241A5
+:1046F000056C0100000080CBDB9101000000194136
+:104700008BB301006000004061990100DE80A8B118
+:104710008C3300006000004061990100E080A8B186
+:1047200094330000E68014C681320000180000C6F1
+:1047300083F40100F482224F83040000C280004011
+:1047400081B20000FF0100C681880100000000C6A0
+:1047500097A30100C2801F5C9753000058821EC6B9
+:104760008132000000002F4381F00100EC80004006
+:1047700010C900003981004081B200006A81004008
+:1047800081B20000248200CA63B30000618100404E
+:1047900081B200004881004D83B000005281004E7C
+:1047A00061B100004181004085B000004881004CAB
+:1047B00083B000002481004085B00000E381004008
+:1047C00049B1000071810040C1B10000DF810040AB
+:1047D00081B200004181004085B00000F00300403C
+:1047E00049B10000F48200CA9BB300007B81004005
+:1047F000C1B100007F810040C1B10000868100404E
+:10480000C1B1000087810040C1B100008881004033
+:10481000C1B1000089810040C1B100008A8100401F
+:1048200081B000008A81004181B000001882004000
+:1048300081B20000978200BBABB30000258200CAA2
+:10484000CFB30000C803004049B10000E8030040B6
+:1048500081B200002682004081B20000F482004054
+:1048600081B20000E003004081B20000F48200CA7F
+:1048700077B300004981004D83B000005081004EA5
+:1048800061B10000418100BB85B000004981004C4E
+:1048900083B00000418100BB85B00000248100BBD3
+:1048A00085B000001681004081B20000F48200CA89
+:1048B0004DB300007005004049B10000A005004064
+:1048C00049B100001C8122428F6F00001E812241ED
+:1048D0008F6F000020811ECA8132000022811FCA12
+:1048E00081320000000000CAC9B10100F482004218
+:1048F0008FB30000000000CACDB10100F482004176
+:104900008FB30000000000CACFB10100F482004064
+:104910008FB30000008100A6C6B10100F482004000
+:1049200081B20000008000A6C6B10100F482004000
+:104930008FB30000781800404999010010002F9CA7
+:1049400089B001003B8100403933010018002F9BE2
+:1049500089B001003B8100403733010000002F9AED
+:1049600089B001003B8100403533010008002F99D8
+:1049700089B001003B81004033330100008000AE6C
+:1049800047C9010080000040F1990100000000CA01
+:10499000F1B1010000000042F0B1010040180040F8
+:1049A000E19901000000004561B10100200000AE66
+:1049B00063DD0100368128408132000033810040F0
+:1049C00081B2000036814240813200000000005C6C
+:1049D00069930100F4821A449393000039814240A4
+:1049E00081320000388100586993000000000044C3
+:1049F000F0D101000000A44081B200004081A2403B
+:104A0000E16D00000000004445D10100000080403D
+:104A1000E1B1010000008041E1D101004181375C3A
+:104A2000613100000000004262B101004581284070
+:104A3000813200004281004081B20000000000CAC3
+:104A400063B101004581A84081320000F482174023
+:104A500081B200004A81004081B000004A8100BB61
+:104A600081B000000000004160B101000000004082
+:104A700062B101004B81A84081320000000000CAF1
+:104A800063B10100F4822840813200004D81004072
+:104A900081B200005095004047990100538100BB4E
+:104AA00087B0000050952F4087B00100558122400B
+:104AB000957F0000F48260409583000002002DF095
+:104AC00084B001005681364081320000000000426F
+:104AD00062B101005781A84081320000000000430C
+:104AE00062B101005981A84081320000000000CA73
+:104AF00063B101005B81A8408132000000001640D4
+:104B000081B20100F482224143510000000800CA32
+:104B100095CB01005681004185C000006381A2420F
+:104B2000676F00000000004167B3010063814240ED
+:104B3000813200000000004065B301000000004029
+:104B40009383010000001ACA69970100F48226408D
+:104B5000813200006881424081320000F4821A44B0
+:104B600093930000F4822043956F0000F48280CA82
+:104B700067330000F4822240656F0000F482006F0A
+:104B8000DB91000085000040813201003580224029
+:104B900080320000F482004081B200000000005822
+:104BA000959301000000005F959301007781A24476
+:104BB000216F00000000005F958301000000005E8F
+:104BC000959301000000005795930100000000CA72
+:104BD000C3B101007A81225B957F00000000004B89
+:104BE000FD930100F482004081B200001BFD00CA69
+:104BF000959B01000D0100CAC53101000000005F56
+:104C000095830100F48200CAC5B10000DF6F00CABD
+:104C1000959B01000000005595930100000000CA1B
+:104C2000C7B10100F482225F957F00000D010040B2
+:104C3000813201000000005F95830100F48200CA08
+:104C4000C7B10000F48200CAC9B10000F48200CAF2
+:104C5000CBB10000F48200CACDB10000F48200CADA
+:104C6000CFB1000000002E4281E001009814004006
+:104C700048C90100F48200CAE1B100000000004010
+:104C800009B10100200000A682B001008F81A25E60
+:104C90000B7D000000800041089901009181A25E17
+:104CA0000B7D0000208000A608B1010093819F8544
+:104CB000823000000000003083840100C88122306F
+:104CC000836C00009281A24F0B7D00000000004128
+:104CD00021B30100028000A682B0010013820040CF
+:104CE000813201001000004184E40100038000A62D
+:104CF00082B001001382004081320100F0FF0041C8
+:104D00008688010000000043849401000F0000A683
+:104D100086B0010010C4004386980100A881A24318
+:104D2000846C00000000004321B30100200000A6B5
+:104D300082B001001C00004182DC0100A581A25E5E
+:104D40000B7D00000400004108990100BA81004079
+:104D500081B20000410100A686B00100500C004362
+:104D600086980100AD81A243846C000000000041E0
+:104D700021B30100BA81004081B20000410100A6C8
+:104D800086B00100600C004386980100BA81A243FE
+:104D9000846C00000000004221B30100188000A6CE
+:104DA00082B001001382004081320100FFFF004108
+:104DB0008288010000770041828C010001020041DD
+:104DC000829801002000004182DC010018000041AF
+:104DD00082DC0100B881A25E0B7D00000000004172
+:104DE00008B10100200000A682B00100BB81A25ED4
+:104DF0000B7D00004013004108990100C38122434C
+:104E0000216F0000200000A682B0010012000041C6
+:104E100082DC0100C081A25E0B7D00000004004125
+:104E200008990100DE81004081B20000200000A648
+:104E300082B001001900004182DC0100C581A25E40
+:104E40000B7D000000A0004108990100DE810040B8
+:104E500081B200000000004421B3010000000040C6
+:104E600083B001000000005F839001000000005E3D
+:104E70008390010000000057839001000000004172
+:104E8000C2B101000C010040813201000000005F4E
+:104E90008380010000000041C2B101000C0100400C
+:104EA00081320100200000A682B001000400004110
+:104EB00082DC01002000004108990100200000A6CA
+:104EC00082B001001100004182DC0100D781A25EA6
+:104ED0000B7D00000100004108990100200000A6A0
+:104EE00082B00100DA81A25E0B7D00004013004118
+:104EF00008990100010000A682B0010040000041B5
+:104F00002E9901000000804081B20100200000A61F
+:104F100080B00100000000CA81940100E181A25E1E
+:104F20000B7D0000F482004008B10000C8142EBBC5
+:104F300085B00100E481A25E0B7D0000000000400E
+:104F400087B00100F3812243216F000002822244D6
+:104F5000216F0000118000A682B001001382004082
+:104F6000813201000A82224A837C00000000004056
+:104F700087900100EE81224D837C000000000041FB
+:104F800087900100F081224F837C000000000043E5
+:104F900087900100F281224E837C000000000042D5
+:104FA000879001000A82004081B20000018000A6C3
+:104FB00082B001001382004081320100018000A60E
+:104FC00082B0010013820040813201000A82224235
+:104FD000837C000000000040879001001C8000A638
+:104FE00082B001001382004081320100FD81224520
+:104FF000837C00000000004187900100FF81224473
+:10500000837C00000000004387900100018222435E
+:10501000837C000000000042879001000A8200406B
+:1050200081B20000018000A682B00100138200401E
+:1050300081320100018000A682B00100138200408D
+:10504000813201000A822242837C0000000000407D
+:10505000879001000000004387900100000000419C
+:1050600087900100008000A682B0010013820040FA
+:10507000813201000E82224B837C00000000004040
+:105080008780010000000043E0B10100FF7F00A223
+:10509000A08B010000000044A5B30100B88000CA45
+:1050A000A73301003681004081B20000200000419A
+:1050B00082DC01001482A25E0B7D00000000004132
+:1050C00008B1010016829F858230000000008040F8
+:1050D00081B201001B8214F7813000001B82A249BB
+:1050E000FD7F000000000048FD9301001E8215F8BE
+:1050F000811400001E82A24AFD7F000000000048CB
+:10510000FD9301002082A2C88132000040000040CF
+:1051100080DC01000010004080DC01000000004045
+:10512000EFB3010022824240F13300003881004099
+:1051300068970000F48200BB6BB30000F48200BBF0
+:10514000B1B30000F482004081B2000000030040CF
+:10515000819801000000004018B10100800000406B
+:105160008398010000190040459901000000424069
+:1051700081B20100000043FFF1B10100000000FF17
+:10518000F1B101000000004181C0010000000040B9
+:1051900018B101002B82A24183500000001600408C
+:1051A00045990100001900404399010000000047A3
+:1051B00043C101000000004083B00100000000F383
+:1051C00080B001000000005B81D0010000000041C0
+:1051D00080D0010000000040F6B101000000005B3B
+:1051E00043C101000000004183C001003582A25488
+:1051F000836C000000000040F7B101000000004196
+:1052000083C001003C82A206836C00000000804045
+:1052100081B20100001600404399010080162E065D
+:1052200083B00100360000FBF6A901004282A241D2
+:10523000835000002200004083980100000000FB22
+:10524000F6B101004582A241835000006200004097
+:1052500095980100DC9F00408132010000162D0668
+:1052600083B0010080160040459901005C0000FBFE
+:10527000F6A901004B82A24183500000000000709B
+:10528000F9B1010000000071F9B1010000000072E5
+:10529000F9B1010000000073F9B1010000000074D1
+:1052A000F9B101005400004095980100DC9F0040D6
+:1052B000813201000000007095B001005782227019
+:1052C000B56F00000000804197B0010000008040F1
+:1052D00097B00100B6030040A199010000002F42E1
+:1052E00099B3010062822244816C00006A822248E4
+:1052F000816C00006482224C816C00006E8222501E
+:10530000816C00006F822254816C000071822258EF
+:10531000816C00007682225C816C000050010040AC
+:1053200081B20000000000BC09B00100F48200CA94
+:1053300001B000000000004003B001000000004187
+:10534000F38301006882A242056C00000000004166
+:1053500005B00100F48222CA07140000F48200465E
+:10536000F3930000F4822043956F0000F48280CA1A
+:1053700005300000F482220180300000F48200CB6E
+:10538000DB910000570100BCABB30000000000BC83
+:10539000B1B30100F48200CACFB30000FF0000CA1D
+:1053A00081880100F482A240747D000060002040EA
+:1053B000609901007382A8B18230000072820040BF
+:1053C00081B20000F48200CA79B300000000004EF0
+:1053D00081B0010000000043CB8301000000454084
+:1053E00081B201007982A241815000000000454055
+:1053F00081B201000000454081B2010084829182A7
+:10540000823000000000008A80B00100AE9F0040A2
+:1054100080CE01008282A640813200008482564004
+:1054200081B20000B6030040A199010000000053C2
+:1054300007900100B6030040A1990100000000524E
+:1054400007900100D89F00418BB300000000004E80
+:1054500081B0010000000042CD8301000000464001
+:1054600081B201008982A2418150000000004640C3
+:1054700081B201000000464081B201009482918116
+:10548000823000000000008980B00100AE9F004023
+:1054900080CE01009282A640813200009482554065
+:1054A00081B20000B6030040A19901000000005243
+:1054B00007900100B6030040A199010000000053CD
+:1054C00007900100D89F00418BB30000B10300405A
+:1054D000A1990100C4142F4099B301005701004065
+:1054E00049B10000A0942E4397B001000000004095
+:1054F000F1B101009B82A2419750000050952040DD
+:10550000E1B10100AC942E4397B0010000000040CF
+:10551000F1B101009F82A24197500000000080403D
+:1055200081B20100AE030040A399010000000040D9
+:1055300081B001006015004085980100080000401E
+:1055400040E40100000000594194010000000050B7
+:1055500041E00100000000424094010000000057BB
+:10556000419001000000004181C001000000A34201
+:10557000816C010000000041A3C10100A582A0428E
+:10558000816C0000A582005085C00000DD82A24130
+:10559000017D0000B5822258737D00007800004034
+:1055A00061990100B082A8B19C30000030003845FC
+:1055B0009DE001000100000E10C90000B58233C457
+:1055C00081300000B882A1AD9D200000AF82134061
+:1055D00081B200000000134E5A83010030003845AC
+:1055E0009DE00100C08222AB80040000BE82A24088
+:1055F000017D0000C082225F577D00003C87004093
+:1056000081B20000C082225E577D00009F8700406B
+:1056100081B20000C5822254737D000074000040F6
+:1056200061990100C082A8B1003000009084A25F9F
+:10563000017C0000D086004081B20000C782A25FDA
+:1056400059270000C982A25C737D0000D082A25E4F
+:10565000737D0000DA82225C737D0000DB823740BC
+:10566000813200007C00004061990100CA82A8B12B
+:10567000363000007C00004061990100CC82A8B166
+:10568000003000001F00000002880100BF841740A6
+:1056900081B20000DB823440813200007E00004095
+:1056A00061990100D182A8B112300000D882522144
+:1056B00013040000000014412FC30100FF3F000944
+:1056C000008C01000000004301F001001183003450
+:1056D00013840000FF3F1409008C01007183004314
+:1056E00001F000000000004081B20100DB82334085
+:1056F00081320000AF82134E5A9300001487A248F3
+:10570000FD7F00000400A2AC80320000E382225A38
+:10571000737D00007A00004061990100E082A8B129
+:105720007E310000010000CF11C90000E982A240D3
+:10573000937F0000E9822244937F0000E58242A526
+:1057400080300000E882A240937F0000FB821A4074
+:105750009393000000001A4081B20100DD80A24056
+:10576000737D00000F872244216F000006872240CE
+:10577000657D00000005A25B737D00000400A24966
+:10578000337D0000F3822248337D0000FF01009941
+:1057900080D801000000005081E00100A8982F404F
+:1057A00033B1010000000040E0C10100DD82004093
+:1057B00081B20000AF8200408BB3000000000058AF
+:1057C00061B101000000004E62B10100AF822840CB
+:1057D00081320000F682004081B20000F98233403D
+:1057E0001F300000AF82134E5A930000FD82A0CEFE
+:1057F000815000000F83A0CD816C0000000000A547
+:105800009CB30100000000B181B001000F8322B5FC
+:105810008114000080152F4049B1010001834240EE
+:1058200081320000000060B465970100D0152E4061
+:1058300069B3010000001A44938301001A0000A21A
+:1058400080DC010000000044F1B10100000000B163
+:10585000F1B10100000000B5F1B101000500004008
+:10586000619901008000004062DD01000A83A8A167
+:10587000E0310000E98200889EB30000E982A24185
+:10588000676F0000E982006FDB9100000F834240E8
+:1058900081320000E9821A409383000000990009D8
+:1058A00046C901003F0000F30C8801001A83A6429C
+:1058B00013600000299400950330010015836140B6
+:1058C0008132000075000040619901001683A8B183
+:1058D0000C30000036947110943001001B83005886
+:1058E0001F9000001C94009503300100AF820088D7
+:1058F0001CB0000000002D0348B1010004002DF091
+:105900002EB00100EE070040979801002283234B40
+:10591000E46D00002283224BFD7F00000000004068
+:105920001F90010022002F4081B2010025838317C0
+:105930008032000026000040479901002783851728
+:10594000803200000000004847C101002D8322552D
+:105950002F7C00000000004243D101000F0000FA3C
+:10596000968801000000004297E00100000000421C
+:1059700097D001002E83004B44C10000120000A20A
+:1059800044C90100280000F602CC01000A0000A171
+:1059900042C90100000000F816B00100000028F024
+:1059A00010B00100000000F01AB00100000000A2D9
+:1059B0002AB00100C0283C460DE0010000002D4443
+:1059C00095B001003A83A2F80E3000004A832241CC
+:1059D0009550000000002D5049C101003683004061
+:1059E00081B200003783A2F8166C00003783A2F85A
+:1059F000106C00003783A2F01A6C00004883225814
+:105A00001F7C000000993F4213F001003F83654076
+:105A1000813200004383A2F37406000000000006F8
+:105A2000E69501004883754081B200000000000641
+:105A300096B001003F0075F30C880100000000558E
+:105A400061B101000000004B62B101004683A84033
+:105A500081320000488367408132000050837741E3
+:105A60002DC300004E8322581F7C0000000000550B
+:105A700061B101000000000662B101004C83A84042
+:105A8000813200004E836740813200007E8377417F
+:105A90002DC30000030000071AF40100EF92000775
+:105AA000163001005F832241816C00005683224240
+:105AB000816C0000AF8200881CB000005E83225F12
+:105AC0000F7C0000E393005F011001005C83224023
+:105AD000956C00000480000342C90100000000F240
+:105AE00002B0010058930052953001005F93004BC3
+:105AF00002B0000041940009963001001A8700406E
+:105B00000FB000006783A25A1F7C0000699200401A
+:105B10008132010067832220856C000064839C0F22
+:105B200080320000AF8200881CB000004A93005C05
+:105B30001F0001003C95004261310100AF820088E6
+:105B40001CB00000900400079630010000002D05F5
+:105B500048B101006A8382F0183000008188004556
+:105B60008FB00000282000A696B001006E83221797
+:105B700096040000E094004B953001008188004BB2
+:105B80008FB00000EF93000348310100CA9100403C
+:105B9000813001008188004081B2000000002E1099
+:105BA00048B101000000685003B00100000000038C
+:105BB000F0B1010040000000E0C9010000002E50DB
+:105BC00049C1010000000050F1B1010000000003D4
+:105BD000F0B101000000004261B10100200000109E
+:105BE00062DD01007983A8408132000010000010BE
+:105BF00062C901007B83A800E0310000AF82008809
+:105C00001CB0000000002D0348B10100000000405E
+:105C10000FB00100000000F82EB00100000000F2FB
+:105C200002B001000000004017B00100004100A6D2
+:105C300096B00100EE072E4797900100918322173E
+:105C4000960400008F83224BFD7F00008F8323A2E8
+:105C5000026C00005893005295300100040022416C
+:105C6000975000000C002D0012B00100000000F061
+:105C700000B001000000005C018001005F93004B58
+:105C800002B000000000000900B001000000005058
+:105C900003B00100AE83005C17900000A383224391
+:105CA0002F7C0000000000451F9001009C83225FB4
+:105CB0002F7C000000002E1048B1010000000058A9
+:105CC000F1B1010010000003F0C901001000000054
+:105CD000E0C90100988362426131000000000010B9
+:105CE00062B101009983A84081320000AF827288BE
+:105CF0001CB0000020002D0348B10100FF0F00F68A
+:105D000080880100A083A2A6816C0000A38300F21A
+:105D10003AB000008D84A24BFD7F0000B09200409D
+:105D2000813201003087004081B20000AE83224AF8
+:105D30002F7C0000AE8322482F7C00000A002D0338
+:105D400048B101003F0000F2868801001F000043B7
+:105D5000848801000500004380F4010098943D42CE
+:105D600081E00100AE83A242E07D00008D84A24B61
+:105D7000FD7F0000B092004081320100308700407A
+:105D800081B20000AE83694081320000000000A3B0
+:105D900009B001000000794147C30100B48322A18A
+:105DA000096C0000F58200881CB00000B18300037C
+:105DB00048B10000EE83A392036C0000949500406C
+:105DC000953001000000004143C3010000000016AF
+:105DD00080B201003087270880320000BB83225C3C
+:105DE000177C0000BC8300002AB0000012000000F5
+:105DF0002AC801000200000880C80100C083A24335
+:105E00002F7C0000E394004081320100DC83005EBF
+:105E100017900000040000018CCC0100E394004CBA
+:105E20000330010000002E4602B0010010000010F7
+:105E300048C901000C000001F0CD01002C00004019
+:105E4000F0C9010000000016F0B1010010000015BB
+:105E5000E0C901000000004361B10100A00000A4FE
+:105E600062DD0100C983A85417100000DC83005EC6
+:105E700017900000120000002AC80100DB832243B3
+:105E80002F7C0000040000018CCC01000000004CBD
+:105E900003B00100049500436131010000002E466B
+:105EA00002B001001000001048C901000C00000100
+:105EB000F0CD01000C000009F0C90100000000183D
+:105EC000F0B1010010000015E0C90100000000431E
+:105ED00061B10100A00000A462DD0100DC83285450
+:105EE00017100000D883004081B2000004950043E1
+:105EF00061310100DE8322502F7C0000000000563B
+:105F0000179001000700001798880100E183A24163
+:105F1000996C00000000005517900100000000433C
+:105F200061B101004000001062DD0100E283A84081
+:105F300081320000AF8200881CB00000EB9400406A
+:105F400081320100E98322432F7C00001680000388
+:105F500044C901000000001DE4B101008C94005E02
+:105F600005100100EC83A25F2F7C0000A6910001C8
+:105F700038430100B0920040813201003087004078
+:105F800081B20000F083A24BFD7F00008A840041B3
+:105F900043C300000000004027B0010000000040A3
+:105FA0002DB001000000004011B00100F383350165
+:105FB000863000006D00004061990100FB8328B12C
+:105FC00030300000F483224D757D00000000001683
+:105FD00080B201007A84A740116C000000000041EB
+:105FE00043C301008984004081B200006D0000407D
+:105FF00061990100FB83A8B1123000000000001677
+:1060000080B201000584A740116C0000000000412F
+:1060100043C301000000000910B001000000001897
+:106020002CB00100DE07004380CE0100F483AA40BB
+:10603000813200000A84004081B2000040003E43EB
+:1060400027E0010000000009F0B101000000001885
+:10605000E0B101000000004127C00100F483A30B60
+:1060600087500000000015401BB0010000000040F8
+:1060700023B00100120000002AC8010040002D409A
+:1060800039B001001284A240276C000022000008F1
+:1060900012C80100DE070040259801001584004069
+:1060A00081B20000000000F812B00100000000F012
+:1060B00030B001000000000B25B00100000000100E
+:1060C00032B0010014002001E0B10100EE070040F1
+:1060D000379801001A842301366C0000000000018B
+:1060E00036B001002584824123400000208000104A
+:1060F00042C9010021842240E36D000000000043FA
+:1061000061B101004000001062DD01001E84A84062
+:1061100081320000AF8200881CB00000CF920043A3
+:10612000233001000000001032B0010000000041E7
+:1061300023B001000000000348B1010000800019F5
+:1061400044C90100348422451F7C00000000004C3B
+:10615000F1B1010000000009F0B1010000000018D9
+:10616000F0B101000000004361B1010020000019FE
+:1061700062DD01002B84A815E03100000000005012
+:1061800003D001000000005033C001000000004CAB
+:1061900025D001000C002D4C13C001000000005060
+:1061A00037D00100000000502BC001001A840045C8
+:1061B0001F8000003684A312366C00003784681BF1
+:1061C00028B000000000681228B00100000000099B
+:1061D000F0B1010000000018F0B101000000004320
+:1061E00061B101002000001962DD01003A84A815A8
+:1061F000E0310000608422140250000000000050D2
+:1062000033C001000000001424D001000C002D1444
+:1062100012C001005984A214365000004A84225C46
+:106220001F7C00003080001042C9010048842240D9
+:10623000E36D00000000004261B101004000001069
+:1062400062DD01004584A84081320000AF820088F1
+:106250001CB000000000000348B101000C002D5CE0
+:106260001F800100100000F02AC801000000005C3F
+:106270002B800100F0070040379801004F84230174
+:10628000366C00000000000136B001005A84221B69
+:10629000026C00003000001048C9010000002E5CB4
+:1062A0001F90010000000050F1B101000000000348
+:1062B000F0B10100FF070015E08D01000000004271
+:1062C00061B10100A00000A462DD01005684A84075
+:1062D000813200005A84000348B10000000000141D
+:1062E0002AC001001A84A240256C00000000004171
+:1062F00039C0010040003D4339E001000000000BBF
+:1063000025B00100000000F812B001001A8400F06E
+:1063100030B000000080001942C9010066842240AC
+:10632000E36D00000000004361B10100400000196E
+:1063300062DD01006384A84081320000AF820088E2
+:106340001CB00000CF9200402B30010018002E033B
+:1063500048B101006A8422502F7C000000000056E2
+:106360001790010007000017988801006D84A24172
+:10637000996C0000000000551790010070842243C2
+:106380002F7C000000000054179001001600201D13
+:10639000E4B101007284A340276C00007484605F44
+:1063A000179000000084000B16DC01000000601351
+:1063B000169401008C94005E051001003087A25FE6
+:1063C0002F7C00001480000342C90100000000F28D
+:1063D00002B00100A691000138430100308700405F
+:1063E00081B200000000004083B001000000004DB9
+:1063F00061B101000000001662B101007C84A84078
+:10640000813200000000000862B101007E84A840D3
+:106410008132000089842213826C000040003D43D9
+:1064200083E00100000000F810B00100000000F05F
+:106430002CB001000000001662B101008484A84065
+:10644000813200000000000862B101008684A8408B
+:10645000813200008084004183C0000000001540AC
+:1064600081B20100008200A604B00100A0980040A3
+:1064700047990100300500418930010058930052CE
+:10648000953001005F93004B02B000003087004060
+:106490000FB000000000005F01800100100000004C
+:1064A0000EF401003F000000008801000300000717
+:1064B0001AF40100EF920007163001009B8422417C
+:1064C000816C000099842242816C0000AF820088B8
+:1064D0001CB000009A84225F0F7C00001A870040E5
+:1064E0000FB00000A384A25A1F7C000069920040F4
+:1064F00081320100A3842220856C0000A0849C0FBF
+:1065000080320000AF8200881CB000004A93005C1B
+:106510001F0001003C95004261310100AF820088FC
+:106520001CB00000900400079630010000002D050B
+:1065300048B10100000000F018B00100A984223A1F
+:10654000016C0000000000008EB001008188004056
+:1065500001B000000000004081B201002E002D05B6
+:1065600048B10100AD84A240E76D00000A00004080
+:106570008F9801008188004001B0000034920040F3
+:10658000813201001C94009503300100AF82008825
+:106590001CB0000000002D0348B1010022002DF0C6
+:1065A0002EB00100282000A696B00100B684221764
+:1065B00096040000E094004B953001008188004C67
+:1065C0008FB00000B88483178032000000000044C0
+:1065D00043C10100BA8485178032000000000048E2
+:1065E00043C10100280000F602CC0100120000A106
+:1065F0002AC80100EF93004081320100CA91004196
+:10660000813001008188004081B20000000000015B
+:1066100000D0010000002E1048B101002800004009
+:10662000F199010000000003F0B10100000000003A
+:10663000F0B10100C4846447613100000000001023
+:1066400062B10100C584A81BE0310000AF827488EC
+:106650001CB000000000004503E0010008002D030D
+:1066600048B10100EA8401FB083000003D8587FB4A
+:1066700022300000000000FA0EB00100000000F817
+:1066800014B00100030000071AF40100EF920007A4
+:1066900016300100E0842241816C0000D484224243
+:1066A000816C0000AF8200881CB00000DF84225F94
+:1066B0000F7C0000380000047E890100D884A65FAA
+:1066C0000F0000004292004005300100DD840040D0
+:1066D00081B20000130000408798010000002D03E4
+:1066E00048B101000C002DF082B00100000000F064
+:1066F00084B00100CE930040053001000000005C32
+:106700001F9001001A8700400FB00000E884A25AD1
+:106710001F7C00006992004081320100E884222041
+:10672000856C0000E5849C0F80320000AF820088F9
+:106730001CB000004A93005C1F0001003C95004221
+:1067400061310100AF8200881CB000009004000796
+:106750009630010000002D0548B10100000000F056
+:1067600018B00100EC84210480200000ED8400407A
+:1067700010C90000C387004B81B000000C850043A6
+:1067800081B00000108500FB22B00000C3870041EB
+:1067900081B000008188004E8FB000000885005A4B
+:1067A0008FB00000F58400478FB00000C38700530E
+:1067B00081B00000C387005681B0000032002D0573
+:1067C00048B101008188A00AE46D0000FB84A24169
+:1067D000197C0000FA84220A80320000818800536C
+:1067E0008FB00000818800548FB000000485220A19
+:1067F00080320000FE84A20AE46D00008188005D02
+:106800008FB00000000000F280B001000000000A1C
+:1068100080D001000285A091816C00008188005E1B
+:106820008FB00000250000408F9801008188004053
+:1068300081B2000006852091E56D0000818800543A
+:106840008FB00000210000408F9801008188004037
+:1068500081B2000032002D0548B101008188A00AF4
+:10686000E46D0000240000408F9801008188004002
+:1068700081B2000037002D0548B10100040000F38B
+:1068800082F40100C387A042836C0000C3870054D8
+:1068900081B00000000000F20EB00100030000070C
+:1068A0001AF4010000B5000D42C9010007000007FD
+:1068B000168801001985220BE67D00000A000040C1
+:1068C00087980100DF950040813201000000004000
+:1068D0000FB001001A87005C1F9000002B8522502A
+:1068E000FD7F00002685A254FD7F00001E852255F5
+:1068F000FD7F00008200004087980100168500405F
+:1069000081B2000016852253FD7F00001480000331
+:1069100042C90100000000F096B001001000004BD9
+:1069200080F401000CBC00408798010026852243BA
+:10693000806C0000FFFF004B808801001685A24399
+:10694000806C00007C9600404799010027854640F6
+:10695000813200002A85A0F0306F00001C851E40A7
+:1069600081B2000000001E4131C30100739200405B
+:10697000253001002F859C0F80320000AF820088F7
+:106980001CB000004A93005C1F000100148000034B
+:1069900042C90100000000F096B0010000002F0580
+:1069A00048B101001000000718E401000008000CC5
+:1069B000E0990100900400079630010000B5000D39
+:1069C00046C9010036853040813200000000000BCE
+:1069D000E6910100000200A146C901000000000B81
+:1069E000E691010004002E0548B1010000001040AE
+:1069F000E1B10100C387004081B00000000000FB4E
+:106A000028B00100000000FB86B00100000000F883
+:106A100014B0010047852246237C000043852240B4
+:106A2000877C0000000000481F900100458522413E
+:106A3000877C0000000000471F900100478522422C
+:106A4000877C0000000000451F9001004785661B01
+:106A50002C300000000000A013B0010000007641BF
+:106A600041C3010076852392156C00007685A2450E
+:106A70001F7C00007A85224BFD7F0000170000D0AC
+:106A8000A2C901000000004027B001000200000A76
+:106A900024C80100AB9200400F3001007485220829
+:106AA0004030000000000041A3C10100F0070012C7
+:106AB00024CC01005085AA412740000001000013AA
+:106AC00080CC01007085264023300000000000408B
+:106AD00083B001006000000384C8010010000010B2
+:106AE00048CD0100170000D0A2C901005D85A24079
+:106AF000836C00006985004183B000000080004283
+:106B000044990100000068213896010000002E50D1
+:106B100049C101006285A244236C000030000003DB
+:106B200048C9010000000044F1B101000C00002040
+:106B3000F0C901000000004461B10100A00000A400
+:106B400062DD01006585A842E031000000000044DC
+:106B500085C001000000004123C001000000004189
+:106B6000A3C101005B85A2418150000070852240D5
+:106B7000236C00000000004461B1010040000010DF
+:106B800062DD01006D85A84081320000AF8200887F
+:106B90001CB000000000000348B10100EE070040F7
+:106BA00025980100170000D02AC80100838500172E
+:106BB00010B0000095940040813201007A850040B9
+:106BC00081B20000AB92009225300100000000402D
+:106BD00031B001007A8522082E3000008385004103
+:106BE00027B00000808000A604B00100060000402D
+:106BF00087980100DF95000A8C30010000000040FA
+:106C00000FB001000000005C1F9001008285229FF0
+:106C1000136C0000020000881CCC0100F5820040CB
+:106C200081B200001A8700413FC30000000000400D
+:106C30000FB001002800000180CE010097852A4096
+:106C4000813000000080001044C901004000004075
+:106C5000819801008C85A2481F7C00008C85A2478A
+:106C60001F7C00008C85A307036C0000800000409F
+:106C7000819801008F85A340026C0000280000016C
+:106C8000F0CD0100918500400FB0000028000040C9
+:106C9000F0CD0100040000400ECC010028000003EC
+:106CA000F0C9010028000000F0C901000000001632
+:106CB000E0B101000000004761B1010020000010B8
+:106CC00062DD01009585A85C1F10000000000040F7
+:106CD00043990100000000F008B00100A0012D4020
+:106CE00000C001006186220F42050000A8859C0FAC
+:106CF000803200000000005C1F8001000080001056
+:106D000042C90100A3852240E36D00000000004756
+:106D100061B101004000001062DD0100A085A840C3
+:106D200081320000AF8200881CB00000A8852207D5
+:106D3000803200000000000342B1010000000007A3
+:106D400042C10100008000A1469901000000005FDF
+:106D5000E1910100C006A2451F7C00001000000365
+:106D600048C9010000002D5429C00100000000F8AE
+:106D700018B00100000000F804B00100000000F8A5
+:106D80000EB00100420000030AC801000C0000A47C
+:106D90000CC80100ED920040813201000000001497
+:106DA00002B001000000001424D001000000001413
+:106DB00010C001001200000810C8010000000040CF
+:106DC00023B00100FE7F000544C901000000000A55
+:106DD000E4B10100D18522018032000000003C4472
+:106DE00023E0010000002EA480B00100000000108C
+:106DF00048C10100BE85A307026C0000BF85680181
+:106E00001AB00000000068071AB001000000000D71
+:106E100002D0010000000005F0B101000000000CEC
+:106E2000F0B1010000000002E0B101000000000D1F
+:106E30000AC00100CB852240036C0000CB852242B2
+:106E4000236C00000000004123C001000000004747
+:106E500061B10100A00000A462DD0100EF852840BF
+:106E600081320000C885004081B20000000000109F
+:106E700080C001000000004761B101000000004037
+:106E800062B10100CD85A84023300000AF820088A8
+:106E90001CB00000EF85004081B2000000003C44BF
+:106EA00023E00100000000A486B0010000002E10C5
+:106EB00048C10100D685A3120E6C0000D78560077B
+:106EC0001AB00000000060121AB001000000680D46
+:106ED00016940100FFFF000B16D80100000068089F
+:106EE0003E9601000000000CF0B10100000000021D
+:106EF000E0B101000000001086C001000000004663
+:106F000061B101002000004362DD0100DE85A85C64
+:106F10001F1000000D86220D146C0000E485220D68
+:106F2000246C00000000000D10C00100E885000D79
+:106F300024D00000000000412BC00100000000151B
+:106F4000A2B101001000002010C80100F0070040AD
+:106F500025980100EA852242236C0000EF8500415C
+:106F600023C000000000004661B101004000001095
+:106F700062DD0100EB85A85C1F000000AF82008885
+:106F80001CB000000000004023B001000D86220D5F
+:106F9000145000000C86A20D0E500000FB85224606
+:106FA0001F7C0000000000461F80010030800010A0
+:106FB00042C90100F9852240E36D0000000000474E
+:106FC00061B101004000001062DD0100F685A840BB
+:106FD00081320000AF8200881CB0000020800003D6
+:106FE000469901000000005FE191010000002D06BC
+:106FF00048B10100000000F818B00100000000F8DE
+:1070000004B0010000861FF00E300000B885004C6F
+:107010000DC0000000002E5F0F800100B88523071F
+:10702000146C00003000001048C90100240000402A
+:10703000F199010000000003F0B101000000000020
+:10704000F0B1010000000016F0B1010024000000C2
+:1070500000C801000000004761B10100A00000A4C9
+:1070600062DD01000986A8461F100000B8850003F4
+:107070000CB00000B885000D18C0000004002E14EC
+:107080000AD001001200000548CD0100FE7F000576
+:1070900042C901000C002AF2E0B10100138622402F
+:1070A000316C000000006018389601001E0000409E
+:1070B00043990100008100F680CE01001786A640AA
+:1070C000813200000000004443C101001986220BF8
+:1070D000ED6D0000080000A142C90100020000A1FE
+:1070E00046C901000F0000FA948801000200004A1E
+:1070F00086E40100000000F60EB001002186224760
+:107100001F7C000004001F430E5000002186A04693
+:107110000F400000000000410FC0010025862248FA
+:107120001F7C00000000004091B0010004000FA28D
+:10713000423100002886004089B000000C0000A207
+:1071400042C901000000004389B001000000004373
+:1071500095D00100000000FC82B001002B86A04108
+:10716000904000000000004191C00100308622479D
+:107170001F7C00003086A043896C000030862045CB
+:10718000896C00003086A0410E40000000000041E4
+:107190000FC001000000004189C001002886A24103
+:1071A00095500000398622481F7C000010000048DE
+:1071B00092F40100FFFF0048908801003786904854
+:1071C000924000000000004193C001000A0000A2AC
+:1071D00044C901000000662093A401003080001023
+:1071E00044C9010012000014F0C90100000000179A
+:1071F000F0B1010012000005E0CD010030000010E8
+:1072000080C801000000004461B10100200000407E
+:1072100062DD01003F86A840813200004A86225C80
+:107220001F7C000000003C4423E0010000002D1002
+:1072300048C1010049862240E36D0000000000467D
+:1072400061B101004000001062DD01004686A840E7
+:1072500081320000AF8200881CB000000000005C9A
+:107260001F8001004D86A2471F7C0000E392004072
+:1072700081320100C686001710B00000EA9200407B
+:107280008132010000002F0348B101005186A007A0
+:10729000164000000000004117C001000000000B74
+:1072A000E4B101000000005017F00100558690F293
+:1072B000164000000000004117C0010000006620D9
+:1072C00017A40100100000142AC80100000000509B
+:1072D0002BE00100000000F22A9401003080001031
+:1072E00042C901005F862240E36D000000000044B7
+:1072F00061B101004000001062DD01005C86A84021
+:1073000081320000AF8200881CB0000000800017AE
+:1073100010DC0100C686004081B2000069869C0F27
+:10732000803200000000005C1F800100008000101F
+:1073300042C9010069862240E36D00000000004759
+:1073400061B101004000001062DD01006686A840C6
+:1073500081320000AF8200881CB000006E862207D8
+:10736000803200000000000342B10100000000076D
+:1073700042C10100008000A1469901000000005FA9
+:10738000E191010004002E0348B101000000000A51
+:10739000E0B1010073862240316C00000C00004017
+:1073A00045990100000060183896010000002E1079
+:1073B00048B1010000000050F1B1010000000008D8
+:1073C000F0B1010000000003E0B101000000004442
+:1073D00061B101000000001062B101007886A84090
+:1073E00023300000AF8200881CB0000000002D5246
+:1073F00011C001001000000348C90100000000F89E
+:1074000018B00100000000F804B00100000000F80E
+:107410000EB001000C0000A40CC8010000003C44A8
+:1074200023E00100000000A486B0010000002E103F
+:1074300048C101008686A3120E6C0000878668078B
+:107440001AB00000000068121AB00100000000101D
+:1074500086C00100000068083E9601000000000C94
+:10746000F0B1010000000002E0B1010000000046A0
+:1074700061B101002000004362DD01008C86A85C40
+:107480001F100000BB86220D146C00009286220D96
+:10749000246C00000000000D10C001009686000D55
+:1074A00024D00000000000412BC0010000000015A6
+:1074B000A2B101001000002010C80100F007004038
+:1074C0002598010098862242236C00009D86004189
+:1074D00023C000000000004661B101004000001020
+:1074E00062DD01009986A85C1F000000AF82008861
+:1074F0001CB000000000004023B001000400220D79
+:1075000014500000BA86A20D0E500000A986224633
+:107510001F7C0000000000461F800100308000102A
+:1075200042C90100A7862240E36D00000000004729
+:1075300061B101004000001062DD0100A486A84096
+:1075400081320000AF8200881CB000002080000360
+:10755000469901000000005FE191010000002D0646
+:1075600048B10100000000F818B00100000000F868
+:1075700004B00100AE861FF00E3000008186004C82
+:107580000DC0000000002E5F0F80010081862307E0
+:10759000146C00003000001048C9010024000040B5
+:1075A000F199010000000003F0B1010000000000AB
+:1075B000F0B1010000000016F0B10100240000004D
+:1075C00000C801000000004761B10100A00000A454
+:1075D00062DD0100B786A8461F1000008186000307
+:1075E0000CB000008186000D18C00000C486225C2B
+:1075F0001F7C00000000005C1F80010000003C4474
+:1076000023E0010000002D1048C10100C486224083
+:10761000E36D00000000004661B101004000001071
+:1076200062DD0100C186A84081320000AF8200887F
+:107630001CB000000000001710B00100C68600401A
+:107640002BB00000008000034499010000000004FA
+:10765000E0B10100CB86229F136C0000020000887D
+:107660001CCC0100F582004081B20000F095004181
+:107670003F430100000000408DB0010000000040C9
+:1076800005B00100DF9500400F3001003087005C3D
+:107690001F900000100000000EF401000000003AEE
+:1076A00001840100030000071AF40100EF920007B3
+:1076B00016300100DA862241816C0000D886224211
+:1076C000816C0000AF8200881CB00000D986225F68
+:1076D0000F7C00001A8700400FB00000E286A25A1B
+:1076E0001F7C00006992004081320100E286222066
+:1076F000856C0000DF869C0F80320000AF8200881E
+:107700001CB000004A93005C1F0001003C95004241
+:1077100061310100AF8200881CB0000090040007B6
+:107720009630010000002D0548B10100000000F076
+:1077300018B001000000000080B00100C387A25F04
+:10774000816C0000A8002D431980010037002DF046
+:1077500024B00100040000F38EF401000F0000F3D8
+:1077600090880100F18622488E6C000036000040AF
+:107770004399010058003D43E7E10100F1861FF005
+:10778000246C0000F08623418F6C0000C387004703
+:1077900081B00000C387004881B000004000004075
+:1077A00043990100B0002DF014B00100F686220AC2
+:1077B00090400000C395004091300100C387A24073
+:1077C00080320000B0002D4581B00100028722F018
+:1077D0002C300000A3002D3083B00100AC002DF34D
+:1077E00082E00100FC86A3412C6C00000000001622
+:1077F00082B0010098002DF082C0010088002DF0B9
+:1078000082D00100000000F298E80100C387204CFC
+:10781000826C00007C002D4198E80100C38720F0B5
+:10782000986C00001A87220A803200004002000C87
+:107830007E8901001A87A64081320000C387004973
+:1078400081B00000200000A680B001000A8722431A
+:10785000216F00001380004080DC01000B87004096
+:1078600081B200001A80004080DC01000B87A25E1C
+:107870000B7D00000000004008B101000D879F85CE
+:10788000803200001187004081B20000EC8222406B
+:10789000577D0000010000405799010011874240C8
+:1078A000813200000000004493930100DD821A5BE6
+:1078B00069930000040000CB81C8010017872240B3
+:1078C000F27F0000C480006F9733010019872240C7
+:1078D000737D0000DE8000418BB300001487004000
+:1078E00081B2000021879C0F8032000000800010D0
+:1078F00042C9010021872240E36D000000000045DD
+:1079000061B101004000001062DD01001E87A84047
+:1079100081320000AF8200881CB000004592220234
+:107920008032000022874240813200000000004483
+:107930009393010045921A02689700002C879C0FD0
+:10794000803200000080001042C901002C872240D4
+:10795000E36D00000000004561B10100400000102F
+:1079600062DD01002987A84081320000AF820088D3
+:107970001CB000004F922202803200002D8742404E
+:107980008132000000000044939301004F921A02DC
+:107990006897000037879C0F80320000008000103D
+:1079A00042C9010037872240E36D00000000004516
+:1079B00061B101004000001062DD01003487A84081
+:1079C00081320000AF8200881CB00000F9822202E0
+:1079D00080320000388742408132000000000044BD
+:1079E0009393010000001A0268970100F982004099
+:1079F00005B00000008000A656B1010056952F404A
+:107A000005B001008887A240E76D0000B8942941C5
+:107A1000E7B1010000000054EF930100000000F204
+:107A20000EB00100290000400D9801000900000778
+:107A300012E40100000000A713C0010003000007CA
+:107A40001AF401000700000716880100FFFF00106C
+:107A500034D801000000000334940100000000400D
+:107A600023B00100201800401198010000B5000D5E
+:107A700042C901006C87220BE67D00004D87604003
+:107A800081320000FFFF000784890100548705C28E
+:107A900024300000580400408132010000002D0510
+:107AA00048B10100898770F0183001006C870040F0
+:107AB00081B200000000704081B201006387A048DD
+:107AC000236C00000000005035D001000080001A37
+:107AD00042C901005D872240E36D000000000042C2
+:107AE00061B101004000001A62DD01005A87A84020
+:107AF00081320000AF8200881CB000002098004056
+:107B000043990100898700F8183001005E87A2417F
+:107B100023500000FFFF001034D8010000000003D4
+:107B200034940100201800401198010000002E1A22
+:107B300048B1010000000044F1B10100000000085C
+:107B4000F0B101000000004261B101002000001A04
+:107B500062DD01006787A809E031000000000041F4
+:107B600023C001000000005035C0010000000044A7
+:107B700011C00100788722410D5000000000004133
+:107B80000FC001007487A0AA0F6C00000000004124
+:107B90000FB001000900000712E40100000000A777
+:107BA00013C00100000000401BB001004B870041E2
+:107BB00017B000000002000912C801004B87834182
+:107BC000174000000000004017B001004B87004143
+:107BD0001BC0000083872340236C0000000000507E
+:107BE00035D001000080001A42C901008087224080
+:107BF000E36D00000000004261B101004000001A86
+:107C000062DD01007D87A84081320000AF820088DC
+:107C10001CB000002098004043990100898700F8BB
+:107C2000183001008187A24123500000000000416C
+:107C30000FC001008687A0AA0F6C00000000004161
+:107C40000FB00100B8942007E4B101005695204020
+:107C5000E7B101001A8700400FB00000FFFF000CE1
+:107C600080D80100C002000C7E8901009B87265449
+:107C7000613100009187870C803200000F000040C6
+:107C80006299010091872840813200009187A254B7
+:107C9000777D00008D87004081B2000096872246E4
+:107CA000197C00000D000040629901000000A8400E
+:107CB00081B200000000A254777D0100928700404D
+:107CC00081B200009B872249197C00000E00004011
+:107CD000629901000000A84081B200000000A25497
+:107CE000777D01009687004081B2000010000040BF
+:107CF000629901000000A84081B200000000A25477
+:107D0000777D01009B87004081B2000030942F55A1
+:107D1000F1930100004000A656B10100F982A24192
+:107D2000E551000064000040E5990100A38744404C
+:107D300081320000A687A293576F00000000004127
+:107D400057C3010000001CAB27B30100F982225089
+:107D5000FD7F0000F9822251FD7F0000F982A241DF
+:107D60001D530000504600401D9B01003805004097
+:107D7000813201000E000048B2CB01001004004027
+:107D800049310100B2872240B56F00000E00004863
+:107D9000B2CB010020040041B5530100F98200403C
+:107DA00081B2000000000051FD8301004016004038
+:107DB0004599010040050040493101001E0000487E
+:107DC000B2CB01001004004081320100000000DA53
+:107DD00091C0010004000048B2CB01002004004023
+:107DE000B533010060162040E5B10100408200403B
+:107DF000B533010008000048B2CB0100FFFF004A84
+:107E0000B48B010020040040813201000A000048C8
+:107E1000B2CB01001000004AB4F70100200400407A
+:107E200081320100F982004081B20000050000406B
+:107E300043990100000000F308B001000400204055
+:107E4000E6B101000300004096E4010000000004D8
+:107E500096C00100C987004B10C90000EC8A0041A0
+:107E600009B00000040000208FB0000004000020D2
+:107E70008FB00000040000208FB00000040000203C
+:107E80008FB00000040000208FB00000040000202C
+:107E90008FB00000040000208FB00000040000201C
+:107EA0008FB00000208B004109B0000004000020CA
+:107EB0008FB00000040000208FB0000004000020FC
+:107EC0008FB00000040000208FB0000004000020EC
+:107ED0008FB00000040000208FB0000004000020DC
+:107EE0008FB00000040000208FB00000528B0045CE
+:107EF00009B00000528B004509B00000528B0045CC
+:107F000009B00000528B004509B0000004000020B9
+:107F10008FB00000040000208FB00000040000209B
+:107F20008FB00000040000208FB00000918B004350
+:107F300009B00000BA8B004309B00000BE8B0044BA
+:107F400009B00000098D004509B0000004000020C0
+:107F50008FB00000040000208FB00000040000205B
+:107F60008FB00000040000208FB00000040000204B
+:107F70008FB00000CA8B004309B00000C98B0043DA
+:107F800009B00000EA8A004509B0000004000020A2
+:107F90008FB00000040000208FB00000040000201B
+:107FA0008FB00000040000208FB00000798C0042E8
+:107FB00009B00000798C004309B00000798C0044BE
+:107FC00009B00000EA8A004509B000000400002062
+:107FD0008FB00000040000208FB0000004000020DB
+:107FE0008FB00000040000208FB0000004000020CB
+:107FF0008FB00000998C004309B0000004000020FD
+:108000008FB00000EA8A004509B00000040000209B
+:108010008FB00000040000208FB00000040000209A
+:108020008FB00000040000208FB00000040000208A
+:108030008FB00000B78C004309B00000B78C00443B
+:1080400009B00000EA8A004509B0000004000020E1
+:108050008FB00000040000208FB00000040000205A
+:108060008FB00000040000208FB00000040000204A
+:108070008FB00000B78C004209B00000040000205F
+:108080008FB00000EA8A004509B00000040000201B
+:108090008FB00000040000208FB00000040000201A
+:1080A0008FB00000040000208FB00000040000200A
+:1080B0008FB00000DF8C004409B0000004000020F5
+:1080C0008FB00000EA8A004509B0000004000020DB
+:1080D0008FB00000040000208FB0000004000020DA
+:1080E0008FB00000040000208FB00000EA8A004238
+:1080F00009B00000F08C004509B00000F08C00458C
+:1081000009B00000EA8A004509B000000400002020
+:108110008FB00000040000208FB000000400002099
+:108120008FB00000040000208FB00000F28C0042ED
+:1081300009B00000F28C004309B00000F28C00444A
+:1081400009B00000F28C004509B0000004000020D6
+:108150008FB00000040000208FB000000400002059
+:108160008FB00000040000208FB000000400002049
+:108170008FB00000040000208FB00000FA8C004493
+:1081800009B00000EA8A004509B0000004000020A0
+:108190008FB00000040000208FB000000400002019
+:1081A0008FB00000040000208FB000000B8D004253
+:1081B00009B00000FB8C004309B000000B8D0044A7
+:1081C00009B00000EA8A004509B000000400002060
+:1081D0008FB00000040000208FB0000004000020D9
+:1081E0008FB00000040000208FB0000004000020C9
+:1081F0008FB000000C8D004309B00000028D0044D8
+:1082000009B00000EA8A004509B00000040000201F
+:108210008FB00000040000208FB000000400002098
+:108220008FB00000EA8A004109B00000778C00425C
+:1082300009B00000778C004309B00000778C00443F
+:1082400009B00000EA8A004509B0000004000020DF
+:108250008FB00000040000208FB000000400002058
+:108260008FB00000EA8A004109B000000D8D004285
+:1082700009B000000D8D004309B000000D8D0044D1
+:1082800009B00000EA8A004509B00000040000209F
+:108290008FB00000040000208FB000000400002018
+:1082A0008FB00000040000208FB000000400002008
+:1082B0008FB00000040000208FB0000004000020F8
+:1082C0008FB00000148D004509B0000004000020AC
+:1082D0008FB00000040000208FB0000004000020D8
+:1082E0008FB00000168D004209B00000040000208D
+:1082F0008FB00000040000208FB0000004000020B8
+:108300008FB00000040000208FB0000004000020A7
+:108310008FB00000040000208FB000000400002097
+:108320008FB00000040000208FB00000228D0043B9
+:1083300009B00000818D004309B00000BE8B0044ED
+:1083400009B00000098D004509B0000004000020BC
+:108350008FB00000040000208FB000000400002057
+:108360008FB00000040000208FB000000400002047
+:108370008FB00000898D004309B00000BE8B00441F
+:1083800009B00000098D004509B00000040000207C
+:108390008FB00000040000208FB000000400002017
+:1083A0008FB00000040000208FB000000400002007
+:1083B0008FB000009A8D004309B000000400002037
+:1083C0008FB00000EA8A004509B0000004000020D8
+:1083D0008FB00000040000208FB0000004000020D7
+:1083E0008FB00000040000208FB000008E8B00438F
+:1083F00009B00000858D004309B00000BE8B004429
+:1084000009B00000098D004509B0000004000020FB
+:108410008FB00000040000208FB0000007002D0581
+:1084200048B10100000000F308B001000600204739
+:10843000E6B101000400004796E401000000004797
+:1084400096D001000000004796D001000000000413
+:1084500096C001008988004B10C90000B28D004908
+:1084600009B000000400002085B0000004000020D6
+:1084700085B000000400002085B00000040000204A
+:1084800085B000000400002085B00000040000203A
+:1084900085B000000400002085B00000040000202A
+:1084A00085B000000400002085B00000040000201A
+:1084B00085B000000400002085B00000040000200A
+:1084C00085B000000400002085B0000004000020FA
+:1084D00085B00000EB8D004209B0000004000020D0
+:1084E00085B000000400002085B0000004000020DA
+:1084F00085B000000400002085B0000004000020CA
+:1085000085B000000400002085B0000004000020B9
+:1085100085B000000400002085B0000004000020A9
+:1085200085B000000400002085B000000400002099
+:1085300085B000000400002085B000000400002089
+:1085400085B00000F18D004609B000000400002055
+:1085500085B000000400002085B000000400002069
+:1085600085B000000400002085B000000400002059
+:1085700085B000000400002085B000000400002049
+:1085800085B000000400002085B000000400002039
+:1085900085B000000400002085B000000400002029
+:1085A00085B000000400002085B000000400002019
+:1085B00085B000000400002085B00000FF8D00425F
+:1085C00009B000000400002085B00000218E0042A8
+:1085D00009B000000400002085B000000400002065
+:1085E00085B000000400002085B0000004000020D9
+:1085F00085B000000400002085B0000004000020C9
+:1086000085B000001C8E004A09B000000400002064
+:1086100085B000000400002085B0000004000020A8
+:1086200085B000000400002085B00000248E0043C7
+:1086300009B000000400002085B000007D8E0044D9
+:1086400009B000000400002085B0000004000020F4
+:1086500085B000000400002085B000000400002068
+:1086600085B000000400002085B000000400002058
+:1086700085B000007C8E004B09B000000400002093
+:1086800085B000000400002085B000000400002038
+:1086900085B00000F48D004109B000000400002006
+:1086A00085B00000F48D004309B00000F48D004453
+:1086B00009B00000F48D004509B00000F48D0046BB
+:1086C00009B00000F48D004709B00000F48D0048A7
+:1086D00009B00000F48D004909B00000F48D004A93
+:1086E00009B00000F48D004B09B00000F48D004C7F
+:1086F00009B00000F48D004D09B000000400002016
+:1087000085B000000400002085B00000DC8E00422F
+:1087100009B000000400002085B00000DC8E004499
+:1087200009B000000400002085B000000400002013
+:1087300085B000000400002085B000000400002087
+:1087400085B000000400002085B000000400002077
+:1087500085B00000DC8E004B09B000000400002052
+:1087600085B000000400002085B000000400002057
+:1087700085B000000400002085B000000400002047
+:1087800085B00000F48E004509B000000400002010
+:1087900085B000000400002085B000000400002027
+:1087A00085B000000400002085B000000B8F00475A
+:1087B00009B000000400002085B00000E88E0045EC
+:1087C00009B000000400002085B000000400002073
+:1087D00085B000005491004609B00000040000205C
+:1087E00085B000000400002085B0000004000020D7
+:1087F00085B000000400002085B0000004000020C7
+:1088000085B00000218E004609B00000FF8D0046B3
+:1088100009B000001A8E004709B000001A8E004807
+:1088200009B000000400002085B000000400002012
+:1088300085B000000400002085B000001C8E004AB6
+:1088400009B000000400002085B0000004000020F2
+:1088500085B000000400002085B000000400002066
+:1088600085B000000400002085B000000400002056
+:1088700085B000007D8E004509B00000248E0043C5
+:1088800009B000001A8E004709B000001A8E004897
+:1088900009B000000400002085B0000004000020A2
+:1088A00085B000000400002085B000007C8E004CE4
+:1088B00009B000000400002085B000000400002082
+:1088C00085B000000400002085B0000004000020F6
+:1088D00085B000000400002085B0000004000020E6
+:1088E00085B00000118F004409B00000118F0042D4
+:1088F00009B00000D58A004709B00000D58A0048B9
+:1089000009B000000400002085B000000400002031
+:1089100085B000000400002085B00000118F004BDE
+:1089200009B000000400002085B000000400002011
+:1089300085B00000F48D004109B00000348F00477D
+:1089400009B000000400002085B000001C8F004723
+:1089500009B000000400002085B0000004000020E1
+:1089600085B000000400002085B000000400002055
+:1089700085B000000400002085B000000400002045
+:1089800085B000001C8F004709B0000004000020E3
+:1089900085B000000400002085B000000400002025
+:1089A00085B000000400002085B000000400002015
+:1089B00085B000000400002085B000000400002005
+:1089C00085B000001C8F004709B00000348F0047BD
+:1089D00009B000001A8E004709B000001A8E004846
+:1089E00009B000000400002085B000000400002051
+:1089F00085B000000400002085B000001C8F0047F7
+:108A000009B000000400002085B000000400002030
+:108A100085B000000400002085B0000004000020A4
+:108A200085B000000400002085B000000400002094
+:108A300085B000000400002085B000000400002084
+:108A400085B00000438F004709B00000438F004805
+:108A500009B000000400002085B0000004000020E0
+:108A600085B000000400002085B000000400002054
+:108A700085B000000400002085B000000400002044
+:108A800085B00000A68F004009B00000C48F0047E9
+:108A900009B00000B88F004809B00000148F0047EB
+:108AA00009B00000148F004709B00000C48F0047D0
+:108AB00009B00000CB8F004709B00000CB8F004801
+:108AC00009B000000400002085B00000B88F004805
+:108AD00009B00000148F004709B00000148F004750
+:108AE00009B00000B88F004809B000000400002061
+:108AF00085B000000400002085B0000004000020C4
+:108B000085B00000DC8E004309B0000004000020A6
+:108B100085B00000DC8E004509B00000DC8E004608
+:108B200009B000001A8E004709B000001A8E0048F4
+:108B300009B000000400002085B00000DC8E004A6F
+:108B400009B000000400002085B00000DC8E004C5D
+:108B500009B000000400002085B0000004000020DF
+:108B600085B000000400002085B00000338F00476E
+:108B700009B00000278F004809B000001B8F004794
+:108B800009B000001B8F004709B00000338F004779
+:108B900009B00000D58A004709B00000D58A004816
+:108BA00009B000000400002085B00000278F0048B5
+:108BB00009B000001B8F004709B000001B8F004761
+:108BC00009B00000278F004809B000000400002011
+:108BD00085B000000400002085B00000CD8F004269
+:108BE00009B000000400002085B00000CD8F0044D3
+:108BF00009B000000400002085B00000040000203F
+:108C000085B000000400002085B0000004000020B2
+:108C100085B000000400002085B0000004000020A2
+:108C200085B00000CD8F004B09B00000040000208B
+:108C300085B000000400002085B000000400002082
+:108C400085B000000400002085B000000400002072
+:108C500085B00000CD8F004309B000000400002063
+:108C600085B00000CD8F004509B00000CD8F0046D3
+:108C700009B00000CD8F004709B00000CD8F00483B
+:108C800009B000000400002085B00000CD8F004A2C
+:108C900009B000000400002085B00000CD8F004C1A
+:108CA00009B00000CD8F004C09B000000400002086
+:108CB00085B000000400002085B000000400002002
+:108CC00085B00000E88F004609B0000004000020D5
+:108CD00085B000000400002085B0000004000020E2
+:108CE00085B000000400002085B000000B8F004715
+:108CF00009B000000400002085B00000E88F0046A5
+:108D000009B000000400002085B00000040000202D
+:108D100085B000000400002085B0000004000020A1
+:108D200085B000000400002085B000000400002091
+:108D300085B00000E990004609B000000400002062
+:108D400085B000000400002085B000000400002071
+:108D500085B000000400002085B000000B8F0047A4
+:108D600009B000000400002085B00000E990004632
+:108D700009B000000400002085B0000004000020BD
+:108D800085B00000E990004609B000000400002012
+:108D900085B000000400002085B000000400002021
+:108DA00085B000000400002085B000000E91004254
+:108DB00009B000000400002085B00000040000207D
+:108DC00085B000000400002085B0000004000020F1
+:108DD00085B000000400002085B0000004000020E1
+:108DE00085B000000D91004A09B000000400002089
+:108DF00085B000000400002085B0000004000020C1
+:108E000085B000000400002085B0000004000020B0
+:108E100085B000000400002085B0000004000020A0
+:108E200085B000000E91004609B00000040000204B
+:108E300085B000001A8E004709B000001A8E004865
+:108E400009B000000400002085B0000004000020EC
+:108E500085B000000400002085B000000D91004A9C
+:108E600009B000000400002085B0000004000020CC
+:108E700085B000000400002085B000000400002040
+:108E800085B000000400002085B000000400002030
+:108E900085B000000400002085B000000400002020
+:108EA00085B000000400002085B000000400002010
+:108EB00085B00000D88F004109B0000004000020F8
+:108EC00085B000000400002085B0000004000020F0
+:108ED00085B000000400002085B0000004000020E0
+:108EE00085B000000400002085B00000E58F00423E
+:108EF00009B000000400002085B00000E58F0044A8
+:108F000009B000000400002085B00000040000202B
+:108F100085B000000400002085B00000040000209F
+:108F200085B000000400002085B00000040000208F
+:108F300085B00000E58F004B09B000000400002060
+:108F400085B000000400002085B00000040000206F
+:108F500085B000000400002085B00000040000205F
+:108F600085B00000E58F004309B000000400002038
+:108F700085B00000E58F004509B00000E58F004690
+:108F800009B00000E58F004709B00000E58F0048F8
+:108F900009B000000400002085B00000040000209B
+:108FA00085B000000400002085B00000E58F004C73
+:108FB00009B000000400002085B00000040000207B
+:108FC00085B000000400002085B0000004000020EF
+:108FD00085B00000F48E004C09B0000004000020B1
+:108FE00085B000000400002085B0000004000020CF
+:108FF00085B000000400002085B000000B8F004702
+:1090000009B000000400002085B00000E88E004C8C
+:1090100009B000000400002085B00000040000201A
+:1090200085B00000A591004609B0000004000020B2
+:1090300085B000000400002085B000004991004286
+:1090400009B000000400002085B0000049910044F0
+:1090500009B000000400002085B0000004000020DA
+:1090600085B000000400002085B00000040000204E
+:1090700085B000000400002085B00000040000203E
+:1090800085B000004991004B09B0000004000020A9
+:1090900085B000000400002085B00000040000201E
+:1090A00085B000000400002085B00000040000200E
+:1090B00085B000000400002085B0000004000020FE
+:1090C00085B000004991004509B000004991004673
+:1090D00009B000001A8E004709B000001A8E00483F
+:1090E00009B000000400002085B00000040000204A
+:1090F00085B000000400002085B000004991004CBC
+:1091000009B000000400002085B000000400002029
+:1091100085B000000400002085B00000E88E004209
+:1091200009B000005491004609B00000040000207E
+:1091300085B000000400002085B00000E88E0046E5
+:1091400009B000000400002085B000000B8F00472C
+:1091500009B000000400002085B0000054910046D2
+:1091600009B000000400002085B0000004000020C9
+:1091700085B000005491004609B0000004000020B2
+:1091800085B000000400002085B00000040000202D
+:1091900085B000005891004309B000000400002091
+:1091A00085B000000400002085B00000040000200D
+:1091B00085B000000400002085B000000B8F004740
+:1091C00009B000000400002085B000005891004361
+:1091D00009B000000400002085B000000400002059
+:1091E00085B000005891004D09B000000400002037
+:1091F00085B000000400002085B0000004000020BD
+:1092000085B000000400002085B000006A91004392
+:1092100009B000000400002085B000000400002018
+:1092200085B000000400002085B00000040000208C
+:1092300085B000000400002085B00000040000207C
+:1092400085B000004791004A09B0000004000020EA
+:1092500085B000000400002085B00000040000205C
+:1092600085B000000400002085B00000040000204C
+:1092700085B000000400002085B00000040000203C
+:1092800085B000006A91004309B00000040000208E
+:1092900085B000001A8E004709B000001A8E004801
+:1092A00009B000000400002085B000000400002088
+:1092B00085B000000400002085B000004791004AFE
+:1092C00009B000000400002085B000000400002068
+:1092D00085B000000400002085B0000004000020DC
+:1092E00085B000007C91004309B00000040000201C
+:1092F00085B000000400002085B0000004000020BC
+:1093000085B000000400002085B000000B8F0047EE
+:1093100009B000000400002085B000007C910043EB
+:1093200009B000000400002085B000000400002007
+:1093300085B000007C91004D09B0000004000020C1
+:1093400085B000000400002085B00000FF8D0042C1
+:1093500009B000000400002085B00000218E00420A
+:1093600009B000000400002085B0000004000020C7
+:1093700085B000000400002085B00000040000203B
+:1093800085B000000400002085B00000040000202B
+:1093900085B000009B91004209B00000040000204D
+:1093A00085B000000400002085B00000040000200B
+:1093B00085B000000400002085B0000004000020FB
+:1093C00085B000000400002085B0000004000020EB
+:1093D00085B00000218E004609B00000FF8D0046D8
+:1093E00009B000001A8E004709B000001A8E00482C
+:1093F00009B000000400002085B000000400002037
+:1094000085B000000400002085B000009B9100465C
+:1094100009B000000400002085B000000400002016
+:1094200085B000000400002085B00000040000208A
+:1094300085B000009D91004A09B0000004000020A2
+:1094400085B000000400002085B00000040000206A
+:1094500085B000000400002085B000000B8F00479D
+:1094600009B000000400002085B000009D91004A72
+:1094700009B000000400002085B0000004000020B6
+:1094800085B000005591004609B00000040000209E
+:1094900085B000000400002085B00000040000201A
+:1094A00085B000005591004609B00000040000207E
+:1094B00085B000000400002085B0000004000020FA
+:1094C00085B000000400002085B000000B8F00472D
+:1094D00009B000000400002085B00000559100464E
+:1094E00009B000000400002085B000000400002046
+:1094F00085B000005591004609B00000040000202E
+:1095000085B000000400002085B0000004000020A9
+:1095100085B000000400002085B00000A391004247
+:1095200009B000000400002085B000000400002005
+:1095300085B000000400002085B000000400002079
+:1095400085B000000400002085B000000400002069
+:1095500085B000004791004A09B0000004000020D7
+:1095600085B000000400002085B000000400002049
+:1095700085B000000400002085B000000400002039
+:1095800085B000000400002085B000000400002029
+:1095900085B00000A391004609B00000040000203F
+:1095A00085B000001A8E004709B000001A8E0048EE
+:1095B00009B000000400002085B000000400002075
+:1095C00085B000000400002085B000004791004AEB
+:1095D00009B000000400002085B000000400002055
+:1095E00085B000000400002085B00000248E004DEE
+:1095F00009B000000400002085B000000400002035
+:1096000085B000000400002085B0000004000020A8
+:1096100085B000000400002085B000000400002098
+:1096200085B000000400002085B000000400002088
+:1096300085B000000400002085B000000400002078
+:1096400085B000000400002085B000000400002068
+:1096500085B000000400002085B000000400002058
+:1096600085B000000400002085B000000400002048
+:1096700085B000000400002085B00000248E004D5D
+:1096800009B000001A8E004709B000001A8E004889
+:1096900009B000000400002085B000000400002094
+:1096A00085B000000400002085B000000400002008
+:1096B00085B000000400002085B0000007002E4B9C
+:1096C0001990010025870004E6B10000D58A2242E6
+:1096D000197C00009A94003A81300100D58A00403C
+:1096E00081B20000D58A2242197C0000FF1F000FC2
+:1096F0001E8C01000594004081320100E58A9C0F18
+:10970000803200000000005C1F800100008000101B
+:1097100042C90100E58A2240E36D000000000045D7
+:1097200061B101004000001062DD0100E28AA84042
+:1097300081320000AF8200881CB00000A9842202A0
+:1097400080320000E68A424081320000000000447E
+:109750009393010000001A0268970100A984004059
+:1097600005B0000005002E4B19900100258700046C
+:10977000E6B100000000004087B00100000000409A
+:109780008DB001000080000342C90100400000A12B
+:1097900044C90100000000F0E0B10100DF950006BF
+:1097A000074001000000000607D00100D4002E5C35
+:1097B0001F90010000000007F0B101000C800003C1
+:1097C00042C90100000000F0F0B1010000000040BB
+:1097D00081B20100000000FE96B00100000000FE12
+:1097E00096C00100000000F0F0B101000000004050
+:1097F00081B20100000000FE96C00100000000FEE2
+:1098000096C00100000000F0F0B10100000000402F
+:1098100081B20100000000FA96C00100000000FEC5
+:1098200096C001000030004B948801000000004603
+:1098300095F001000000004A96C001005E012E3440
+:10984000978401000200004BE4E501006401204020
+:10985000E1B101000900000786E4010000002EA725
+:1098600087C001001000001048C90100100000402E
+:10987000F199010058010043F0C9010058010005A9
+:10988000E0C901000000004461B10100A00000A493
+:1098900062DD01000F8BA84081320000000000054E
+:1098A00048B101001A0000409798010008002E40BE
+:1098B00095B00100178B204B946C00000000004015
+:1098C000F1B10100148B004195C000001080001020
+:1098D00042C901001E8B2240E36D000000000044DD
+:1098E00061B101004000001062DD01001A8BA84048
+:1098F00081320000AF8200881CB00000000000052B
+:1099000048B101009A94004081300100EA8A004089
+:1099100081B200000C80000342C90100000000F881
+:1099200086B00100000000F888B00100238B44409D
+:1099300081320000268BA24CFD7F0000278B004C5B
+:10994000FD930000288B20F0566F0000000000F00F
+:1099500056B3010000001C4081B2010000800010DD
+:1099600044C9010064000040F19901007000000545
+:10997000F0C9010000000043F0B101000000004701
+:1099800061B101002000001062DD01002E8BA844AF
+:10999000E0310000100000108CC80100008000467B
+:1099A00044C9010040000040F19901006801000530
+:1099B000F0C9010064000043F0C901000000004745
+:1099C00061B101000000004662B10100368BA8447D
+:1099D000E0310000AF8200881CB0000009000007E1
+:1099E00086E4010038002EA787C001008B002D05FA
+:1099F00048B101003E8B2243E77D00000000004497
+:109A000045C10100418B2244E77D00000000004C6D
+:109A100045C101000000004A19900100680120A220
+:109A2000E4B101008800004043990100458B230BFD
+:109A3000E56D000000000041199001000080001059
+:109A400044C9010050000040F19901005801004351
+:109A5000F0C9010058010005E0C901000000004400
+:109A600061B101000000001062B101004A8BA84002
+:109A700081320000AF8200881CB000005C002E051F
+:109A800048B101000080000342C90100000060F0FD
+:109A900096B001009A94004181300100EA8A0040AA
+:109AA00081B20000558BA249197C0000860000405D
+:109AB00047990100598B0040E5B1000086002F490D
+:109AC00019800100598BA2F2803200008B00004007
+:109AD0004799010000000042E79101005C8BA2461B
+:109AE000197C0000A000004047990100608B0040F5
+:109AF000E5B10000A0002F4619800100608BA2F2A2
+:109B0000803200008B0000404799010000000041B6
+:109B1000E7910100A80000404399010034002DF0B6
+:109B200024B00100000000FB0CB00100000000FBAD
+:109B300010B00100000000FB12B001000F0000F3A4
+:109B400016880100040000F314F401008B8B2640FA
+:109B500081320000738B220A166C000058003D43CE
+:109B600013E00100000000F882B00100040022F0C0
+:109B7000843000008795004081320100AF82008868
+:109B80001CB000000000000548B1010000000041C9
+:109B900013C00100728BA043136C00000000004052
+:109BA00013B00100688B004115D000008B8B220A96
+:109BB0008032000058003D4313E00100000000F82F
+:109BC00082B00100040022F084300000879500403C
+:109BD0008132010040002040E1B10100AF820088E5
+:109BE0001CB000000000000548B101008B8B224131
+:109BF000155000000000004111C001007F8BA04300
+:109C0000116C00000000004011B0010058003D43FD
+:109C100011E00100000000F836B00100040022F05D
+:109C2000003000000000005083B00100D9940047CC
+:109C300061310100AF8200881CB000004292000533
+:109C4000483101000000004561B1010040000010F2
+:109C500062DD0100878BA84081320000AF8200885E
+:109C60001CB000007B8B000548B10000370020408D
+:109C7000E7B101000B95005181300100EA8A0040F4
+:109C800081B2000034002E41F5B101000011004006
+:109C9000E5990100938B00481990000034002E4193
+:109CA000F5B1010000110040E599010000800003BA
+:109CB00042C90100000000F894B00100988B2245D1
+:109CC000237C0000B0002FF08CB00100000060F099
+:109CD0008CC00100900000404399010035002DF038
+:109CE0008CB0010058003E43E7E101009D8B224803
+:109CF000197C0000000000418DC001000000680ACE
+:109D00008CC0010038002A4AE0B1010028000000A0
+:109D1000E0C901003C00201BE0B1010010800003FD
+:109D200042C90100000000F838B00100000000F84E
+:109D300026B00100040022F802300000AB8B2301A2
+:109D4000146C0000000000F880B00100000000F872
+:109D500082B001004C0020F0E4B10100440020403A
+:109D6000E0B1010048002041E0B10100A8002D1041
+:109D700032B00100C39500F024300100B48BA2443E
+:109D8000816C0000B28B2241197C00006E93004070
+:109D90003B300100D88BA2083C300000B48B00405F
+:109DA00081B20000AB92004081320100D88BA20842
+:109DB0003C3000005000201CE0B101005400201392
+:109DC000E0B101004E002001E4B101004000200A92
+:109DD000E0B101000B95005F81300100EA8A00408C
+:109DE00081B2000037000040479901004D9300F315
+:109DF00094300100938B224A80320000C08B0040D7
+:109E000081B2000037000040479901004D9300F3F4
+:109E10009430010058003E4397E001000000001B11
+:109E2000F0B101001F006000008C0100EA8A85117A
+:109E3000803200000480000342C90100B0002FF00E
+:109E40008CB00100000060F08CC001000B95005F39
+:109E500081300100EA8A004081B20000CA8B0049CB
+:109E600019800000CF8B2241197C00006E930040C6
+:109E70003B300100D38BA2083C3000000B95005F03
+:109E800081300100EA8A004081B20000AB920040BC
+:109E900081320100D38BA2083C3000000B95005F9B
+:109EA00081300100EA8A004081B2000050002D108C
+:109EB00032B0010054002DF038B001004E002DF0FA
+:109EC00026B0010040002DF202B00100000000F0B9
+:109ED00014B00100300000108CC801000080004662
+:109EE00044C9010068012D4461B10100100068F20D
+:109EF00080C8010000000008F0B101005801000511
+:109F0000E0C901000000000B37B001000000004074
+:109F100036D001005C012E4010C001000000000698
+:109F200080C001000000005281D00100A0940040D8
+:109F3000E43101002000004662DD0100E48BA8400E
+:109F400023300000E592004081320100ED92004094
+:109F500081320100F28B82412340000020800010FA
+:109F600042C90100EF8B2240E36D00000000004673
+:109F700061B101004000001062DD0100EC8BA840DF
+:109F800081320000AF8200881CB000000000000594
+:109F900048B101000000001032B001000000004193
+:109FA00023B001000080001944C90100FA8B22414E
+:109FB000197C0000F68BA3010C6C0000F78B0006E7
+:109FC00004B000000000000104B00100F98B200281
+:109FD000366C00000000001B04B00100FD8B000285
+:109FE000E0B10000FC8BA3010C6C0000FD8B0006AF
+:109FF00004B000000000000104B00100000068028D
+:10A0000016940100FFFF000B16D80100000068083D
+:10A010003E9601000000001CF0B101000000004667
+:10A0200061B101002000001962DD0100028CA8135B
+:10A03000E0310000398C22021450000044002D024F
+:10A040000CD00100298CA20202500000108C225C6E
+:10A050001F7C00002080000342C901000F8C2240B9
+:10A06000E36D00000000004761B1010040000010F6
+:10A0700062DD01000B8CA84081320000AF820088B5
+:10A080001CB000000000000548B1010044002D5C38
+:10A090001F80010048002DF038B001004C002DF069
+:10A0A00026B0010038002FF202B001002A8C2201F4
+:10A0B000146C00001D8C22461F7C0000000000462E
+:10A0C0001F80010020002D0348B101001C8C22409C
+:10A0D000E36D00000000004461B101004000001089
+:10A0E00062DD0100198CA84081320000AF82008837
+:10A0F0001CB0000038002F0548B10100000000F836
+:10A1000094B0010038002DF096B001000000004C22
+:10A11000E1C101002000000348C901000000224AFB
+:10A12000F1B1010044000005F0C901000000004A3F
+:10A13000F0B101000000004BE0B101000000004759
+:10A1400061B10100A00000A462DD0100268CA85CC2
+:10A150001F1000002A8C000548B10000000000021A
+:10A1600038C00100348C220680320000000000500C
+:10A1700033C00100328CA202366C000004008F0D47
+:10A1800042310000100000F810C801000000005C1F
+:10A1900011800100F007004037980100E88B00A112
+:10A1A0001AB000000000000210C00100E88B00029D
+:10A1B00036D000005000201CE0B1010054002013F4
+:10A1C000E0B101004E002001E4B101004000200A8E
+:10A1D000E0B101003E8C005F01B0000037002D4669
+:10A1E00001B00100040000F380F401003D8CA043A5
+:10A1F000816C00000000005501B0010040002040CB
+:10A20000E1B101000080001942C90100448C2240E4
+:10A21000E36D00000000004661B10100400000193C
+:10A2200062DD0100418CA84081320000AF820088CD
+:10A230001CB00000EA920040813201003080001022
+:10A2400042C901004B8C2240E36D00000000004435
+:10A2500061B101004000001062DD0100488CA8409F
+:10A2600081320000AF8200881CB0000060012F0521
+:10A2700048B101000000000BE4B1010000000050F3
+:10A2800017F00100508C90F21640000000000041D1
+:10A2900017C001000000662017A40100320000A6CC
+:10A2A0002AC00100000000F22A940100538C4548A6
+:10A2B0006131000000D0001E62DD0100588C284092
+:10A2C00005300000548C2248777D00005B8C0040F4
+:10A2D00081B200000000001562B10100648C2840CA
+:10A2E00081320000588C004081B2000000001D0047
+:10A2F00092B00100618C2241197C000000800003B3
+:10A3000042C90100B09200F8003001005E8CA24109
+:10A310003B500000658C004900B00000FF07001EA4
+:10A32000008C0100B092004081320100658C004930
+:10A3300000B0000000001D4719800100688C225FFA
+:10A34000016C0000ED95004081320100C5870000DE
+:10A3500080B000006F8C225C1F7C00002080000316
+:10A3600042C901006F8C2240E36D000000000047ED
+:10A3700061B101004000001062DD01006C8CA8405A
+:10A3800081320000AF8200881CB000006F8C400555
+:10A3900048310000FFFF000794890100758C85CAD1
+:10A3A00094300000ED95185C1F0001000E00000FB6
+:10A3B0001E8C0100E686004081B200000B9518005B
+:10A3C00080300100EA8A0047198000000000004048
+:10A3D00019800100EA8A2247197C0000AB920040F4
+:10A3E000813201007C8CA20880320000EA8A0040A1
+:10A3F00081B20000A09400400D3001009C0100409B
+:10A4000045990100FFFF000B988801008B002D503B
+:10A4100017F00100828C904C1640000000000041B3
+:10A4200017C00100848C2243E77D00000000004437
+:10A4300045C101000000662017A40100680100402A
+:10A44000439901005C012EF280B0010002006240DD
+:10A450007ECD01000000005781C0010000002E10D9
+:10A4600048B1010003000040F08D01000000000829
+:10A47000F0B1010058010005E0C9010000000044EE
+:10A4800061B101000000001062B101008E8CA84093
+:10A4900081320000AF8200881CB00000000000057F
+:10A4A00048B10100928C454861310000005000081D
+:10A4B00062DD0100988C284005300000938C224812
+:10A4C000777D0000B0921D0800300100EA8A00404C
+:10A4D00081B20000EA8A1D47198000003500004063
+:10A4E00047990100010063F384C801009D8CA043DB
+:10A4F000856C00000000634085B00100A8000040AA
+:10A500004399010037002FF024B00100010063F3EC
+:10A5100082CC0100A88CA2419E060000EA8A224457
+:10A5200083700000360000404399010058003D430D
+:10A53000E7E10100EA8A1FF0246C0000ED95004875
+:10A5400081300100C5872341836C0000C587004727
+:10A5500081B0000058003D4385E00100000000F894
+:10A5600036B00100000000F000B0010028000040FB
+:10A5700083980100D994004761310100AF820088BF
+:10A580001CB0000000002D0348B1010008002DF0B0
+:10A5900094B00100000000F88EB0010090002DF092
+:10A5A00014B001000000000548B10100998BA240E1
+:10A5B0008F7C0000B68C22478F7C0000998B00486E
+:10A5C00019900000258D004081B2000036002D5DFD
+:10A5D00005B4010037002DF380B00100000000F346
+:10A5E0008EB001005C003D4381E00100A8002DF029
+:10A5F00094B00100000000F024B001002000001021
+:10A6000086DC01004080000344C90100B191004A8A
+:10A61000F031010036002F5C1F900100C48CA25065
+:10A620008F50000034002040E1B10100EA8A004070
+:10A6300081B200000000634181C00100C78CA043CB
+:10A64000816C00000000634081B0010037002047AA
+:10A65000E6B10100EA8A2247803200000400004788
+:10A660000CF401000000004F8F840100DC8C2247B5
+:10A670000C6C000058003D4381E00100DC8C1FF0B1
+:10A68000246C00000000005C1F80010000800010AE
+:10A6900042C90100D58C2240E36D00000000004556
+:10A6A00061B101004000001062DD0100D28CA840C1
+:10A6B00081320000AF8200881CB00000D58C42407F
+:10A6C00005300000000000449393010000001A5D73
+:10A6D00069930100DA8C23410D6C0000B78C0005F2
+:10A6E00048B10000ED95000548310100C5870048DC
+:10A6F00081B00000EA8A22408F6C00000B95005F59
+:10A7000081300100EA8A004081B20000A2000040CE
+:10A7100043990100000000F384B00100A6002D4918
+:10A7200019900100020000F280F40100B8002D40F1
+:10A7300081B20100000000F280C001000000004072
+:10A7400082F801001900004081980100EB8CA040C4
+:10A75000826C00002C01004081980100EB8CA3402A
+:10A76000826C00000000004180B00100ED8C204CA4
+:10A77000856C00000000004185C00100860020407B
+:10A78000E4B10100A2002042E6B10100EA8A0040E3
+:10A7900081B200009A94005081300100EA8A0040A2
+:10A7A00081B200000480000342C90100040022F0CD
+:10A7B00080300000000000408DB00100DF950040B7
+:10A7C00087300100B0002F5C1F900100000060F096
+:10A7D00080C001000B95005F81300100EA8A0040D3
+:10A7E00081B200000400004081B20000EA8A2246E3
+:10A7F000197C0000A000004047990100010062F2AE
+:10A8000096CC0100EA8AA640813200000B95004AEE
+:10A8100081300100E094004695300100EA8A004052
+:10A8200081B20000EA8A2249197C000086000040BB
+:10A8300047990100010062F280CC0100EA8AA6403B
+:10A84000813200000B95004A81300100E0940047FE
+:10A8500095300100EA8A004081B200004292004037
+:10A8600081320100EA8A005C1F900000EA8A004001
+:10A8700081B20000EA8A004081B20000BA000040C4
+:10A8800047990100010062F280C80100118D9040DB
+:10A8900080320000FFFF624081980100A400004068
+:10A8A00047990100EA8A2240E56D0000EA8A0041EA
+:10A8B000E5C100009A94004D81300100EA8A004011
+:10A8C00081B200005C00004047990100040022F0C2
+:10A8D0009630000000000040E1B10100008000035C
+:10A8E00044C901000000004BE0B10100000000403D
+:10A8F0008DB00100DF950040873001008B000040E3
+:10A9000047990100218D80F396300000000000403F
+:10A91000E78101000000004719900100EA8A005C0D
+:10A920001F900000340000404599010001000040E4
+:10A93000F599010000110040E5990100AB9200403B
+:10A9400081320100368DA2088032000037000040BD
+:10A9500047990100000000F382B00100000063513C
+:10A9600083D001003400004047990100010063F3E7
+:10A9700084CC01002E8D9F42803200000000634293
+:10A9800085B001000000004503F001000000000157
+:10A9900000C00100308D375C613100000000001BF9
+:10A9A00062B10100318DA84B1910000000000000B9
+:10A9B00062B10100338DA840813200001A87174030
+:10A9C00081B200000080000342C9010090002DF018
+:10A9D00094B00100AC002DF030B0010035002DF036
+:10A9E00028B0010058003E43E7E1010001000018D3
+:10A9F000F0C901000000004AE0B101003800200069
+:10AA0000E0B101003C00201BE0B10100400020400B
+:10AA1000E1B10100000000402BB00100EF940040C4
+:10AA20000D3001000000001816C00100458DA01473
+:10AA3000164400000000004117C001000E0000A2F3
+:10AA400044C9010000000018F8B10100B0002D1445
+:10AA5000F8B1010010500040879801004E8D224A45
+:10AA6000197C00000030004386C801000030000B54
+:10AA700016C801004E8DA440813200000000004144
+:10AA800017C0010001006E43869801002695003032
+:10AA900081300100528DA0411740000000000041AC
+:10AAA00017C00100598D224A197C0000080000A23D
+:10AAB00044C90100CC002DABF9B10100000000AB8E
+:10AAC00017C00100588DA0F016440000000000419E
+:10AAD00017C00100000064F082B001009000004047
+:10AAE000459901000000604131C00100BC000040F8
+:10AAF000439901005F8D060C80320000A00020F217
+:10AB0000E4B1010004000946191000009C01004056
+:10AB100045990100FFFF000B988801008B002D5024
+:10AB200017F00100648D904C1640000000000041B9
+:10AB300017C00100668D2243E77D0000000000443D
+:10AB400045C101000000662017A401006801004013
+:10AB5000439901005C012EF280B0010002006240C6
+:10AB60007ECD01000000005781C0010000002E10C2
+:10AB700048B1010003000040F08D01000000000812
+:10AB8000F0B1010058010005E0C9010000000044D7
+:10AB900061B101000000001062B10100708DA84099
+:10ABA00081320000AF8200881CB000000000000568
+:10ABB00048B10100748D4548613100000050000823
+:10ABC00062DD0100758DA8400530000035001D4094
+:10ABD00047990100010063F384C801007B8DA04305
+:10ABE000856C00000000634085B001003700004024
+:10ABF00047990100010063F382CC01008B00004003
+:10AC00004799010000000045E79101000B95005FA6
+:10AC100081300100EA8A004081B200003700004024
+:10AC2000479901004D9300F394300100258D224A8D
+:10AC300080320000C08B004081B20000370000402D
+:10AC4000479901004D9300F394300100908B224A04
+:10AC500080320000C08B004081B20000360000400E
+:10AC600043990100000000FB12B001000F0000F347
+:10AC700090880100040000F30CF40100BA8B220656
+:10AC8000906C00005C003D4313E00100A8002DF033
+:10AC900094B0010037002FF024B0010036002A5094
+:10ACA000E7D101000000634113C00100958DA0436E
+:10ACB000136C000000000040E7B10100AF910010EC
+:10ACC00086300100AF8200881CB00000978D4205DD
+:10ACD000483100000000004493930100BA8B1A5DD4
+:10ACE0006993000036002D1086B001005C003D43E2
+:10ACF000E7E10100A8002DF094B0010035002FF02D
+:10AD000024B0010001006BFB84C80100A28DA043A8
+:10AD1000856C000035002040E7B1010000000040D4
+:10AD200081B20100010063F312C80100A58DA043A8
+:10AD3000136C000000000040E7B1010040800003F8
+:10AD400044C90100B191004AF0310100AF8200888E
+:10AD50001CB00000A88D42054831000000000044EE
+:10AD60009393010000001A5D6993010037000040D1
+:10AD700047990100110063F382CC0100A18C2241AC
+:10AD80009E060000350000404399010058003D43F5
+:10AD9000E7E10100000000F836B00100AB8C00F0E4
+:10ADA00000B000005E012D0548B10100B38D65F2D1
+:10ADB0001230000000993F4213F00100B88D224785
+:10ADC000E77D0000F58275881CB00000B28D004060
+:10ADD00081B2000000000047E791010000007542C9
+:10ADE000199001007500004061990100BA8DA8B169
+:10ADF0000C3000003694001094300100AF820088BF
+:10AE00001CB000005E012E0548B10100C0A83D46FF
+:10AE10000DE001000000004097B00100C48D224009
+:10AE2000E16D00000400024197400000C18D005018
+:10AE300043C10000D08D224B803200000000624BE5
+:10AE4000129401000900000796E40100000000A729
+:10AE500097C001003000001094C801000080004A33
+:10AE60004499010000000042F1B101005E01004B75
+:10AE7000F0C901005E010005E0C9010000000044C6
+:10AE800061B101002000004A62DD0100CE8DA840C2
+:10AE9000813200000080001044C901000000005011
+:10AEA000F1B101000400000996E40100000068A867
+:10AEB00097C00100D4000005E0C901000000004473
+:10AEC00061B101000000001062B10100D68DA84000
+:10AED00081320000AF8200881CB0000000993F4220
+:10AEE00013F00100DA8D6540813200003F0000F36D
+:10AEF0009688010000000040E7B101000000755590
+:10AF000061B101000000000662B10100DE8DA840C1
+:10AF100081320000E38D224B803200000000004BA4
+:10AF200062B10100E18DA84081320000000000976D
+:10AF300013B001000000009697B00100E98D2009D0
+:10AF4000966C0000E98D1F0996240000F5820088A8
+:10AF50001CB00000E48D004081B200009A940057BC
+:10AF600081300100D58A000548B100002E00004064
+:10AF700043990100EF8D22F3803200009A94004241
+:10AF8000813001001A87004081B200000B95005209
+:10AF900081300100D58A0042198000009A94003A5D
+:10AFA000813001000B95005281300100D58A0040AC
+:10AFB00081B200000000004005B00100AD930040E8
+:10AFC00095300100D58A2240956C0000FA8DA24090
+:10AFD0001F7C0000B0920040813201001A870040BF
+:10AFE00081B200000480000342C90100000000F2A9
+:10AFF00002B0010058930052953001005F93004B5E
+:10B0000002B000001A87004081B200009495004011
+:10B0100095300100068EA20880320000068EA2162E
+:10B02000803200001A872242197C00000000004B89
+:10B03000199001009A94003A813001001A8700406B
+:10B0400081B20000002300A616B00100098E831E05
+:10B05000803200000008000B16DC01000000000038
+:10B060002AC00100E3940008803001000D8E005ECC
+:10B07000179000000495004361310100BD9100402C
+:10B080008D300100EB9400071614010000800010C1
+:10B0900042C90100158E2240E36D0000000000430C
+:10B0A00061B101004000001062DD0100128EA84075
+:10B0B00081320000AF8200881CB000008C94005EDA
+:10B0C00005100100B092004081320100198E220962
+:10B0D000803000000B95004013300100DA8A000533
+:10B0E00048B10000DD93004081320100D58A004064
+:10B0F00081B200000000004A1F900100208E224310
+:10B100003D7C000000000044199001000000004355
+:10B110003D800100218E00421990000014002D4551
+:10B120001F9001007D8E831E803200007D8E0044C2
+:10B1300019900000A292004081320100358EA208D1
+:10B1400080320000358EA21680320000318EA2427D
+:10B15000197C00000082000204DC0100A09800407D
+:10B160004799010030050041893001002E8EA2412F
+:10B17000197C0000B0920040813201001A87004023
+:10B1800081B2000058930015943001005F93004B8A
+:10B1900002B000001A87004081B20000DD93004039
+:10B1A000813201000000004B199001009A94003A8E
+:10B1B000813001001A87004081B20000388E22429F
+:10B1C000197C0000DD93004081320100398E00407F
+:10B1D00081B20000AD93004081320100658E2241B2
+:10B1E000197C0000C000001598C80100658EA00BF6
+:10B1F000996C00003000001080C801000080004001
+:10B200004499010000000050F1B10100000000036A
+:10B21000F0B101000000004261B1010000000040F7
+:10B2200062B10100418EA800E0310000AF820088C9
+:10B230001CB000000000000548B10100C00000156E
+:10B2400098C8010030002E0B99D0010000006A5010
+:10B2500099C00100C000620180CC01000C80000395
+:10B2600042C901002D002DF022B001000000004C69
+:10B2700080C001000000005C23800100D4003F4139
+:10B28000E7E101000B000011E4F501002F00204769
+:10B29000E7B50100528E230B816C00000000004FC7
+:10B2A000E59101000000000880B001000000000BE3
+:10B2B00003B001000000001502D00100E39400007B
+:10B2C0002A4001000000004361B10100400000106D
+:10B2D00062DD0100578EA84081320000AF820088F5
+:10B2E0001CB00000B092000548310100C000000110
+:10B2F00080CE0100638E2611003000001000000097
+:10B300002AC801000000000880B001000000000110
+:10B3100080C00100C00000409998010000000001B9
+:10B3200098D00100E394004C02300100C0000040BE
+:10B33000039801006A8E004081B2000030002F089F
+:10B3400080B00100C0000015F4C90100C000000178
+:10B35000E4CD0100C000004003980100E394000028
+:10B360002A4001006F8E22441F7C0000AC002F4059
+:10B3700013B0010000000001E0C10100B000004076
+:10B3800047990100708E0001E0D10000BD9100409E
+:10B390008D300100806300A616B00100EB94000719
+:10B3A000161401000080001042C90100788E22406E
+:10B3B000E36D00000000004361B101004000001097
+:10B3C00062DD0100758EA84081320000AF820088E6
+:10B3D0001CB000008C94005E051001007B8E2209D9
+:10B3E000803000000B95004081320100D58A0005B5
+:10B3F00048B100007D8E004A1F9000000000000050
+:10B4000010B0010024002D1510C0010028002DF0FF
+:10B4100016B0010022002DF026B0010014002FF21A
+:10B420000CB0010000000001E0D10100000000109C
+:10B4300032B001000000000B1BB0010004001F151A
+:10B440001A5000000000004023B00100000000017D
+:10B450002AB001004B94004035B000002F0020407E
+:10B46000E7B10100C18EA2451F7C00002400200B23
+:10B47000E0B1010028002013E0B101002200200605
+:10B48000E4B10100978E225C1F7C00000000005C8C
+:10B490001F8001003080001042C90100978E2240B9
+:10B4A000E36D00000000004761B1010040000010A2
+:10B4B00062DD0100938EA84081320000AF820088D7
+:10B4C0001CB000000000000548B101000080001918
+:10B4D00042C90100BA8E2240E36D0000A88E2242CC
+:10B4E000197C000005940040813201005792004011
+:10B4F00081320100B58E224B8032000000000043F3
+:10B5000061B101004000001062DD01009E8EA84084
+:10B5100081320000AF8200881CB00000A48E22415E
+:10B52000197C0000C692004011300100A58E000574
+:10B5300048B10000B092004081320100A78E22097C
+:10B54000803000000B95004081320100F9820040FC
+:10B5500005B0000005940040813201005392004084
+:10B56000813201000000004361B101004000001081
+:10B5700062DD0100AB8EA84081320000AF820088FE
+:10B580001CB00000B18E2241197C0000C692004020
+:10B5900011300100B28E000548B10000B0920040A9
+:10B5A00081320100B48E2209803000000B950040EA
+:10B5B00081320100F982004005B000000000004324
+:10B5C00061B101004000001062DD0100B68EA840AC
+:10B5D00081320000AF8200881CB00000000000052E
+:10B5E00048B10100BD8E2241197C0000C692004086
+:10B5F00011300100BE8E000548B10000B09200403D
+:10B6000081320100C08E2209803000000B9500407D
+:10B6100013300100DA8A004005B0000000800019F4
+:10B6200042C90100C88E2240E36D000000000043C3
+:10B6300061B101004000001062DD0100C48EA8402D
+:10B6400081320000AF8200881CB0000000000005BD
+:10B6500048B101000000004005B00100CC8E22413D
+:10B66000197C0000C692004011300100CD8E00050B
+:10B6700048B10000B09200408132010008002D0A5C
+:10B6800084B00100000000F082B0010014002040EE
+:10B69000E1B10100D28E031E80320000D38E004142
+:10B6A00087B000002100004087980100CE93004041
+:10B6B000813201000000005C1F900100D78E22093A
+:10B6C000803000000B95004013300100DA8E2244D8
+:10B6D000197C00000B95004F8130010000000044F0
+:10B6E00019800100D58AA24A1F7C0000DA8A004036
+:10B6F00081B20000BA002040E5B10100E08E9C1745
+:10B7000080320000CC000040439901009D9500402C
+:10B71000813201004495004013300100C000004018
+:10B7200043990100C4002DF082B00100789500F02B
+:10B7300084300100B092004081320100DA8A22098F
+:10B74000803000000B95004013300100DA8A004081
+:10B7500081B200002E00004043990100EC8E22408F
+:10B76000E76D00003200004043990100F48EA240D2
+:10B77000E56D00009A930040813201002400200B07
+:10B78000E0B1010028002013E0B1010022002006F2
+:10B79000E4B101001400200AE0B10100DA8A2209B4
+:10B7A000803000000B95004013300100DA8A004021
+:10B7B00081B200009A93004081320100539300400F
+:10B7C00081320100028F2241197C00000000000B31
+:10B7D00099B0010004001F1598500000028F20014D
+:10B7E000986C00007000000348C9010000002E465C
+:10B7F0001F90010000000050F1B1010000000003A3
+:10B80000F0B101000000004261B10100A00000A4FD
+:10B8100062DD0100FF8EA800E0310000000000059D
+:10B8200048B10100AC002F0010B001000000000181
+:10B83000E0C1010014002F1510C001000000000A33
+:10B8400080B001000000600180D0010000000047CE
+:10B8500019900100848E2209803200000B950009A6
+:10B8600080300100848E004013B00000008000038F
+:10B8700042C90100000000F082B001001300004046
+:10B88000879801000000004C43C10100CE9300F0F6
+:10B8900084300100D58A005C1F9000002C002040FD
+:10B8A000E7B101002D002040E7B10100D58A004238
+:10B8B00019800000C093004081320100E0940048EC
+:10B8C000953001000000004561B10100400000100A
+:10B8D00062DD0100178FA84013300000AF8200889E
+:10B8E0001CB000001D8F000548B100001C8F0040F7
+:10B8F00013B000000000000012B00100080000407A
+:10B900004399010014002DF082B00100040022F0E0
+:10B91000843000001300004087980100CE9300405F
+:10B92000813201000000005C1F900100358F00098A
+:10B9300000B00000D58A8742191000008B002F4705
+:10B9400019800100D58A0040E79100002F000040D7
+:10B9500047990100338F2247E77D00003492004071
+:10B96000E7310100338F2200803200002E8FA24089
+:10B970001F7C0000B092004081320100338F0040F4
+:10B9800081B20000300000404399010032002DF2E6
+:10B9900094B00100589300F2023001005F93004B15
+:10B9A00002B000000000000548B10100348F0040E3
+:10B9B00001B000000000004005B001003A8F2200F5
+:10B9C00080320000398FA242197C0000AD93004004
+:10B9D000813201003A8F004081B20000DD930040C7
+:10B9E00081320100C68F225C1F7C00000000005CD9
+:10B9F0001F8001000080001042C90100428F2240D8
+:10BA0000E36D00000000004561B10100400000103E
+:10BA100062DD01003F8FA84081320000AF820088C4
+:10BA20001CB00000C68F000548B10000A292004083
+:10BA300081320100498FA20880320000498FA2168E
+:10BA4000803200009A94004D813001000082000293
+:10BA500004DC01001A87004081B20000740000403D
+:10BA600043990100000000F882B00100000000F0DE
+:10BA700084B001000000004196B00100578F2242BF
+:10BA8000961400000080001044C901006400684062
+:10BA90009798010000000041F0B101000000004251
+:10BAA000F0B1010070000005E0C901000000004590
+:10BAB00061B101002000001062DD0100548FA84038
+:10BAC000813200000000005C1F9001000000004572
+:10BAD00061B101004000001062DD0100588FA85CD8
+:10BAE0001F000000AF8200881CB000005E012D0521
+:10BAF00048B101005C8F65F21230000000993F42AE
+:10BB000013F00100618F2247E77D0000F582758800
+:10BB10001CB000005B8F004081B2000000000047B5
+:10BB2000E79101000400750996E40100008000100F
+:10BB300044C9010000000044F1B10100000068A800
+:10BB400097C0010000000003E0B101000080000385
+:10BB5000449901000000004461B1010000000010A0
+:10BB600062B10100698FA840E1310000AF82008816
+:10BB70001CB0000000993F4213F001006D8F650575
+:10BB8000483100003F0000F39688010000000040AB
+:10BB9000E7B101000000754081B20100758F224BB2
+:10BBA000803200000000005561B101000000004B30
+:10BBB00062B10100738FA8408132000000000007CD
+:10BBC00016B001000062000B16DC01003492004048
+:10BBD000813201008D8F220080320000E393005FEC
+:10BBE00001100100778F2240956C0000008000104A
+:10BBF00044C9010000000050F1B101000000000341
+:10BC0000F0B101000000004261B10100000000102D
+:10BC100062B101007F8FA800E0310000AF82008890
+:10BC20001CB000000000000548B1010004800003C2
+:10BC300042C90100000000F202B001005893005216
+:10BC400095300100B092004081320100778F22418F
+:10BC5000975000000C80000342C90100000000F072
+:10BC600000B001000000005C018001005F93004B08
+:10BC700002B00000778F000548B10000EB9400404F
+:10BC8000033001001780000344C9010000F0000CDC
+:10BC9000968801000000634C97F0010010800003BB
+:10BCA00044C90100000000ABE1B101008C94005ECA
+:10BCB00005100100030000071AF401000700000747
+:10BCC0001688010000B5000D46C90100978F30406D
+:10BCD000813200000000000BE681010000B7000D7A
+:10BCE00046C901000000000BE68101001000100FA2
+:10BCF00094F401009304005F95040100399300401F
+:10BD000081320100A18F2250FD7F00009F8F4640AD
+:10BD10008132000000001E4131D3010000002E05D9
+:10BD200048B1010000000040E1B101000000004006
+:10BD30000FB001009B920041813001001A87004042
+:10BD400081B20000A292004081320100B38FA208AC
+:10BD500080320000B38FA216803200000082000201
+:10BD600004DC01000000004503F0010000000001B8
+:10BD700000C00100AC8F375C613100000000001B87
+:10BD800062B10100B08F284081320000AD8F0040C9
+:10BD900081B200000000000062B10100B08FA84035
+:10BDA000813200001A87174081B2000074002240DF
+:10BDB000F1B1010000000040E1B10100E094004A4F
+:10BDC00095300100C093005C1F100100498F0040B6
+:10BDD00081B200002F00004047990100C48F224724
+:10BDE000E77D000034920040E7310100C48F22005B
+:10BDF00080320000BF8FA2401F7C0000B092004044
+:10BE000081320100C48F004081B200003000004048
+:10BE10004399010032002DF294B00100589300F2D2
+:10BE2000023001005F93004B02B0000000000005EB
+:10BE300048B10100E094004895300100C093005CD7
+:10BE40001F100100C98F8742191000008B002F4777
+:10BE50001980010000000040E79101000B950042AD
+:10BE600081300100D58A004081B20000C0930040BB
+:10BE700081320100D58A005C1F900000BA0020408A
+:10BE8000E5B101004495004081320100C00000404E
+:10BE900043990100C4002DF082B00100789500F0B4
+:10BEA00084300100B0920040813201000B950045C2
+:10BEB00081300100D58A2242197C00009A94003A10
+:10BEC00081300100D58A004081B2000004000040AA
+:10BED00081B20000A292004081320100DE8FA208F0
+:10BEE00080320000DE8FA216803200009A94004754
+:10BEF000803001000082000204DC01001A8700404B
+:10BF000081B200001080000344C9010000E100A6D6
+:10BF100084B0010000000040F1B1010000000040C9
+:10BF2000F1B1010000006007849401008C94005E70
+:10BF300005100100D58A004081B200008A0000404F
+:10BF400047990100B0920041E7410100DA8A0040C0
+:10BF500081B200009A930040813201005393004067
+:10BF600081320100000000012CB00100000000152A
+:10BF700010B001000000000010C0010004001F0A02
+:10BF80002C5000000000001032B001001E95000689
+:10BF900004300100F68FA2481F7C0000F48F844813
+:10BFA0001F100000AC00004047990100F68F000A06
+:10BFB000E0C100000000000A02B00100BD910001D4
+:10BFC0008C3001000000004361B10100400000100E
+:10BFD00062DD0100F78FA84081320000AF82008847
+:10BFE0001CB000000000000548B101000000000284
+:10BFF00010C0010004902202145000000894004573
+:10C000001F000100EE8F225C1F7C00000000004733
+:10C0100061B101004000001062DD01000090A85CE9
+:10C020001F000000AF8200881CB00000EE8F0005EA
+:10C0300048B100000000000B1BB0010008002D40BB
+:10C0400085B00100000000F082B001000000004057
+:10C0500005B00100CE93004187300100000000458B
+:10C0600061B101004000001062DD01000A90A840AB
+:10C0700081320000AF8200881CB000000000000583
+:10C0800048B1010010902209803000000B9500405B
+:10C090001330010014902244197C00000B95004FCE
+:10C0A000813001001490A2471F7C00000000004472
+:10C0B00019800100FF070008008C01002290224A2D
+:10C0C0001F7C00001A90A21602300000B0920040BF
+:10C0D000813201002F002040E7B10100D58A0040E5
+:10C0E00081B200002D002D082AB001001E902242CE
+:10C0F000197C0000DD930040813201001F90004058
+:10C1000081B20000AD9300408132010030002E006A
+:10C110002AD0010032002A15E4B10100D58A0016A8
+:10C12000E4B1000035902216023000000000000843
+:10C130002AB0010094950040953001002790A2405C
+:10C14000116C0000369022402D6C0000AC000040C5
+:10C1500047990100B0002B01E0C10100002B00A6AF
+:10C1600016B0010000000001E0D10100E3940008D6
+:10C17000803001002E90005E17900000049500436F
+:10C18000613101000000004361B101004000001076
+:10C1900062DD01002F90A84081320000AF8200884C
+:10C1A0001CB000000000000548B10100EB9400073E
+:10C1B000161401008C94005E05100100B09200403E
+:10C1C000813201002F002040E7B10100DA8A0040EF
+:10C1D00081B200000000000B1BB0010004001F151D
+:10C1E0001A500000439020161A6C000070000003E3
+:10C1F00048C9010000002250F1B101000000000315
+:10C20000F0B1010000000000E0B1010000000042B8
+:10C2100061B10100A00000A462DD01004090A846C9
+:10C220001F1000000000000548B1010000000000E0
+:10C2300010B001000000001510C001000000000A4D
+:10C240002AB001000000000A2CD00100AC002F40F1
+:10C2500023B001004A9084451F1000004B90000A53
+:10C26000E0C100000000000A02B001004B94004051
+:10C2700035B000000080001942C9010053902240EF
+:10C28000E36D00000000004361B1010040000010B8
+:10C2900062DD01004F90A84081320000AF8200882B
+:10C2A0001CB000000000000548B101006390A2022C
+:10C2B0001A500000649022402D6C00000080001095
+:10C2C00044C9010000000050F1B10100000000036A
+:10C2D000F0B10100FF070008E08D010000000042FE
+:10C2E00061B101000000001062B101005A90A84045
+:10C2F00081320000AF8200881CB000000000000501
+:10C3000048B101002F002047E7B501000C80000371
+:10C3100042C90100100000F010C80100F007004001
+:10C320001B9801006490005C118000000000000276
+:10C3300010C00100C69200401F000100000000056F
+:10C3400048B101006890230D2C6C000000000040F3
+:10C350001F900100719022461F7C000000000046E3
+:10C360001F8001007080000342C9010071902240CB
+:10C37000E36D00000000004261B1010040000010C8
+:10C3800062DD01006D90A84081320000AF8200881C
+:10C390001CB000000000000548B1010008002D405D
+:10C3A00085B00100000000F082B0010000000040F4
+:10C3B00005B00100CE930041873001000000004528
+:10C3C00061B101004000001062DD01007690A840DC
+:10C3D00081320000AF8200881CB000000000000520
+:10C3E00048B101007C902209803000000B9500408C
+:10C3F0001330010080902244197C00000B95004FFF
+:10C40000813001008090A2471F7C000000000044A2
+:10C4100019800100FF070008008C01009590224A56
+:10C420001F7C00008690A21602300000B0920040EF
+:10C43000813201002F002040E7B10100D58A004081
+:10C4400081B200002D002D082AB0010091902242F7
+:10C45000197C00008A90A2F384300000000000A53F
+:10C4600085B001000000004185D00100D4003E41AC
+:10C4700085E001008E9022401F7C00000000005AE1
+:10C48000119001000B000008E4F50100DD9300406D
+:10C49000813201009290004081B20000AD930040D3
+:10C4A0008132010030002E002AD0010032002A150E
+:10C4B000E4B10100D58A0016E4B100009890A216FC
+:10C4C00002300000B092004081320100E79000404D
+:10C4D00081B200002D002D082AB00100A69022474D
+:10C4E0001F7C0000A2902242197C00009D90A2F3C4
+:10C4F00084300000000000A585B00100000000416C
+:10C5000085D00100D4003E4185E00100A190224089
+:10C510001F7C00000000005A119001000B00000871
+:10C52000E4F5010058012D002AD0010060012DF032
+:10C5300010B00100000000F02CB00100358E00406A
+:10C5400081B200009495004195300100AE90A208A0
+:10C5500080320000AE90A216803200000000004140
+:10C5600097B00100AC90230D026C00000000004168
+:10C5700097C001005F93004B02B00000E7900005F8
+:10C5800048B10000AC002F0114B00100B0002B0135
+:10C59000E0C10100002B00A616B001000000000160
+:10C5A000E0D10100BE90230D026C0000008000105D
+:10C5B00044C9010000000050F1B101000000000377
+:10C5C000F0B101000000004261B101000000001064
+:10C5D00062B10100B790A800E0310000AF8200888E
+:10C5E0001CB000000000000548B101000C800003F1
+:10C5F00042C90100100000F022C801000000005CE8
+:10C60000238001000000000184B00100C190230DCF
+:10C61000026C00000000000D02B0010000000008E4
+:10C6200080B00100C69022401B6C0000E394000122
+:10C6300084500100CE902240856C00000000000173
+:10C6400080C001001080001046C901000000004FAA
+:10C650004381010000000042F0B1010020000040D1
+:10C66000F0C9010000000016F0B101000000004315
+:10C6700061B10100A00000A162DD0100CC90A81111
+:10C68000E0310000DD90005E17900000D190230D96
+:10C69000026C00000000000D02B00100000000016B
+:10C6A00084D00100D69022401B6C0000049500430A
+:10C6B00061310100DD902240856C00000000000126
+:10C6C00012C001001080001046C901000000004F98
+:10C6D0004381010000000042F0B1010000000009A8
+:10C6E000F0B1010000000018F0B10100A00000A1AD
+:10C6F00062DD0100DB90A811E03100000000004382
+:10C7000061B101004000001062DD0100DE90A80A66
+:10C7100002300000AF8200881CB00000B09200051B
+:10C7200048310100E590230D026C0000FF07001165
+:10C73000008C0100B092004081320100EB940007B0
+:10C74000161401008C94005E051001002F0020409B
+:10C75000E7B10100DA8A004081B2000000800003E6
+:10C7600042C90100000000F882B00100000000F89A
+:10C770008CB00100000000F08EB0010097930040E3
+:10C78000133001000000004085B00100CE9300414D
+:10C790008730010053930040813201000080001077
+:10C7A00042C90100F8902240E36D000000000045FE
+:10C7B00061B101004000001062DD0100F490A8406A
+:10C7C00081320000AF8200881CB00000000000052C
+:10C7D00048B10100FA902209803000000B9500401A
+:10C7E000133001000000000B1BB001000000001519
+:10C7F0001AD001000191A241197C000094950040DB
+:10C80000953001000000001680B201000A9127084F
+:10C8100080320000279000002AC00000949500415B
+:10C82000953001000000001680B201000591270834
+:10C8300080320000AE9000002AC0000000000041DD
+:10C8400097B001000891230D026C00000000004128
+:10C8500097C001005F93004B02B00000000000058C
+:10C8600048B10100D58A2242197C00009A94003A0E
+:10C8700081300100D58A004081B200000E91004A4B
+:10C880001F900000D8920000103001000000001539
+:10C8900010C001000000001032B001001E9500061B
+:10C8A000043001001791A2441F7C00000000000B1F
+:10C8B0001BB001000000000A2CD001000000000A9B
+:10C8C00002B00100BD9100018C3001000080001910
+:10C8D00042C901001E912240E36D000000000043A8
+:10C8E00061B101004000001062DD01001A91A84012
+:10C8F00081320000AF8200881CB0000000000005FB
+:10C9000048B101000000000210C00100279122027E
+:10C9100014500000089400451F0001001091225C93
+:10C920001F7C00000000004761B1010040000010C2
+:10C9300062DD01002391A85C1F000000AF82008827
+:10C940001CB000001091000548B1000008002D4007
+:10C9500085B00100000000F082B00100000000403E
+:10C9600005B00100CE930041873001000000004572
+:10C9700061B101004000001062DD01002C91A8406F
+:10C9800081320000AF8200881CB00000000000056A
+:10C9900048B1010032912209803000000B9500401F
+:10C9A0001330010035912244197C00000B95004F93
+:10C9B000813001000000004419800100FF070008D9
+:10C9C000008C01004391224A1F7C00003B91A2167B
+:10C9D00002300000B0920040813201002F00204060
+:10C9E000E7B10100D58A004081B200002D002D087A
+:10C9F0002AB001003F912242197C0000DD930040E3
+:10CA0000813201004091004081B20000AD930040AE
+:10CA10008132010030002E002AD0010032002A1598
+:10CA2000E4B10100D58A0016E4B100002390A216FB
+:10CA300002300000B0920040813201002F002040FF
+:10CA4000E7B10100DA8A004081B20000D892004AC2
+:10CA50001F1001003890001032B000008A00204002
+:10CA6000E7B101004D91A241197C0000B092004055
+:10CA7000813201005091004081B2000058930015AE
+:10CA8000943001005F93004B02B0000000000005ED
+:10CA900048B1010052912242197C00009A94003A58
+:10CAA000813001000B95004581300100D58A00409E
+:10CAB00081B20000F48E00451F9000009A93004060
+:10CAC000813201005393004081320100389000010F
+:10CAD0002CB00000A2920040813201006591A208B2
+:10CAE000803200006591A2168032000000820002B0
+:10CAF00004DC01000000004503F00100000000011B
+:10CB000000C001005E91375C613100000000001B35
+:10CB100062B1010062912840813200005F910040C3
+:10CB200081B200000000000062B101006291A840E3
+:10CB3000813200001A87174081B200005801200896
+:10CB4000E0B1010060012016E0B101009A930047B6
+:10CB50001F10010053930040813201003890000102
+:10CB60002CB00000A29200471F1001007891A2088B
+:10CB7000803200007891A216803200007491A242A7
+:10CB8000197C00000082000204DC0100A098004033
+:10CB90004799010030050041893001005893001584
+:10CBA000943001005F93004B02B000001A870040F0
+:10CBB00081B20000DD930040813201000000004B93
+:10CBC000199001009A94003A813001001A870040C0
+:10CBD00081B2000058012008E0B101006001201678
+:10CBE000E0B10100D89200103230010038900040CE
+:10CBF00013B00000A2920040813201008991A20886
+:10CC0000803200008991A21680320000008200026A
+:10CC100004DC01000000004503F0010000000001F9
+:10CC200000C001008291375C613100000000001BF0
+:10CC300062B101008691284081320000839100405A
+:10CC400081B200000000000062B101008691A8409E
+:10CC5000813200001A87174081B200000080000373
+:10CC600042C90100000000F882B00100000000F895
+:10CC70008CB00100000000F08EB0010097930040DE
+:10CC8000133001000000004085B00100CE93004148
+:10CC90008730010053930040813201000080001072
+:10CCA00042C9010098912240E36D00000000004558
+:10CCB00061B101004000001062DD01009491A840C4
+:10CCC00081320000AF8200881CB000000000000527
+:10CCD00048B10100358E2209803000000B950040DC
+:10CCE00013300100358E004081B2000014002D4544
+:10CCF0001F9001007D8E004419900000A091A24178
+:10CD0000197C00000000004A1F900100E88F0040DD
+:10CD100081B200009A93004A1F1001005393004013
+:10CD200081320100389000012CB00000D892004000
+:10CD3000813201003890001032B00000F48E0045BE
+:10CD40001F9000000000004137C3010000000041B7
+:10CD500033C301003600000102CC01000000D240C4
+:10CD600081B20000AC9185178032000000009F481E
+:10CD700003D00000AE919C178032000000009F4C51
+:10CD800003D000000000800134C301004080000394
+:10CD900044C901000000004AF0B101000000004059
+:10CDA000F1B1010000000012F0B10100B4920041A5
+:10CDB000E13101000080004344C90100100000403F
+:10CDC000F199010000000048F0B1010000000049A5
+:10CDD000F0B1010040000003E0C90100000000457F
+:10CDE00061B101000000004362B101000000A840F1
+:10CDF00081B20000BA91004081B20000BA00204028
+:10CE0000E5B10100B0002F018CD001000000004608
+:10CE1000E0C10100AC002F4013B00100CC002D0197
+:10CE2000E0C10100C4919C17803200009D95004034
+:10CE300081320100C6912247197C00000000005F8A
+:10CE4000139001004495004719100100C0002D44C3
+:10CE50001F900100C4002DF082B00100789500F011
+:10CE600084B0000090002D0548B10100DB91A24B79
+:10CE70001F7C00002E92A24C1F7C0000DB911F1C27
+:10CE8000E06D0000DE91A20180320000A8002D4676
+:10CE90008FB00100D4911F1CE06D0000B400004071
+:10CEA00043990100D69122F03A6C00002B921FF0BA
+:10CEB0003A6C00000000A24080B200000000804FE9
+:10CEC0008FB001008A000040439901002C9220425B
+:10CED000E76D0000DA9122408032000000008059A6
+:10CEE0008FB00100000080588FB00100DD9122401A
+:10CEF000803200000000805C8FB001000000805B89
+:10CF00008FB00100AC00004043990100B0002DF04B
+:10CF100084B00100E291A242246C0000EB9123F066
+:10CF2000026C0000E891A2F0803200002D92A24233
+:10CF3000246C00002D92A241036C0000E791A240F6
+:10CF400080320000000080518FB00100000080524C
+:10CF50008FB001002D921F12845000002D92A0016D
+:10CF6000846C0000DB91004081B200008B00004027
+:10CF7000439901001692A246E77D0000140000408C
+:10CF800043990100089222F014300000F491200A25
+:10CF9000026C00000592031E80320000F391A24053
+:10CFA00080320000000080448FB001000000804902
+:10CFB0008FB00100F991220A026C0000FC91A2419D
+:10CFC000197C0000F891A2408032000000008055DA
+:10CFD0008FB00100000080568FB00100FB91A2408D
+:10CFE00080320000000080438FB0010000008048C4
+:10CFF0008FB001000000000182B001000000000AB3
+:10D0000082D0010002922091836C00000192A24024
+:10D0100080320000260080408F9801002700804069
+:10D020008F9801000492A240803200001F008040CF
+:10D030008F980100200080408F9801000792A24045
+:10D0400080320000220080408F9801002300804041
+:10D050008F98010088002D448FB001001192A241E9
+:10D06000197C00000E92A2433D7C00000E92A2F2B9
+:10D07000026C00000000A24080B200000000804965
+:10D080008FB001001092A240803200000000804367
+:10D090008FB00100000080488FB001000E92A09177
+:10D0A000036C00000C9222433D7C00001592A240CC
+:10D0B00080320000280080408F98010029008040C5
+:10D0C0008F98010014000040439901001F92A2F0C4
+:10D0D0001430000088002D448FB001001C92A2F291
+:10D0E000026C00000000A24080B2000000008049F5
+:10D0F0008FB001000E922241197C00000C92209109
+:10D10000036C00000E92004081B200002392200ABE
+:10D11000026C00002292A240803200000000804495
+:10D120008FB00100000080498FB001002892220AD0
+:10D13000026C0000FC91A241197C00002792A240E1
+:10D1400080320000000080558FB001000000805642
+:10D150008FB001002A92A24080320000000080437C
+:10D160008FB00100000080488FB001003092004372
+:10D1700095B000003092004195B00000309200421E
+:10D1800095B000003092004495B000003092004C01
+:10D1900095B00000E0940040813201003392A2403B
+:10D1A000803200000000804B8FB001000000804CF6
+:10D1B0008FB001002D000040439901002E002FF395
+:10D1C00084B001003892A2F3963000000000804045
+:10D1D00001B001002D002A41E7D10100D4003D41FA
+:10D1E00085E001000B0000F200E401003E92225AAB
+:10D1F000017C0000000000401F9001003F92005A97
+:10D2000001800000000000401F8001000000634119
+:10D2100085C001000000A0A5856C01000000E3406E
+:10D2200085B001000C80000342C9010012000040DB
+:10D2300087980100DF9500F08CB000004C922240EE
+:10D240000F6C000000002F0548B101004992A24B6D
+:10D25000197C00004A9222F0186C00000000604B1C
+:10D26000199001001693000710300100F982004068
+:10D2700005B000004E92225A1F7C00009B92004095
+:10D2800081300100F982004005B0000000002F0548
+:10D2900048B101000000604B19900100169300078F
+:10D2A00010300100F982004005B0000000002F0599
+:10D2B00048B101000000604B19900100169300076F
+:10D2C000103001000000804005B00100579233404B
+:10D2D000813200005A92A1AD95200000689213405F
+:10D2E00081B200000000134A5A8301003000394522
+:10D2F00095E001001F00000F5ED801000000005AF9
+:10D300005F9001000000004045B0010000000004F3
+:10D3100048B00100000000054AB001000000000C08
+:10D3200058B00100000000074EB00100A884004082
+:10D330005D9801000000005861B101000000004A42
+:10D3400062B101000000A84197B000006592004062
+:10D3500081B200000000804097B001006992600730
+:10D3600096300000FFFF004B84890100000070C26E
+:10D3700024B001007392A245257C00006D923120FB
+:10D380008530000074922212487F00005804111268
+:10D39000480301001000001296E401000000004B59
+:10D3A0001E9401000000805A1F90010073923140CA
+:10D3B00081320000000000B424B0010074922212F7
+:10D3C000487F0000580400408132010000002F0512
+:10D3D00048B1010081920BF084300000000011126E
+:10D3E000488301007E922250857000005E0100405B
+:10D3F00043990100419400F2963001009304001219
+:10D40000943001000000005A1F900100100000122B
+:10D4100096E401000000804B1E94010010000042C1
+:10D4200010F4010000B73F4311F0010007000008AD
+:10D430008A880100849230A10C3000008792224536
+:10D44000E67D00007492104081B2000000002A4581
+:10D45000E691010000001012488301000000114015
+:10D4600081B201000000604B858001005E01004038
+:10D4700043990100419400F29630010000800010B1
+:10D4800044C90100D8000040819801002E002D05FC
+:10D4900048B1010092922240E76D000080000040F8
+:10D4A00080C8010000000040F0B101000900000840
+:10D4B00086E40100000068A787C001000000004466
+:10D4C00061B101000000001062B101009692A80550
+:10D4D000E03100001000001296E401000014004B3F
+:10D4E00096DC01000000804B1E9401001000000F2C
+:10D4F00084F401001F000042848801009F922240B2
+:10D5000080320000A092004268B10000000000429A
+:10D510006AB10100A092315A1F0000000000914240
+:10D5200048930100A2923540813200006D00004016
+:10D5300061990100A89228B12C300000A392224DDD
+:10D54000757D0000000000402DB0010000009540F6
+:10D5500011B001006D00004061990100A892A8B1CE
+:10D56000103000000000954081B201007F000040B3
+:10D5700061990100AF9228B110300000AB929FBAC0
+:10D58000803200000000804011B0010000008024C3
+:10D59000118401000000005F61B101000010000073
+:10D5A00062DD01000000A84081B20000B19200409D
+:10D5B00081B20000AC94004047990100B59232401E
+:10D5C00081320000BB9222F896300000000000F883
+:10D5D00090B00100000000F092B001000100004B8B
+:10D5E000F0CD010020009248E0C901006C0000402D
+:10D5F00061990100BF9228B192300000BB92224C89
+:10D60000757D00000400124091B000006C000040E5
+:10D6100061990100BF92A8B190300000FF0000485E
+:10D62000968801000000004B90D001000100004BE3
+:10D63000F0CD010020000048F0C90100000092492F
+:10D64000E0B101000C002D1048B10100FF070008F7
+:10D65000828C0100FF0700F0008C01000000A24155
+:10D6600000EC0000CC92221A006C0000B092000086
+:10D67000343001000000005049C10100C892A241AD
+:10D68000235000000000804081B201000C002D10EA
+:10D6900048B10100FF070015828C0100FF0700F070
+:10D6A000008C01000000A24100EC0000D592220D88
+:10D6B000006C0000B09200001A3001000000005021
+:10D6C00049C10100D192A2412350000000008040D6
+:10D6D00081B20100DA92831E803200000000004413
+:10D6E0001990010024002D012CB0010028002DF01C
+:10D6F00016B0010022002DF026B0010014002FF218
+:10D700000CB0010000008040E1B101003000004099
+:10D710009798010060972E4081B201000000004000
+:10D72000F1B10100E192A2419750000064973E439D
+:10D730009DE0010000008040E1B1010064973E439C
+:10D740009DE001000000800BE8B1010064973F43B9
+:10D750009DE00100000000F016C0010000008040C4
+:10D76000E1B1010064973F439DE00100000000F437
+:10D7700016B0010000008040E1B1010060173D4398
+:10D780009DE00100100080A116E4010000B5000D2D
+:10D7900042C90100F092304717040000F392A20B37
+:10D7A000E67D00000000904281B0010000B7000D4E
+:10D7B00046C90100F792A20BE67D00000000000BB5
+:10D7C000E69101000000904181B00100000010408E
+:10D7D00081B20100F8924007963000009D0400409D
+:10D7E000813201000293A245957C000001973F41E0
+:10D7F00095E00100000000F396B001000000004E2B
+:10D80000E6B1010040973E4097E001000000004E65
+:10D81000E6B1010040973E409DE001001593003BBA
+:10D82000E7B1000002933040813200000C93A20B5C
+:10D83000E67D000000B5000D46C901000893A20B6B
+:10D84000E67D00000000104081B201000000984217
+:10D8500081B0010000B7000D46C901000000000BB7
+:10D86000E69101000000104081B2010000009841E3
+:10D8700081B00100040021A2952000000000104AA0
+:10D880004483010000973E4195E001000000004EF6
+:10D89000F6B101000000004EE6B1010040973E40A5
+:10D8A0009DE001000000003BE7B101000000004ADC
+:10D8B00090B10100FFFF000792890100000098402D
+:10D8C00081B001000300000886F4010000B70043A6
+:10D8D00046C901000700000882880100199340082A
+:10D8E000963000009D0400408132010025932245BE
+:10D8F000957C00002193225A1F7C00001000000F2D
+:10D9000096F401001E93315F970400000000114B54
+:10D91000489301000000004B6AB1010021933040A0
+:10D920008132000000000041E6810100000010404B
+:10D9300081B201000000984081B2010000973F4190
+:10D9400095E00100000000F396B0010040973D40D3
+:10D9500097E00100000063F388B001002D93A23B23
+:10D96000896C00000000004A90B10100010000A68F
+:10D9700092B101002E93184A449300000000184011
+:10D9800081B201003000394597E001003393225AFB
+:10D990001F7C00001F04000F98D801000000004CFD
+:10D9A0005E940100359300054AB000001F0400A7F3
+:10D9B0005E840100000000404BB0010000000058F0
+:10D9C00061B101000000004B62B101000000A840FD
+:10D9D00081B200003693004081B2000039934007C5
+:10D9E000963000009D040040813201003D932245A5
+:10D9F000957C00000000984081B201009B04004A21
+:10DA00004413010000973F4195E00100000000F33E
+:10DA100096B0010040973D4097E00100000063F39D
+:10DA200088B001003000384597E001000000005F39
+:10DA30000F9001000000005861B101000000004B90
+:10DA400062B101004593A840813200003E93A23BA1
+:10DA5000896C0000300038459DE0010000009840CE
+:10DA600081B2010093040012943001001693005A11
+:10DA70001F0001000000805A1F9001001100004AA1
+:10DA8000E6C9010034002F4F95840100000000F327
+:10DA900096B001000100634B84C801000000A04360
+:10DAA000856C01000000E34085B0010030002D448A
+:10DAB0001F90010032002DF22AB00100040022F272
+:10DAC0000230000034920010323001003200A040D9
+:10DAD000E5B101000000004097B00100F0070040F0
+:10DAE000999801000000004A02C0010000000050A7
+:10DAF00003D001000000004197C001000000A34CCA
+:10DB000002D000005C93004081B20000000000A839
+:10DB100036B001006C9322410350000000800010D9
+:10DB200044C9010000000050F1B101007000000381
+:10DB3000F0C901000000004261B1010000000010C6
+:10DB400062B101006593A800E0310000AF82008857
+:10DB50001CB00000B0920040813201007C800003C4
+:10DB600042C90100000000F000B001006093005CB9
+:10DB700001800000B0920040813201000000001BD3
+:10DB800010B1000068012D0682B00100000000F213
+:10DB900082C001000080000346C90100AB92004032
+:10DBA0008132010093932240116C0000000068084C
+:10DBB00038960100F007004182CC01007193AA4120
+:10DBC0003B400000000000F810B001000000005CC5
+:10DBD000118001000100001D04CC01009293264633
+:10DBE000233000000800000312C80100640120F087
+:10DBF000E0B1010091932241055000002000000394
+:10DC000048C901000C0000F886C801000000224449
+:10DC1000F1B1010000000043F0B101000000000973
+:10DC2000E0B101000000004461B10100A00000A4C7
+:10DC300062DD01008393A8461F10000090932241EB
+:10DC4000055000008E93A24123500000000000A167
+:10DC50001AB001000000004461B101004000001052
+:10DC600062DD01008993A84623300000AF8200885E
+:10DC70001CB000001000000348C901000000000DA6
+:10DC800042B101000000004413C001007E93005027
+:10DC900049C100000000000548B1010004800003F4
+:10DCA0001AC801000000804081B201009293224016
+:10DCB0003B6C0000000000F800B00100B092005C76
+:10DCC00001000100939300413BD0000000008D470C
+:10DCD00080320100B0002F5F13B001000000E0F0BF
+:10DCE0008CC001000080000342C90100000000F860
+:10DCF00094B00100000000F88CB001009F938CF8F4
+:10DD00008E3000000000004419900100040022F849
+:10DD100014300000000000F816B00100000000F808
+:10DD200026B0010008002EF80CB001000C002A4AB1
+:10DD3000E0B1010028000000E0C901001000201B34
+:10DD4000E0B10100AC93200A0C6C0000000000F868
+:10DD500094B00100000000F896B00100200020F00F
+:10DD6000E4B101001800204AE0B101001C00204B82
+:10DD7000E0B101009793004013B000002C002D4249
+:10DD8000199001002E002FF382B00100000000F373
+:10DD900096B00100B293A2A5976C000000008041EC
+:10DDA00095B00100B593A240976C000000000040C0
+:10DDB00083B001002D002040E7B101000000634165
+:10DDC00097C00100D4003E4183E001000000004103
+:10DDD00083C00100BA93A0A5836C0000000000403E
+:10DDE00083B001002C002041E6B10100BF93224026
+:10DDF0001F7C00000004000098DC01000B00004CB8
+:10DE0000E4F50100000080401F8001000B0080004D
+:10DE1000E4F50100B4920040813201000480000367
+:10DE200044C9010000000040F1B1010000000040C1
+:10DE3000F1B101000000604187B0010000800010D6
+:10DE400044C9010000000050F1B101000000004889
+:10DE5000F0B1010000000049F0B101000000000332
+:10DE6000E0B101000000004561B101002000001098
+:10DE700062DD01000000A85D05900000CB9300402A
+:10DE800081B20000B49200408132010000800003A2
+:10DE900044C9010000000041F0B10100000000424F
+:10DEA000F0B1010000000040F1B1010000000043AA
+:10DEB000F0B101000080001044C9010000000050D2
+:10DEC000F1B1010000000048F0B10100000000497C
+:10DED000F0B1010000000003E0B1010000000045C6
+:10DEE00061B101002000001062DD01000000A85DAA
+:10DEF00005900000DA93004081B200002D00004040
+:10DF0000439901002E002FF384B00100010063F358
+:10DF100096C80100E2939F4185500000010000A5D2
+:10DF200085CC01002D00A042E6B101005E012D006C
+:10DF300080B00100E793524381600000020000F2CC
+:10DF400082F40100E8930041809400000000005F2B
+:10DF5000819001000000005E61B1010000000040FE
+:10DF600062B101000000A84095B00000E9939EBB9B
+:10DF700080320000EE93A2401F7C0000B09200406F
+:10DF800081B200000000804195B00100040000153E
+:10DF900042C90100000000542BC00100000000FC39
+:10DFA00024B00100000000FC38B00100000000FEB9
+:10DFB0003CB00100000000FE3AB0010003949C1741
+:10DFC00080320000F893A24A197C00000000804CC7
+:10DFD0001F9001000C00001E98F40100F793A24866
+:10DFE000996C00000000001542B10100F793A28A6D
+:10DFF000F16D00000C00000102CC0100000000FCEB
+:10E000003EB00100010000F428CC0100CC002D0539
+:10E0100048B10100029420F03E6C00000000004B6B
+:10E020001F9001000000004C2BC00100BF002D0517
+:10E0300048B10100000080F33AE0010000002E4BDF
+:10E040001990010007002A0CE4B1010000008004CF
+:10E05000E6B1010018000040439901001C002DF0BA
+:10E0600016B0010020002DF026B001000C002FF2A8
+:10E070000CB001000000A20614EC00000F94224531
+:10E080001F7C00000000A3062AEC0000000000F83E
+:10E0900094B00100000000F096B001000C002D408B
+:10E0A00081B2010000002A4CE1C1010030000010E3
+:10E0B00048C901000A000040F1990100180000055C
+:10E0C000F0C901000000004AF0B101000000004B5F
+:10E0D000E0B101000000004761B10100A00000A410
+:10E0E00062DD01001994A85C1F100000000080058B
+:10E0F00048B1010000002E1048B1010040000001AD
+:10E10000F0CD010040000003F0C901004000000014
+:10E11000E0C9010000002E5049C1010000000006C6
+:10E12000F1B1010000000003F0B10100239462424C
+:10E13000613100002000001062DD01002494A8403D
+:10E14000813200001000001062C901002694A8006E
+:10E15000E03100000000F24081B2010000002E100A
+:10E1600048B1010040000001F0CD01004000000373
+:10E17000F0C9010040000000E0C9010000002E507D
+:10E1800049C1010000000006F1B1010000000003D8
+:10E19000F0B10100309462426131000020000010B3
+:10E1A00062DD01003194A84081320000A00000A48B
+:10E1B00062DD01003394A800E03100000000F2406D
+:10E1C00081B201003080004A44C90100000000060D
+:10E1D000F1B10100C0A83D460DE00100FF7F00A1A4
+:10E1E000F08901000200000996F4010000000046D9
+:10E1F00097E00100000060A897C001003D946342D1
+:10E20000613100003000004A62C901003E94A8401C
+:10E21000813200000000F34081B2010000993F42CA
+:10E2200097F0010042946540813200004A9422F345
+:10E23000740600003F0000F394880100000000070E
+:10E24000E78501000000755561B101000000004A3A
+:10E2500062B101000000A84081B200004794004074
+:10E2600081B200000000F54081B20100000000A86A
+:10E2700036B001005A948241234000004F94A244DA
+:10E280001F7C0000BD9100018C3001002080001037
+:10E2900042C9010055942240E36D00000000004394
+:10E2A00061B101004000001062DD01005294A840FD
+:10E2B00081320000AF8200881CB0000000000041E5
+:10E2C00023B001000000001032B001005A94224136
+:10E2D000197C0000C6920043233001000000004179
+:10E2E00023B001005C94A3150C6C00005D94000643
+:10E2F00004B000000000001504B001005F9420028B
+:10E300001A6C00000000000D04B001001E9500050D
+:10E310004831010089942202145000006394A20243
+:10E320002A5000008994A2451F7C000065942202B7
+:10E330000C5000006E94000216C000006D94225C28
+:10E340001F7C00003080001042C901006D94224003
+:10E35000E36D00000000004761B1010040000010C3
+:10E3600062DD01006994A84081320000AF8200881C
+:10E370001CB000000000000548B101000894005CDA
+:10E380001F00010089942215803200000000005017
+:10E3900033C001008894A2021A5000007A942246E9
+:10E3A0001F7C00007080000342C90100000000468D
+:10E3B0001F8001007A942240E36D000000000042BB
+:10E3C00061B101004000001062DD01007694A840B8
+:10E3D00081320000AF8200881CB000000000000500
+:10E3E00048B101000C80000342C90100100000F098
+:10E3F00010C801002F002F5C1180010000000047B1
+:10E40000E7910100F00700401B9801004C94201593
+:10E410001A6C00007000000348C90100000022507F
+:10E42000F1B1010000000003F0B10100FF07000896
+:10E43000E08D01000000004261B10100A00000A4D5
+:10E4400062DD01008594A8461F1000004C94000571
+:10E4500048B100004C94000210C000008B94A2440C
+:10E460001F7C0000BD9100018C3001000000001BEA
+:10E4700010B100000080001044C901000C000040F1
+:10E48000F199010010000008F0C901000000001619
+:10E49000F0B1010010000003E0C9010000000045D8
+:10E4A00061B101002000001062DD01000000A85CE5
+:10E4B0001F9000009294004081B20000170000D02D
+:10E4C000A2C901000000A24027EC000000000020CB
+:10E4D00000B00100B0920041A341010096940041B8
+:10E4E00027D000001000000796E401000000004B58
+:10E4F000809401000000005461B1010000800040E0
+:10E5000062DD01000000A84081B200009D9400403F
+:10E5100081B20000EF9400402B300100AC002D06CA
+:10E5200016C0010090002DF016C40100A594A0F0C3
+:10E53000164400000000004117C001000E0000A2B8
+:10E5400044C9010000006CF030B00100AC002D4067
+:10E5500087B0010000006CF028B00100AE94224AA0
+:10E56000197C00000030004386C801000030000B19
+:10E5700016C80100AE94A4408132000000000041A2
+:10E5800017C00100CF94220680320000BB94A2067F
+:10E59000146C0000B8942248197C0000B394A04188
+:10E5A000174000000000004117C0010000000041BA
+:10E5B00031C0010090002018E0B101008B002D480F
+:10E5C000198001008B002045E7910100BB940040B9
+:10E5D000879000000800004386980100BB94A04883
+:10E5E000174000000000004117C00100B0000040CB
+:10E5F0004399010010500043FCC9010026950030EA
+:10E600008130010000000040E5B10100C694224ABB
+:10E61000197C0000080000A244C90100CC002DAB09
+:10E62000F9B10100000000AB17C00100C594A0F0D3
+:10E63000164400000000004117C00100CA9464F0B5
+:10E6400082B00000A400004047990100CA94A2F2E1
+:10E650008032000000000041E5B101008C0020186C
+:10E66000E0B1010090000040459901000000600603
+:10E6700030C001000000860C80B20000BC002D46B6
+:10E6800019900100A000A0F2E4B10100B000004028
+:10E690004399010010500043FCC901002695003049
+:10E6A000813001000000A24A19FC0000080000A20D
+:10E6B00044C90100CC002DABF9B10100000000AB52
+:10E6C00017C00100D894A0F01644000000000041DB
+:10E6D00017C001000000E4F082B0010000800010CB
+:10E6E00044C9010000000041F0B101000000000336
+:10E6F000F0B1010000000000F0B1010000000010C6
+:10E7000062B101000000A81BE0B10000DD940040F0
+:10E7100081B2000000F0000C7E8901000000A64CD0
+:10E72000956001000000804A1894010000800010EC
+:10E7300044C9010004002201F03100002000004023
+:10E74000F0C9010000000016F0B101000000004314
+:10E7500061B101002000001062DD01000000A81579
+:10E76000E0B10000E894004081B200001080000396
+:10E7700044C9010000000006F0B1010000000001E2
+:10E78000F0B101000000E85F179001007000004048
+:10E79000439901007A012EFE92B001008B002DF604
+:10E7A00016B00100F5942243E77D0000000000440C
+:10E7B00045C10100040000A62AB0010028006E0631
+:10E7C00082C80100F994224A197C0000000000422E
+:10E7D00045D1010000006E4C83C0010000000041E3
+:10E7E00092C00100FA9443303D0700000000669E8D
+:10E7F00083B0010000001B413DC301000000004147
+:10E8000092C00100060000A244C9010010000049A6
+:10E8100098F4010003952630930400000395904C72
+:10E82000924000000000004193C00100FFFF8049BA
+:10E83000ECA901000080001044C90100040022017D
+:10E84000F031000000000009F0B1010000000018E4
+:10E85000F0B101002000001062DD01000000A815E9
+:10E86000E0B100000895004081B200001595225FDC
+:10E87000817C00001495A240197C0000000000403B
+:10E88000199001000000005461B101001000000760
+:10E8900096E401000000004F979401000000004B37
+:10E8A00062B10100149528408132000011950040AA
+:10E8B00081B200000000A221818400001895A25FAF
+:10E8C000816C00000000A243197C0100000000439D
+:10E8D000199001000000005461B101001000000710
+:10E8E00096E4010000000040969401000000004BF7
+:10E8F00062B101000000A84081B200001B950040F9
+:10E9000081B200000080001944C901000400220205
+:10E91000F03100000000000BF0B101000000001316
+:10E92000F0B101000000004361B1010020000019B6
+:10E9300062DD01000000A808E0B10000239500405E
+:10E9400081B200007C002DF084B00100020000F0D4
+:10E9500098F401002C95204C846C00008800004045
+:10E96000439901002C9520F2846C000000000040C7
+:10E9700085B0010098002D1482B00100000000F065
+:10E9800098B00100A3002D1498D001003195204CBF
+:10E99000846C00000000004C84B00100000000F313
+:10E9A00080E0010034952340846C000000000040AA
+:10E9B00084B00100D0002014E0B10100980025428D
+:10E9C00080B0010000006EF380F001000000A6425C
+:10E9D00082C000003A95A0401640000000000041AF
+:10E9E00017C0010000009FF082EC00009800A041D9
+:10E9F000E0B1010000002E1048B10100A801004064
+:10EA0000F199010000000005F0B1010009000007C4
+:10EA100096E40100000060A797C00100000000100C
+:10EA200062B101000000A84081B2000041950040A1
+:10EA300081B20000A8002D1C8AB0010000009FF0E8
+:10EA40008AD000000000A2408BEC00008A00204029
+:10EA5000E7B10100B400004047990100A4002D4532
+:10EA6000E0D101004E959C1780320000BE002FAB14
+:10EA700083B00100A195001482500100539500401D
+:10EA800081B20000539522F2823000008C000040D9
+:10EA90004399010053959F1CE06D0000BE000040AB
+:10EAA00047990100A195004081320100A800201C77
+:10EAB000E0B101009C002D3081B0010088002DF0F4
+:10EAC00084B0010094002DF286B00100669523F019
+:10EAD000846C00005B952392876C0000C90400A63B
+:10EAE00094B001005D95004081B20000200000A6B6
+:10EAF00094B001006089004A949801005D956840D7
+:10EB0000813200000000004AB0B10100BF002D4278
+:10EB1000B2B1010090002DF380E001006195D44076
+:10EB200081320000000078DA84C001006B95234038
+:10EB3000846C00009400209DE1B101006B950040C1
+:10EB400084B00000BF002D4384C0010090002DF36D
+:10EB500080E001006B952340846C00009400209DB0
+:10EB6000E1B101000000004084B001006F95A2F007
+:10EB7000386C00009C002042E0B101000000005F02
+:10EB80001394010000008046198001009C0020427F
+:10EB9000E0B101003700004043990100040000F398
+:10EBA00080F401000F0000F3828801007595234175
+:10EBB000806C00000000005F139401000000890CCD
+:10EBC00080B20000BC00004043990100A000A0F208
+:10EBD000E4B1010000009F4124EC00007F95A640B5
+:10EBE0008132000000009F4238EC00007F95A64073
+:10EBF00081320000B4000040439901008195A3F0E8
+:10EC00003A6C00000000804081B20100B400004076
+:10EC100043990100859522F03A6C0000B400201D54
+:10EC2000E0B1010080002D5F13940100859523F071
+:10EC30003A6C00008000201DE0B10100C0002012ED
+:10EC4000E0B10100C400A01CE0B10100008000039D
+:10EC500044C9010000000042E0B101001200004080
+:10EC6000879801008E959F41246C000000000041B0
+:10EC70008CB00100000000128CD001008F95004183
+:10EC800024B00000000000408DB00100DF9500407E
+:10EC9000813201000000004561B101004000001018
+:10ECA00062DD01000000A84081B2000091950040A3
+:10ECB00081B20000A29200408132010000000016E3
+:10ECC00080B201000000A708803201009995A2409F
+:10ECD000956C0000B092004081320100008200A6D5
+:10ECE00004B00100000000402DB00100A0982F40AA
+:10ECF00011B001003005004189B0000000009FF80C
+:10ED00003EEC000000009F12E0ED0000C80020ABC8
+:10ED1000E1B10100CC00A01FE0B10100A395A35F09
+:10ED2000E76D000000000041E7C10100A6000040BF
+:10ED300047990100B79522F2863000000300004396
+:10ED400084F401000100004180CC0100B8002D4294
+:10ED500080D001000000624086C00100AB951F43D7
+:10ED600080320000AC95A240876C00000000624138
+:10ED700087B00100B0959F40803200000000004045
+:10ED800085B001000000004084D001000000004276
+:10ED900080B00100000000F288B0010002000044D1
+:10EDA00084F40100B8002E4280D0010000006240CF
+:10EDB00088C00100B6951F4480320000BA95A24079
+:10EDC000896C0000BA95624189B00000030062417D
+:10EDD00086E40100B800004045990100010062414D
+:10EDE00088E40100A4002040E5B10100A200204019
+:10EDF000E7B10100BC002E4387F001000000004491
+:10EE000086C00100C0952043876C0000000080434D
+:10EE1000E5B101004001004380CE01000000A443A1
+:10EE2000E43101004001E2408798010088002D4450
+:10EE300081B0010090002DF22EB001009C002DF059
+:10EE400086B0010090002DF082B00100BA002DF0D4
+:10EE500098B00100CD95A212986C0000BC002DF274
+:10EE600098B00100CD95A0F2986C0000000000174A
+:10EE700082B001009C002041E0B10100B4002D12DD
+:10EE800086D00100D095A341E06D0000D19500F03F
+:10EE900084B000000000004184B0010080002D43D8
+:10EEA00084D00100D4959F428032000000000040D1
+:10EEB00085B00100D695A342146C0000D795000AD6
+:10EEC0000CB00000000000420CB00100D995A01762
+:10EED0000C6C0000000080170CB00100DE95224091
+:10EEE0000D6C00000000A00A0CEC0000010000F016
+:10EEF00082F40100DE95A0410C6C00000000A2F03D
+:10EF0000803201000000804081B00100B4920040D6
+:10EF1000813201000480000344C901000000004662
+:10EF2000F0B1010000000040F1B1010000006041BB
+:10EF3000879401000080001044C9010000000050C7
+:10EF4000F1B1010000000048F0B1010000000049EB
+:10EF5000F0B1010000000003E0B101000000004535
+:10EF600061B101002000001062DD01000000A85D19
+:10EF700005900000EA95004081B2000000002E4B91
+:10EF80001990010005002A0CE4B101000000800482
+:10EF9000E6B10100F095454861310000001000081D
+:10EFA00062DD0100F595284087300000F195224888
+:10EFB000777D000095941D4687B00000F895225F8C
+:10EFC000117C00000400221562310000F695A84073
+:10EFD0008132000000009D4081B20100000000402D
+:10EFE00049B1010000142F4C83B001000000004023
+:10EFF000F1B10100FB95A241835000000000804068
+:10F0000081B201000000004049B101003000004021
+:10F01000A19901000000004093B0010000000040F1
+:10F020001FB001004E9600499630010007000049CC
+:10F0300006E401000039000306C80100000000409A
+:10F0400005B00100200000D0A0C90100000000416F
+:10F0500093C001000296A054936C000000002E059E
+:10F0600097B0010000800040499901000000004075
+:10F07000E1B10100000200A244C901000B96A241C7
+:10F08000975000000000002049B301005496004052
+:10F0900049310100DF9200408132010000B52E08A5
+:10F0A00097B0010000000040F1B101001296A241AA
+:10F0B00097500000180000409798010000972E40DC
+:10F0C00081B2010000000040F1B101001696A2419A
+:10F0D000975000000000004049B1010040182E0583
+:10F0E00097B0010000000040F1B101001A96A24162
+:10F0F0009750000057952040E7B101003094004040
+:10F100004599010064000040E599010056952040B2
+:10F11000E7B10100B8942041E5B10100BA94204163
+:10F12000E5B10100989400404599010002000040BB
+:10F130009798010000000040F1B101002496A2411F
+:10F14000975000000000004097B001000000004010
+:10F150006FB101000000004B68B1010028968541A5
+:10F160009740000080040040813201000000004010
+:10F1700039B301000000004037B301000000004037
+:10F1800035B301000000004033B30100000000402F
+:10F1900041B30100000000403FB30100EE05004014
+:10F1A000259B0100420000404B9B010000000040F5
+:10F1B0002FB30100000000402DB30100000000400B
+:10F1C00047B301000000004043B30100600000406D
+:10F1D0002B9B010000000054EF930100000000553C
+:10F1E000F1930100FFFF00A53C8B01000000002C03
+:10F1F0005BB301000000002C45B30100000000409B
+:10F2000059B301000000004057B301000000004066
+:10F2100027B301000000004053B301004496A25000
+:10F22000FD7F00004496A251FD7F000045960040FE
+:10F230001DB30000504600401D9B010000C000A609
+:10F2400088B30100FF3F00A63AB3010000C0009D53
+:10F250003B9B0100B4050040239B010000000040DF
+:10F260004DB30100080A00A614B301000101008A91
+:10F27000159B0100008000A656B101000000805ED1
+:10F2800057B501001800004B20E401000600004BB8
+:10F2900096E401000043004B96C8010018000010DE
+:10F2A00020DC01000000004B20940100000080578A
+:10F2B0002190010000992E0A97B001000000004043
+:10F2C000F1B101005596A2419750000000030040A3
+:10F2D0009798010000A900404599010000000040F6
+:10F2E000F1B101005996A241975000003000004052
+:10F2F000979801000000005561B101000000004B2B
+:10F3000062B101005D96A840813200005D96A24185
+:10F31000975000000000804081B201000000804052
+:10F3200081B201000400004081B2000004000040EE
+:10F3300081B200000400004081B2000004000040DF
+:10F3400081B200000400004081B2000004000040CF
+:10F3500081B200000400004081B2000004000040BF
+:10F3600081B200000400004081B2000004000040AF
+:10F3700081B200000400004081B20000040000409F
+:10F3800081B200000400004081B20000040000408F
+:10F3900081B200000400004081B20000040000407F
+:10F3A00081B200000400004081B20000040000406F
+:10F3B00081B200000400004081B20000040000405F
+:10F3C00081B200000400004081B20000040000404F
+:10F3D00081B200000400004081B20000040000403F
+:10F3E00081B200000400004081B20000040000402F
+:10F3F00081B200000400004081B20000040000401F
+:10F4000081B200000400004081B20000040000400E
+:10F4100081B200000400004081B2000004000040FE
+:10F4200081B200000400004081B2000004000040EE
+:10F4300081B200000400004081B2000004000040DE
+:10F4400081B200000400004081B2000004000040CE
+:10F4500081B200000400004081B2000004000040BE
+:10F4600081B200000400004081B2000004000040AE
+:10F4700081B200000400004081B20000040000409E
+:10F4800081B200000400004081B20000040000408E
+:10F4900081B200000400004081B20000040000407E
+:10F4A00081B200000400004081B20000040000406E
+:10F4B00081B200000400004081B20000040000405E
+:10F4C00081B200000400004081B20000040000404E
+:10F4D00081B200000400004081B20000040000403E
+:10F4E00081B200000400004081B20000040000402E
+:10F4F00081B200000400004081B20000040000401E
+:10F5000081B200000400004081B20000040000400D
+:10F5100081B200000400004081B2000004000040FD
+:10F5200081B200000400004081B2000004000040ED
+:10F5300081B200000400004081B2000004000040DD
+:10F5400081B200000400004081B2000004000040CD
+:10F5500081B200000400004081B2000004000040BD
+:10F5600081B200000400004081B2000004000040AD
+:10F5700081B200000400004081B20000040000409D
+:10F5800081B200000400004081B20000040000408D
+:10F5900081B200000400004081B20000040000407D
+:10F5A00081B200000400004081B20000040000406D
+:10F5B00081B200000400004081B20000040000405D
+:10F5C00081B200000400004081B20000040000404D
+:10F5D00081B200000400004081B20000040000403D
+:10F5E00081B200000400004081B20000040000402D
+:10F5F00081B200000400004081B20000040000401D
+:10F6000081B200000400004081B20000040000400C
+:10F6100081B200000400004081B2000004000040FC
+:10F6200081B200000400004081B2000004000040EC
+:10F6300081B200000400004081B2000004000040DC
+:10F6400081B200000400004081B2000004000040CC
+:10F6500081B200000400004081B2000004000040BC
+:10F6600081B200000400004081B2000004000040AC
+:10F6700081B200000400004081B20000040000409C
+:10F6800081B200000400004081B20000040000408C
+:10F6900081B200000400004081B20000040000407C
+:10F6A00081B200000400004081B20000040000406C
+:10F6B00081B200000400004081B20000040000405C
+:10F6C00081B200000400004081B20000040000404C
+:10F6D00081B200000400004081B20000040000403C
+:10F6E00081B200000400004081B20000040000402C
+:10F6F00081B200000400004081B20000040000401C
+:10F7000081B200000400004081B20000040000400B
+:10F7100081B200000400004081B2000004000040FB
+:10F7200081B200000400004081B2000004000040EB
+:10F7300081B200000400004081B2000004000040DB
+:10F7400081B200000400004081B2000004000040CB
+:10F7500081B200000400004081B2000004000040BB
+:10F7600081B200000400004081B2000004000040AB
+:10F7700081B200000400004081B20000040000409B
+:10F7800081B200000400004081B20000040000408B
+:10F7900081B200000400004081B20000040000407B
+:10F7A00081B200000400004081B20000040000406B
+:10F7B00081B200000400004081B20000040000405B
+:10F7C00081B200000400004081B20000040000404B
+:10F7D00081B200000400004081B20000040000403B
+:10F7E00081B200000400004081B20000040000402B
+:10F7F00081B200000400004081B20000040000401B
+:10F8000081B200000400004081B20000040000400A
+:10F8100081B200000400004081B2000004000040FA
+:10F8200081B200000400004081B2000004000040EA
+:10F8300081B200000400004081B2000004000040DA
+:10F8400081B200000400004081B2000004000040CA
+:10F8500081B200000400004081B2000004000040BA
+:10F8600081B200000400004081B2000004000040AA
+:10F8700081B200000400004081B20000040000409A
+:10F8800081B200000400004081B20000040000408A
+:10F8900081B200000400004081B20000040000407A
+:10F8A00081B200000400004081B20000040000406A
+:10F8B00081B200000400004081B20000040000405A
+:10F8C00081B200000400004081B20000040000404A
+:10F8D00081B200000400004081B20000040000403A
+:10F8E00081B200000400004081B20000040000402A
+:10F8F00081B200000400004081B20000040000401A
+:10F9000081B200000400004081B200000400004009
+:10F9100081B200000400004081B2000004000040F9
+:10F9200081B200000400004081B2000004000040E9
+:10F9300081B200000400004081B2000004000040D9
+:10F9400081B200000400004081B2000004000040C9
+:10F9500081B200000400004081B2000004000040B9
+:10F9600081B200000400004081B2000004000040A9
+:10F9700081B200000400004081B200000400004099
+:10F9800081B200000400004081B200000400004089
+:10F9900081B200000400004081B200000400004079
+:10F9A00081B200000400004081B200000400004069
+:10F9B00081B200000400004081B200000400004059
+:10F9C00081B200000400004081B200000400004049
+:10F9D00081B200000400004081B200000400004039
+:10F9E00081B200000400004081B200000400004029
+:10F9F00081B200000400004081B200000400004019
+:10FA000081B200000400004081B200000400004008
+:10FA100081B200000400004081B2000004000040F8
+:10FA200081B200000400004081B2000004000040E8
+:10FA300081B200000400004081B2000004000040D8
+:10FA400081B200000400004081B2000004000040C8
+:10FA500081B200000400004081B2000004000040B8
+:10FA600081B200000400004081B2000004000040A8
+:10FA700081B200000400004081B200000400004098
+:10FA800081B200000400004081B200000400004088
+:10FA900081B200000400004081B200000400004078
+:10FAA00081B200000400004081B200000400004068
+:10FAB00081B200000400004081B200000400004058
+:10FAC00081B200000400004081B200000400004048
+:10FAD00081B200000400004081B200000400004038
+:10FAE00081B200000400004081B200000400004028
+:10FAF00081B200000400004081B200000400004018
+:10FB000081B200000400004081B200000400004007
+:10FB100081B200000400004081B2000004000040F7
+:10FB200081B200000400004081B2000004000040E7
+:10FB300081B200000400004081B2000004000040D7
+:10FB400081B200000400004081B2000004000040C7
+:10FB500081B200000400004081B2000004000040B7
+:10FB600081B200000400004081B2000004000040A7
+:10FB700081B200000400004081B200000400004097
+:10FB800081B200000400004081B200000400004087
+:10FB900081B200000400004081B200000400004077
+:10FBA00081B200000400004081B200000400004067
+:10FBB00081B200000400004081B200000400004057
+:10FBC00081B200000400004081B200000400004047
+:10FBD00081B200000400004081B200000400004037
+:10FBE00081B200000400004081B200000400004027
+:10FBF00081B200000400004081B200000400004017
+:10FC000081B200000400004081B200000400004006
+:10FC100081B200000400004081B2000004000040F6
+:10FC200081B200000400004081B2000004000040E6
+:10FC300081B200000400004081B2000004000040D6
+:10FC400081B200000400004081B2000004000040C6
+:10FC500081B200000400004081B2000004000040B6
+:10FC600081B200000400004081B2000004000040A6
+:10FC700081B200000400004081B200000400004096
+:10FC800081B200000400004081B200000400004086
+:10FC900081B200000400004081B200000400004076
+:10FCA00081B200000400004081B200000400004066
+:10FCB00081B200000400004081B200000400004056
+:10FCC00081B200000400004081B200000400004046
+:10FCD00081B200000400004081B200000400004036
+:10FCE00081B200000400004081B200000400004026
+:10FCF00081B200000400004081B200000400004016
+:10FD000081B200000400004081B200000400004005
+:10FD100081B200000400004081B2000004000040F5
+:10FD200081B200000400004081B2000004000040E5
+:10FD300081B200000400004081B2000004000040D5
+:10FD400081B200000400004081B2000004000040C5
+:10FD500081B200000400004081B2000004000040B5
+:10FD600081B200000400004081B2000004000040A5
+:10FD700081B200000400004081B200000400004095
+:10FD800081B200000400004081B200000400004085
+:10FD900081B200000400004081B200000400004075
+:10FDA00081B200000400004081B200000400004065
+:10FDB00081B200000400004081B200000400004055
+:10FDC00081B200000400004081B200000400004045
+:10FDD00081B200000400004081B200000400004035
+:10FDE00081B200000400004081B200000400004025
+:10FDF00081B200000400004081B200000400004015
+:10FE000081B200000400004081B200000400004004
+:10FE100081B200000400004081B2000004000040F4
+:10FE200081B200000400004081B2000004000040E4
+:10FE300081B200000400004081B2000004000040D4
+:10FE400081B200000400004081B2000004000040C4
+:10FE500081B200000400004081B2000004000040B4
+:10FE600081B200000400004081B2000004000040A4
+:10FE700081B200000400004081B200000400004094
+:10FE800081B200000400004081B200000400004084
+:10FE900081B200000400004081B200000400004074
+:10FEA00081B200000400004081B200000400004064
+:10FEB00081B200000400004081B200000400004054
+:10FEC00081B200000400004081B200000400004044
+:10FED00081B200000400004081B200000400004034
+:10FEE00081B200000400004081B200000400004024
+:10FEF00081B200000400004081B200000400004014
+:10FF000081B200000400004081B200000400004003
+:10FF100081B200000400004081B2000004000040F3
+:10FF200081B200000400004081B2000004000040E3
+:10FF300081B200000400004081B2000004000040D3
+:10FF400081B200000400004081B2000004000040C3
+:10FF500081B200000400004081B2000004000040B3
+:10FF600081B200000400004081B2000004000040A3
+:10FF700081B200000400004081B200000400004093
+:10FF800081B200000400004081B200000400004083
+:10FF900081B200000400004081B200000400004073
+:10FFA00081B200000400004081B200000400004063
+:10FFB00081B200000400004081B200000400004053
+:10FFC00081B200000400004081B200000400004043
+:10FFD00081B200000400004081B200000400004033
+:10FFE00081B200000400004081B200000400004023
+:10FFF00081B200000400004081B200000400004013
+:020000021000EC
+:1000000081B200000400004081B200000400004002
+:1000100081B200000400004081B2000004000040F2
+:1000200081B200000400004081B2000004000040E2
+:1000300081B200000400004081B2000004000040D2
+:1000400081B200000400004081B2000004000040C2
+:1000500081B200000400004081B2000004000040B2
+:1000600081B200000400004081B2000004000040A2
+:1000700081B200000400004081B200000400004092
+:1000800081B200000400004081B200000400004082
+:1000900081B200000400004081B200000400004072
+:1000A00081B200000400004081B200000400004062
+:1000B00081B200000400004081B200000400004052
+:1000C00081B200000400004081B200000400004042
+:1000D00081B200000400004081B200000400004032
+:1000E00081B200000400004081B200000400004022
+:1000F00081B200000400004081B200000400004012
+:1001000081B200000400004081B200000400004001
+:1001100081B200000400004081B2000004000040F1
+:1001200081B200000400004081B2000004000040E1
+:1001300081B200000400004081B2000004000040D1
+:1001400081B200000400004081B2000004000040C1
+:1001500081B200000400004081B2000004000040B1
+:1001600081B200000400004081B2000004000040A1
+:1001700081B200000400004081B200000400004091
+:1001800081B200000400004081B200000400004081
+:1001900081B200000400004081B200000400004071
+:1001A00081B200000400004081B200000400004061
+:1001B00081B200000400004081B200000400004051
+:1001C00081B200000400004081B200000400004041
+:1001D00081B200000400004081B200000400004031
+:1001E00081B200000400004081B200000400004021
+:1001F00081B200000400004081B200000400004011
+:1002000081B200000400004081B200000400004000
+:1002100081B200000400004081B2000004000040F0
+:1002200081B200000400004081B2000004000040E0
+:1002300081B200000400004081B2000004000040D0
+:1002400081B200000400004081B2000004000040C0
+:1002500081B200000400004081B2000004000040B0
+:1002600081B200000400004081B2000004000040A0
+:1002700081B200000400004081B200000400004090
+:1002800081B200000400004081B200000400004080
+:1002900081B200000400004081B200000400004070
+:1002A00081B200000400004081B200000400004060
+:1002B00081B200000400004081B200000400004050
+:1002C00081B200000400004081B200000400004040
+:1002D00081B200000400004081B200000400004030
+:1002E00081B200000400004081B200000400004020
+:1002F00081B200000400004081B200000400004010
+:1003000081B200000400004081B2000004000040FF
+:1003100081B200000400004081B2000004000040EF
+:1003200081B200000400004081B2000004000040DF
+:1003300081B200000400004081B2000004000040CF
+:1003400081B200000400004081B2000004000040BF
+:1003500081B200000400004081B2000004000040AF
+:1003600081B200000400004081B20000040000409F
+:1003700081B200000400004081B20000040000408F
+:1003800081B200000400004081B20000040000407F
+:1003900081B200000400004081B20000040000406F
+:1003A00081B200000400004081B20000040000405F
+:1003B00081B200000400004081B20000040000404F
+:1003C00081B200000400004081B20000040000403F
+:1003D00081B200000400004081B20000040000402F
+:1003E00081B200000400004081B20000040000401F
+:1003F00081B200000400004081B20000040000400F
+:1004000081B200000400004081B2000004000040FE
+:1004100081B200000400004081B2000004000040EE
+:1004200081B200000400004081B2000004000040DE
+:1004300081B200000400004081B2000004000040CE
+:1004400081B200000400004081B2000004000040BE
+:1004500081B200000400004081B2000004000040AE
+:1004600081B200000400004081B20000040000409E
+:1004700081B200000400004081B20000040000408E
+:1004800081B200000400004081B20000040000407E
+:1004900081B200000400004081B20000040000406E
+:1004A00081B200000400004081B20000040000405E
+:1004B00081B200000400004081B20000040000404E
+:1004C00081B200000400004081B20000040000403E
+:1004D00081B200000400004081B20000040000402E
+:1004E00081B200000400004081B20000040000401E
+:1004F00081B200000400004081B20000040000400E
+:1005000081B200000400004081B2000004000040FD
+:1005100081B200000400004081B2000004000040ED
+:1005200081B200000400004081B2000004000040DD
+:1005300081B200000400004081B2000004000040CD
+:1005400081B200000400004081B2000004000040BD
+:1005500081B200000400004081B2000004000040AD
+:1005600081B200000400004081B20000040000409D
+:1005700081B200000400004081B20000040000408D
+:1005800081B200000400004081B20000040000407D
+:1005900081B200000400004081B20000040000406D
+:1005A00081B200000400004081B20000040000405D
+:1005B00081B200000400004081B20000040000404D
+:1005C00081B200000400004081B20000040000403D
+:1005D00081B200000400004081B20000040000402D
+:1005E00081B200000400004081B20000040000401D
+:1005F00081B200000400004081B20000040000400D
+:1006000081B200000400004081B2000004000040FC
+:1006100081B200000400004081B2000004000040EC
+:1006200081B200000400004081B2000004000040DC
+:1006300081B200000400004081B2000004000040CC
+:1006400081B200000400004081B2000004000040BC
+:1006500081B200000400004081B2000004000040AC
+:1006600081B200000400004081B20000040000409C
+:1006700081B200000400004081B20000040000408C
+:1006800081B200000400004081B20000040000407C
+:1006900081B200000400004081B20000040000406C
+:1006A00081B200000400004081B20000040000405C
+:1006B00081B200000400004081B20000040000404C
+:1006C00081B200000400004081B20000040000403C
+:1006D00081B200000400004081B20000040000402C
+:1006E00081B200000400004081B20000040000401C
+:1006F00081B200000400004081B20000040000400C
+:1007000081B200000400004081B2000004000040FB
+:1007100081B200000400004081B2000004000040EB
+:1007200081B200000400004081B2000004000040DB
+:1007300081B200000400004081B2000004000040CB
+:1007400081B200000400004081B2000004000040BB
+:1007500081B200000400004081B2000004000040AB
+:1007600081B200000400004081B20000040000409B
+:1007700081B200000400004081B20000040000408B
+:1007800081B200000400004081B20000040000407B
+:1007900081B200000400004081B20000040000406B
+:1007A00081B200000400004081B20000040000405B
+:1007B00081B200000400004081B20000040000404B
+:1007C00081B200000400004081B20000040000403B
+:1007D00081B200000400004081B20000040000402B
+:1007E00081B200000400004081B20000040000401B
+:1007F00081B200000400004081B20000040000400B
+:1008000081B200000400004081B2000004000040FA
+:1008100081B200000400004081B2000004000040EA
+:1008200081B200000400004081B2000004000040DA
+:1008300081B200000400004081B2000004000040CA
+:1008400081B200000400004081B2000004000040BA
+:1008500081B200000400004081B2000004000040AA
+:1008600081B200000400004081B20000040000409A
+:1008700081B200000400004081B20000040000408A
+:1008800081B200000400004081B20000040000407A
+:1008900081B200000400004081B20000040000406A
+:1008A00081B200000400004081B20000040000405A
+:1008B00081B200000400004081B20000040000404A
+:1008C00081B200000400004081B20000040000403A
+:1008D00081B200000400004081B20000040000402A
+:1008E00081B200000400004081B20000040000401A
+:1008F00081B200000400004081B20000040000400A
+:1009000081B200000400004081B2000004000040F9
+:1009100081B200000400004081B2000004000040E9
+:1009200081B200000400004081B2000004000040D9
+:1009300081B200000400004081B2000004000040C9
+:1009400081B200000400004081B2000004000040B9
+:1009500081B200000400004081B2000004000040A9
+:1009600081B200000400004081B200000400004099
+:1009700081B200000400004081B200000400004089
+:1009800081B200000400004081B200000400004079
+:1009900081B200000400004081B200000400004069
+:1009A00081B200000400004081B200000400004059
+:1009B00081B200000400004081B200000400004049
+:1009C00081B200000400004081B200000400004039
+:1009D00081B200000400004081B200000400004029
+:1009E00081B200000400004081B200000400004019
+:1009F00081B200000400004081B200000400004009
+:100A000081B200000400004081B2000004000040F8
+:100A100081B200000400004081B2000004000040E8
+:100A200081B200000400004081B2000004000040D8
+:100A300081B200000400004081B2000004000040C8
+:100A400081B200000400004081B2000004000040B8
+:100A500081B200000400004081B2000004000040A8
+:100A600081B200000400004081B200000400004098
+:100A700081B200000400004081B200000400004088
+:100A800081B200000400004081B200000400004078
+:100A900081B200000400004081B200000400004068
+:100AA00081B200000400004081B200000400004058
+:100AB00081B200000400004081B200000400004048
+:100AC00081B200000400004081B200000400004038
+:100AD00081B200000400004081B200000400004028
+:100AE00081B200000400004081B200000400004018
+:100AF00081B200000400004081B200000400004008
+:100B000081B200000400004081B2000004000040F7
+:100B100081B200000400004081B2000004000040E7
+:100B200081B200000400004081B2000004000040D7
+:100B300081B200000400004081B2000004000040C7
+:100B400081B200000400004081B2000004000040B7
+:100B500081B200000400004081B2000004000040A7
+:100B600081B200000400004081B200000400004097
+:100B700081B200000400004081B200000400004087
+:100B800081B200000400004081B200000400004077
+:100B900081B200000400004081B200000400004067
+:100BA00081B200000400004081B200000400004057
+:100BB00081B200000400004081B200000400004047
+:100BC00081B200000400004081B200000400004037
+:100BD00081B200000400004081B200000400004027
+:100BE00081B200000400004081B200000400004017
+:100BF00081B200000400004081B200000400004007
+:100C000081B200000400004081B2000004000040F6
+:100C100081B200000400004081B2000004000040E6
+:100C200081B200000400004081B2000004000040D6
+:100C300081B200000400004081B2000004000040C6
+:100C400081B200000400004081B2000004000040B6
+:100C500081B200000400004081B2000004000040A6
+:100C600081B200000400004081B200000400004096
+:100C700081B200000400004081B200000400004086
+:100C800081B200000400004081B200000400004076
+:100C900081B200000400004081B200000400004066
+:100CA00081B200000400004081B200000400004056
+:100CB00081B200000400004081B200000400004046
+:100CC00081B200000400004081B200000400004036
+:100CD00081B200000400004081B200000400004026
+:100CE00081B200000400004081B200000400004016
+:100CF00081B200000400004081B200000400004006
+:100D000081B200000400004081B2000004000040F5
+:100D100081B200000400004081B2000004000040E5
+:100D200081B200000400004081B2000004000040D5
+:100D300081B200000400004081B2000004000040C5
+:100D400081B200000400004081B2000004000040B5
+:100D500081B200000400004081B2000004000040A5
+:100D600081B200000400004081B200000400004095
+:100D700081B200000400004081B200000400004085
+:100D800081B200000400004081B200000400004075
+:100D900081B200000400004081B200000400004065
+:100DA00081B200000400004081B200000400004055
+:100DB00081B200000400004081B200000400004045
+:100DC00081B200000400004081B200000400004035
+:100DD00081B200000400004081B200000400004025
+:100DE00081B200000400004081B200000400004015
+:100DF00081B200000400004081B200000400004005
+:100E000081B200000400004081B2000004000040F4
+:100E100081B200000400004081B2000004000040E4
+:100E200081B200000400004081B2000004000040D4
+:100E300081B200000400004081B2000004000040C4
+:100E400081B200000400004081B2000004000040B4
+:100E500081B200000400004081B2000004000040A4
+:100E600081B200000400004081B200000400004094
+:100E700081B200000400004081B200000400004084
+:100E800081B200000400004081B200000400004074
+:100E900081B200000400004081B200000400004064
+:100EA00081B200000400004081B200000400004054
+:100EB00081B200000400004081B200000400004044
+:100EC00081B200000400004081B200000400004034
+:100ED00081B200000400004081B200000400004024
+:100EE00081B200000400004081B200000400004014
+:100EF00081B200000400004081B200000400004004
+:100F000081B200000400004081B2000004000040F3
+:100F100081B200000400004081B2000004000040E3
+:100F200081B200000400004081B2000004000040D3
+:100F300081B200000400004081B2000004000040C3
+:100F400081B200000400004081B2000004000040B3
+:100F500081B200000400004081B2000004000040A3
+:100F600081B200000400004081B200000400004093
+:100F700081B200000400004081B200000400004083
+:100F800081B200000400004081B200000400004073
+:100F900081B200000400004081B200000400004063
+:100FA00081B200000400004081B200000400004053
+:100FB00081B200000400004081B200000400004043
+:100FC00081B200000400004081B200000400004033
+:100FD00081B200000400004081B200000400004023
+:100FE00081B200000400004081B200000400004013
+:100FF00081B200000400004081B200000400004003
+:1010000081B200000400004081B2000004000040F2
+:1010100081B200000400004081B2000004000040E2
+:1010200081B200000400004081B2000004000040D2
+:1010300081B200000400004081B2000004000040C2
+:1010400081B200000400004081B2000004000040B2
+:1010500081B200000400004081B2000004000040A2
+:1010600081B200000400004081B200000400004092
+:1010700081B200000400004081B200000400004082
+:1010800081B200000400004081B200000400004072
+:1010900081B200000400004081B200000400004062
+:1010A00081B200000400004081B200000400004052
+:1010B00081B200000400004081B200000400004042
+:1010C00081B200000400004081B200000400004032
+:1010D00081B200000400004081B200000400004022
+:1010E00081B200000400004081B200000400004012
+:1010F00081B200000400004081B200000400004002
+:1011000081B200000400004081B2000004000040F1
+:1011100081B200000400004081B2000004000040E1
+:1011200081B200000400004081B2000004000040D1
+:1011300081B200000400004081B2000004000040C1
+:1011400081B200000400004081B2000004000040B1
+:1011500081B200000400004081B2000004000040A1
+:1011600081B200000400004081B200000400004091
+:1011700081B200000400004081B200000400004081
+:1011800081B200000400004081B200000400004071
+:1011900081B200000400004081B200000400004061
+:1011A00081B200000400004081B200000400004051
+:1011B00081B200000400004081B200000400004041
+:1011C00081B200000400004081B200000400004031
+:1011D00081B200000400004081B200000400004021
+:1011E00081B200000400004081B200000400004011
+:1011F00081B200000400004081B200000400004001
+:1012000081B200000400004081B2000004000040F0
+:1012100081B200000400004081B2000004000040E0
+:1012200081B200000400004081B2000004000040D0
+:1012300081B200000400004081B2000004000040C0
+:1012400081B200000400004081B2000004000040B0
+:1012500081B200000400004081B2000004000040A0
+:1012600081B200000400004081B200000400004090
+:1012700081B200000400004081B200000400004080
+:1012800081B200000400004081B200000400004070
+:1012900081B200000400004081B200000400004060
+:1012A00081B200000400004081B200000400004050
+:1012B00081B200000400004081B200000400004040
+:1012C00081B200000400004081B200000400004030
+:1012D00081B200000400004081B200000400004020
+:1012E00081B200000400004081B200000400004010
+:1012F00081B200000400004081B200000400004000
+:1013000081B200000400004081B2000004000040EF
+:1013100081B200000400004081B2000004000040DF
+:1013200081B200000400004081B2000004000040CF
+:1013300081B200000400004081B2000004000040BF
+:1013400081B200000400004081B2000004000040AF
+:1013500081B200000400004081B20000040000409F
+:1013600081B200000400004081B20000040000408F
+:1013700081B200000400004081B20000040000407F
+:1013800081B200000400004081B20000040000406F
+:1013900081B200000400004081B20000040000405F
+:1013A00081B200000400004081B20000040000404F
+:1013B00081B200000400004081B20000040000403F
+:1013C00081B200000400004081B20000040000402F
+:1013D00081B200000400004081B20000040000401F
+:1013E00081B200000400004081B20000040000400F
+:1013F00081B200000400004081B2000004000040FF
+:1014000081B200000400004081B2000004000040EE
+:1014100081B200000400004081B2000004000040DE
+:1014200081B200000400004081B2000004000040CE
+:1014300081B200000400004081B2000004000040BE
+:1014400081B200000400004081B2000004000040AE
+:1014500081B200000400004081B20000040000409E
+:1014600081B200000400004081B20000040000408E
+:1014700081B200000400004081B20000040000407E
+:1014800081B200000400004081B20000040000406E
+:1014900081B200000400004081B20000040000405E
+:1014A00081B200000400004081B20000040000404E
+:1014B00081B200000400004081B20000040000403E
+:1014C00081B200000400004081B20000040000402E
+:1014D00081B200000400004081B20000040000401E
+:1014E00081B200000400004081B20000040000400E
+:1014F00081B200000400004081B2000004000040FE
+:1015000081B200000400004081B2000004000040ED
+:1015100081B200000400004081B2000004000040DD
+:1015200081B200000400004081B2000004000040CD
+:1015300081B200000400004081B2000004000040BD
+:1015400081B200000400004081B2000004000040AD
+:1015500081B200000400004081B20000040000409D
+:1015600081B200000400004081B20000040000408D
+:1015700081B200000400004081B20000040000407D
+:1015800081B200000400004081B20000040000406D
+:1015900081B200000400004081B20000040000405D
+:1015A00081B200000400004081B20000040000404D
+:1015B00081B200000400004081B20000040000403D
+:1015C00081B200000400004081B20000040000402D
+:1015D00081B200000400004081B20000040000401D
+:1015E00081B200000400004081B20000040000400D
+:1015F00081B200000400004081B2000004000040FD
+:1016000081B200000400004081B2000004000040EC
+:1016100081B200000400004081B2000004000040DC
+:1016200081B200000400004081B2000004000040CC
+:1016300081B200000400004081B2000004000040BC
+:1016400081B200000400004081B2000004000040AC
+:1016500081B200000400004081B20000040000409C
+:1016600081B200000400004081B20000040000408C
+:1016700081B200000400004081B20000040000407C
+:1016800081B200000400004081B20000040000406C
+:1016900081B200000400004081B20000040000405C
+:1016A00081B200000400004081B20000040000404C
+:1016B00081B200000400004081B20000040000403C
+:1016C00081B200000400004081B20000040000402C
+:1016D00081B200000400004081B20000040000401C
+:1016E00081B200000400004081B20000040000400C
+:1016F00081B200000400004081B2000004000040FC
+:1017000081B200000400004081B2000004000040EB
+:1017100081B200000400004081B2000004000040DB
+:1017200081B200000400004081B2000004000040CB
+:1017300081B200000400004081B2000004000040BB
+:1017400081B200000400004081B2000004000040AB
+:1017500081B200000400004081B20000040000409B
+:1017600081B200000400004081B20000040000408B
+:1017700081B200000400004081B20000040000407B
+:1017800081B200000400004081B20000040000406B
+:1017900081B200000400004081B20000040000405B
+:1017A00081B200000400004081B20000040000404B
+:1017B00081B200000400004081B20000040000403B
+:1017C00081B200000400004081B20000040000402B
+:1017D00081B200000400004081B20000040000401B
+:1017E00081B200000400004081B20000040000400B
+:1017F00081B200000400004081B2000004000040FB
+:1018000081B200000400004081B2000004000040EA
+:1018100081B200000400004081B2000004000040DA
+:1018200081B200000400004081B2000004000040CA
+:1018300081B200000400004081B2000004000040BA
+:1018400081B200000400004081B2000004000040AA
+:1018500081B200000400004081B20000040000409A
+:1018600081B200000400004081B20000040000408A
+:1018700081B200000400004081B20000040000407A
+:1018800081B200000400004081B20000040000406A
+:1018900081B200000400004081B20000040000405A
+:1018A00081B200000400004081B20000040000404A
+:1018B00081B200000400004081B20000040000403A
+:1018C00081B200000400004081B20000040000402A
+:1018D00081B200000400004081B20000040000401A
+:1018E00081B200000400004081B20000040000400A
+:1018F00081B200000400004081B2000004000040FA
+:1019000081B200000400004081B2000004000040E9
+:1019100081B200000400004081B2000004000040D9
+:1019200081B200000400004081B2000004000040C9
+:1019300081B200000400004081B2000004000040B9
+:1019400081B200000400004081B2000004000040A9
+:1019500081B200000400004081B200000400004099
+:1019600081B200000400004081B200000400004089
+:1019700081B200000400004081B200000400004079
+:1019800081B200000400004081B200000400004069
+:1019900081B200000400004081B200000400004059
+:1019A00081B200000400004081B200000400004049
+:1019B00081B200000400004081B200000400004039
+:1019C00081B200000400004081B200000400004029
+:1019D00081B200000400004081B200000400004019
+:1019E00081B200000400004081B200000400004009
+:1019F00081B200000400004081B2000004000040F9
+:101A000081B200000400004081B2000004000040E8
+:101A100081B200000400004081B2000004000040D8
+:101A200081B200000400004081B2000004000040C8
+:101A300081B200000400004081B2000004000040B8
+:101A400081B200000400004081B2000004000040A8
+:101A500081B200000400004081B200000400004098
+:101A600081B200000400004081B200000400004088
+:101A700081B200000400004081B200000400004078
+:101A800081B200000400004081B200000400004068
+:101A900081B200000400004081B200000400004058
+:101AA00081B200000400004081B200000400004048
+:101AB00081B200000400004081B200000400004038
+:101AC00081B200000400004081B200000400004028
+:101AD00081B200000400004081B200000400004018
+:101AE00081B200000400004081B200000400004008
+:101AF00081B200000400004081B2000004000040F8
+:101B000081B200000400004081B2000004000040E7
+:101B100081B200000400004081B2000004000040D7
+:101B200081B200000400004081B2000004000040C7
+:101B300081B200000400004081B2000004000040B7
+:101B400081B200000400004081B2000004000040A7
+:101B500081B200000400004081B200000400004097
+:101B600081B200000400004081B200000400004087
+:101B700081B200000400004081B200000400004077
+:101B800081B200000400004081B200000400004067
+:101B900081B200000400004081B200000400004057
+:101BA00081B200000400004081B200000400004047
+:101BB00081B200000400004081B200000400004037
+:101BC00081B200000400004081B200000400004027
+:101BD00081B200000400004081B200000400004017
+:101BE00081B200000400004081B200000400004007
+:101BF00081B200000400004081B2000004000040F7
+:101C000081B200000400004081B2000004000040E6
+:101C100081B200000400004081B2000004000040D6
+:101C200081B200000400004081B2000004000040C6
+:101C300081B200000400004081B2000004000040B6
+:101C400081B200000400004081B2000004000040A6
+:101C500081B200000400004081B200000400004096
+:101C600081B200000400004081B200000400004086
+:101C700081B200000400004081B200000400004076
+:101C800081B200000400004081B200000400004066
+:101C900081B200000400004081B200000400004056
+:101CA00081B200000400004081B200000400004046
+:101CB00081B200000400004081B200000400004036
+:101CC00081B200000400004081B200000400004026
+:101CD00081B200000400004081B200000400004016
+:101CE00081B200000400004081B200000400004006
+:101CF00081B200000400004081B2000004000040F6
+:101D000081B200000400004081B2000004000040E5
+:101D100081B200000400004081B2000004000040D5
+:101D200081B200000400004081B2000004000040C5
+:101D300081B200000400004081B2000004000040B5
+:101D400081B200000400004081B2000004000040A5
+:101D500081B200000400004081B200000400004095
+:101D600081B200000400004081B200000400004085
+:101D700081B200000400004081B200000400004075
+:101D800081B200000400004081B200000400004065
+:101D900081B200000400004081B200000400004055
+:101DA00081B200000400004081B200000400004045
+:101DB00081B200000400004081B200000400004035
+:101DC00081B200000400004081B200000400004025
+:101DD00081B200000400004081B200000400004015
+:101DE00081B200000400004081B200000400004005
+:101DF00081B200000400004081B2000004000040F5
+:101E000081B200000400004081B2000004000040E4
+:101E100081B200000400004081B2000004000040D4
+:101E200081B200000400004081B2000004000040C4
+:101E300081B200000400004081B2000004000040B4
+:101E400081B200000400004081B2000004000040A4
+:101E500081B200000400004081B200000400004094
+:101E600081B200000400004081B200000400004084
+:101E700081B200000400004081B200000400004074
+:101E800081B200000400004081B200000400004064
+:101E900081B200000400004081B200000400004054
+:101EA00081B200000400004081B200000400004044
+:101EB00081B200000400004081B200000400004034
+:101EC00081B200000400004081B200000400004024
+:101ED00081B200000400004081B200000400004014
+:101EE00081B200000400004081B200000400004004
+:101EF00081B200000400004081B2000004000040F4
+:101F000081B200000400004081B2000004000040E3
+:101F100081B200000400004081B2000004000040D3
+:101F200081B200000400004081B2000004000040C3
+:101F300081B200000400004081B2000004000040B3
+:101F400081B200000400004081B2000004000040A3
+:101F500081B200000400004081B200000400004093
+:101F600081B200000400004081B200000400004083
+:101F700081B200000400004081B200000400004073
+:101F800081B200000400004081B200000400004063
+:101F900081B200000400004081B200000400004053
+:101FA00081B200000400004081B200000400004043
+:101FB00081B200000400004081B200000400004033
+:101FC00081B200000400004081B200000400004023
+:101FD00081B200000400004081B200000400004013
+:101FE00081B200000400004081B200000400004003
+:101FF00081B200000400004081B2000004000040F3
+:1020000081B200000400004081B2000004000040E2
+:1020100081B200000400004081B2000004000040D2
+:1020200081B200000400004081B2000004000040C2
+:1020300081B200000400004081B2000004000040B2
+:1020400081B200000400004081B2000004000040A2
+:1020500081B200000400004081B200000400004092
+:1020600081B200000400004081B200000400004082
+:1020700081B200000400004081B200000400004072
+:1020800081B200000400004081B200000400004062
+:1020900081B200000400004081B200000400004052
+:1020A00081B200000400004081B200000400004042
+:1020B00081B200000400004081B200000400004032
+:1020C00081B200000400004081B200000400004022
+:1020D00081B200000400004081B200000400004012
+:1020E00081B200000400004081B200000400004002
+:1020F00081B200000400004081B2000004000040F2
+:1021000081B200000400004081B2000004000040E1
+:1021100081B200000400004081B2000004000040D1
+:1021200081B200000400004081B2000004000040C1
+:1021300081B200000400004081B2000004000040B1
+:1021400081B200000400004081B2000004000040A1
+:1021500081B200000400004081B200000400004091
+:1021600081B200000400004081B200000400004081
+:1021700081B200000400004081B200000400004071
+:1021800081B200000400004081B200000400004061
+:1021900081B200000400004081B200000400004051
+:1021A00081B200000400004081B200000400004041
+:1021B00081B200000400004081B200000400004031
+:1021C00081B200000400004081B200000400004021
+:1021D00081B200000400004081B200000400004011
+:1021E00081B200000400004081B200000400004001
+:1021F00081B200000400004081B2000004000040F1
+:1022000081B200000400004081B2000004000040E0
+:1022100081B200000400004081B2000004000040D0
+:1022200081B200000400004081B2000004000040C0
+:1022300081B200000400004081B2000004000040B0
+:1022400081B200000400004081B2000004000040A0
+:1022500081B200000400004081B200000400004090
+:1022600081B200000400004081B200000400004080
+:1022700081B200000400004081B200000400004070
+:1022800081B200000400004081B200000400004060
+:1022900081B200000400004081B200000400004050
+:1022A00081B200000400004081B200000400004040
+:1022B00081B200000400004081B200000400004030
+:1022C00081B200000400004081B200000400004020
+:1022D00081B200000400004081B200000400004010
+:1022E00081B200000400004081B200000400004000
+:1022F00081B200000400004081B2000004000040F0
+:1023000081B200000400004081B2000004000040DF
+:1023100081B200000400004081B2000004000040CF
+:1023200081B200000400004081B2000004000040BF
+:1023300081B200000400004081B2000004000040AF
+:1023400081B200000400004081B20000040000409F
+:1023500081B200000400004081B20000040000408F
+:1023600081B200000400004081B20000040000407F
+:1023700081B200000400004081B20000040000406F
+:1023800081B200000400004081B20000040000405F
+:1023900081B200000400004081B20000040000404F
+:1023A00081B200000400004081B20000040000403F
+:1023B00081B200000400004081B20000040000402F
+:1023C00081B200000400004081B20000040000401F
+:1023D00081B200000400004081B20000040000400F
+:1023E00081B200000400004081B2000004000040FF
+:1023F00081B200000400004081B2000004000040EF
+:1024000081B200000400004081B2000004000040DE
+:1024100081B200000400004081B2000004000040CE
+:1024200081B200000400004081B2000004000040BE
+:1024300081B200000400004081B2000004000040AE
+:1024400081B200000400004081B20000040000409E
+:1024500081B200000400004081B20000040000408E
+:1024600081B200000400004081B20000040000407E
+:1024700081B200000400004081B20000040000406E
+:1024800081B200000400004081B20000040000405E
+:1024900081B200000400004081B20000040000404E
+:1024A00081B200000400004081B20000040000403E
+:1024B00081B200000400004081B20000040000402E
+:1024C00081B200000400004081B20000040000401E
+:1024D00081B200000400004081B20000040000400E
+:1024E00081B200000400004081B2000004000040FE
+:1024F00081B200000400004081B2000004000040EE
+:1025000081B200000400004081B2000004000040DD
+:1025100081B200000400004081B2000004000040CD
+:1025200081B200000400004081B2000004000040BD
+:1025300081B200000400004081B2000004000040AD
+:1025400081B200000400004081B20000040000409D
+:1025500081B200000400004081B20000040000408D
+:1025600081B200000400004081B20000040000407D
+:1025700081B200000400004081B20000040000406D
+:1025800081B200000400004081B20000040000405D
+:1025900081B200000400004081B20000040000404D
+:1025A00081B200000400004081B20000040000403D
+:1025B00081B200000400004081B20000040000402D
+:1025C00081B200000400004081B20000040000401D
+:1025D00081B200000400004081B20000040000400D
+:1025E00081B200000400004081B2000004000040FD
+:1025F00081B200000400004081B2000004000040ED
+:1026000081B200000400004081B2000004000040DC
+:1026100081B200000400004081B2000004000040CC
+:1026200081B200000400004081B2000004000040BC
+:1026300081B200000400004081B2000004000040AC
+:1026400081B200000400004081B20000040000409C
+:1026500081B200000400004081B20000040000408C
+:1026600081B200000400004081B20000040000407C
+:1026700081B200000400004081B20000040000406C
+:1026800081B200000400004081B20000040000405C
+:1026900081B200000400004081B20000040000404C
+:1026A00081B200000400004081B20000040000403C
+:1026B00081B200000400004081B20000040000402C
+:1026C00081B200000400004081B20000040000401C
+:1026D00081B200000400004081B20000040000400C
+:1026E00081B200000400004081B2000004000040FC
+:1026F00081B200000400004081B2000004000040EC
+:1027000081B200000400004081B2000004000040DB
+:1027100081B200000400004081B2000004000040CB
+:1027200081B200000400004081B2000004000040BB
+:1027300081B200000400004081B2000004000040AB
+:1027400081B200000400004081B20000040000409B
+:1027500081B200000400004081B20000040000408B
+:1027600081B200000400004081B20000040000407B
+:1027700081B200000400004081B20000040000406B
+:1027800081B200000400004081B20000040000405B
+:1027900081B200000400004081B20000040000404B
+:1027A00081B200000400004081B20000040000403B
+:1027B00081B200000400004081B20000040000402B
+:1027C00081B200000400004081B20000040000401B
+:1027D00081B200000400004081B20000040000400B
+:1027E00081B200000400004081B2000004000040FB
+:1027F00081B200000400004081B2000004000040EB
+:1028000081B200000400004081B2000004000040DA
+:1028100081B200000400004081B2000004000040CA
+:1028200081B200000400004081B2000004000040BA
+:1028300081B200000400004081B2000004000040AA
+:1028400081B200000400004081B20000040000409A
+:1028500081B200000400004081B20000040000408A
+:1028600081B200000400004081B20000040000407A
+:1028700081B200000400004081B20000040000406A
+:1028800081B200000400004081B20000040000405A
+:1028900081B200000400004081B20000040000404A
+:1028A00081B200000400004081B20000040000403A
+:1028B00081B200000400004081B20000040000402A
+:1028C00081B200000400004081B20000040000401A
+:1028D00081B200000400004081B20000040000400A
+:1028E00081B200000400004081B2000004000040FA
+:1028F00081B200000400004081B2000004000040EA
+:1029000081B200000400004081B2000004000040D9
+:1029100081B200000400004081B2000004000040C9
+:1029200081B200000400004081B2000004000040B9
+:1029300081B200000400004081B2000004000040A9
+:1029400081B200000400004081B200000400004099
+:1029500081B200000400004081B200000400004089
+:1029600081B200000400004081B200000400004079
+:1029700081B200000400004081B200000400004069
+:1029800081B200000400004081B200000400004059
+:1029900081B200000400004081B200000400004049
+:1029A00081B200000400004081B200000400004039
+:1029B00081B200000400004081B200000400004029
+:1029C00081B200000400004081B200000400004019
+:1029D00081B200000400004081B200000400004009
+:1029E00081B200000400004081B2000004000040F9
+:1029F00081B200000400004081B2000004000040E9
+:102A000081B200000400004081B2000004000040D8
+:102A100081B200000400004081B2000004000040C8
+:102A200081B200000400004081B2000004000040B8
+:102A300081B200000400004081B2000004000040A8
+:102A400081B200000400004081B200000400004098
+:102A500081B200000400004081B200000400004088
+:102A600081B200000400004081B200000400004078
+:102A700081B200000400004081B200000400004068
+:102A800081B200000400004081B200000400004058
+:102A900081B200000400004081B200000400004048
+:102AA00081B200000400004081B200000400004038
+:102AB00081B200000400004081B200000400004028
+:102AC00081B200000400004081B200000400004018
+:102AD00081B200000400004081B200000400004008
+:102AE00081B200000400004081B2000004000040F8
+:102AF00081B200000400004081B2000004000040E8
+:102B000081B200000400004081B2000004000040D7
+:102B100081B200000400004081B2000004000040C7
+:102B200081B200000400004081B2000004000040B7
+:102B300081B200000400004081B2000004000040A7
+:102B400081B200000400004081B200000400004097
+:102B500081B200000400004081B200000400004087
+:102B600081B200000400004081B200000400004077
+:102B700081B200000400004081B200000400004067
+:102B800081B200000400004081B200000400004057
+:102B900081B200000400004081B200000400004047
+:102BA00081B200000400004081B200000400004037
+:102BB00081B200000400004081B200000400004027
+:102BC00081B200000400004081B200000400004017
+:102BD00081B200000400004081B200000400004007
+:102BE00081B200000400004081B2000004000040F7
+:102BF00081B200000400004081B2000004000040E7
+:102C000081B200000400004081B2000004000040D6
+:102C100081B200000400004081B2000004000040C6
+:102C200081B200000400004081B2000004000040B6
+:102C300081B200000400004081B2000004000040A6
+:102C400081B200000400004081B200000400004096
+:102C500081B200000400004081B200000400004086
+:102C600081B200000400004081B200000400004076
+:102C700081B200000400004081B200000400004066
+:102C800081B200000400004081B200000400004056
+:102C900081B200000400004081B200000400004046
+:102CA00081B200000400004081B200000400004036
+:102CB00081B200000400004081B200000400004026
+:102CC00081B200000400004081B200000400004016
+:102CD00081B200000400004081B200000400004006
+:102CE00081B200000400004081B2000004000040F6
+:102CF00081B200000400004081B2000004000040E6
+:102D000081B200000400004081B2000004000040D5
+:102D100081B200000400004081B2000004000040C5
+:102D200081B200000400004081B2000004000040B5
+:102D300081B200000400004081B2000004000040A5
+:102D400081B200000400004081B200000400004095
+:102D500081B200000400004081B200000400004085
+:102D600081B200000400004081B200000400004075
+:102D700081B200000400004081B200000400004065
+:102D800081B200000400004081B200000400004055
+:102D900081B200000400004081B200000400004045
+:102DA00081B200000400004081B200000400004035
+:102DB00081B200000400004081B200000400004025
+:102DC00081B200000400004081B200000400004015
+:102DD00081B200000400004081B200000400004005
+:102DE00081B200000400004081B2000004000040F5
+:102DF00081B200000400004081B2000004000040E5
+:102E000081B200000400004081B2000004000040D4
+:102E100081B200000400004081B2000004000040C4
+:102E200081B200000400004081B2000004000040B4
+:102E300081B200000400004081B2000004000040A4
+:102E400081B200000400004081B200000400004094
+:102E500081B200000400004081B200000400004084
+:102E600081B200000400004081B200000400004074
+:102E700081B200000400004081B200000400004064
+:102E800081B200000400004081B200000400004054
+:102E900081B200000400004081B200000400004044
+:102EA00081B200000400004081B200000400004034
+:102EB00081B200000400004081B200000400004024
+:102EC00081B200000400004081B200000400004014
+:102ED00081B200000400004081B200000400004004
+:102EE00081B200000400004081B2000004000040F4
+:102EF00081B200000400004081B2000004000040E4
+:102F000081B200000400004081B2000004000040D3
+:102F100081B200000400004081B2000004000040C3
+:102F200081B200000400004081B2000004000040B3
+:102F300081B200000400004081B2000004000040A3
+:102F400081B200000400004081B200000400004093
+:102F500081B200000400004081B200000400004083
+:102F600081B200000400004081B200000400004073
+:102F700081B200000400004081B200000400004063
+:102F800081B200000400004081B200000400004053
+:102F900081B200000400004081B200000400004043
+:102FA00081B200000400004081B200000400004033
+:102FB00081B200000400004081B200000400004023
+:102FC00081B200000400004081B200000400004013
+:102FD00081B200000400004081B200000400004003
+:102FE00081B200000400004081B2000004000040F3
+:102FF00081B200000400004081B2000004000040E3
+:1030000081B200000400004081B2000004000040D2
+:1030100081B200000400004081B2000004000040C2
+:1030200081B200000400004081B2000004000040B2
+:1030300081B200000400004081B2000004000040A2
+:1030400081B200000400004081B200000400004092
+:1030500081B200000400004081B200000400004082
+:1030600081B200000400004081B200000400004072
+:1030700081B200000400004081B200000400004062
+:1030800081B200000400004081B200000400004052
+:1030900081B200000400004081B200000400004042
+:1030A00081B200000400004081B200000400004032
+:1030B00081B200000400004081B200000400004022
+:1030C00081B200000400004081B200000400004012
+:1030D00081B200000400004081B200000400004002
+:1030E00081B200000400004081B2000004000040F2
+:1030F00081B200000400004081B2000004000040E2
+:1031000081B200000400004081B2000004000040D1
+:1031100081B200000400004081B2000004000040C1
+:1031200081B200000400004081B2000004000040B1
+:1031300081B200000400004081B2000004000040A1
+:1031400081B200000400004081B200000400004091
+:1031500081B200000400004081B200000400004081
+:1031600081B200000400004081B200000400004071
+:1031700081B200000400004081B200000400004061
+:1031800081B200000400004081B200000400004051
+:1031900081B200000400004081B200000400004041
+:1031A00081B200000400004081B200000400004031
+:1031B00081B200000400004081B200000400004021
+:1031C00081B200000400004081B200000400004011
+:1031D00081B200000400004081B200000400004001
+:1031E00081B200000400004081B2000004000040F1
+:1031F00081B200000400004081B2000004000040E1
+:1032000081B200000400004081B2000004000040D0
+:1032100081B200000400004081B2000004000040C0
+:1032200081B200000400004081B2000004000040B0
+:1032300081B200000400004081B2000004000040A0
+:1032400081B200000400004081B200000400004090
+:1032500081B200000400004081B200000400004080
+:1032600081B200000400004081B200000400004070
+:1032700081B200000400004081B200000400004060
+:1032800081B200000400004081B200000400004050
+:1032900081B200000400004081B200000400004040
+:1032A00081B200000400004081B200000400004030
+:1032B00081B200000400004081B200000400004020
+:1032C00081B200000400004081B200000400004010
+:1032D00081B200000400004081B200000400004000
+:1032E00081B200000400004081B2000004000040F0
+:1032F00081B200000400004081B2000004000040E0
+:1033000081B200000400004081B2000004000040CF
+:1033100081B200000400004081B2000004000040BF
+:1033200081B200000400004081B2000004000040AF
+:1033300081B200000400004081B20000040000409F
+:1033400081B200000400004081B20000040000408F
+:1033500081B200000400004081B20000040000407F
+:1033600081B200000400004081B20000040000406F
+:1033700081B200000400004081B20000040000405F
+:1033800081B200000400004081B20000040000404F
+:1033900081B200000400004081B20000040000403F
+:1033A00081B200000400004081B20000040000402F
+:1033B00081B200000400004081B20000040000401F
+:1033C00081B200000400004081B20000040000400F
+:1033D00081B200000400004081B2000004000040FF
+:1033E00081B200000400004081B2000004000040EF
+:1033F00081B200000400004081B2000004000040DF
+:1034000081B200000400004081B2000004000040CE
+:1034100081B200000400004081B2000004000040BE
+:1034200081B200000400004081B2000004000040AE
+:1034300081B200000400004081B20000040000409E
+:1034400081B200000400004081B20000040000408E
+:1034500081B200000400004081B20000040000407E
+:1034600081B200000400004081B20000040000406E
+:1034700081B200000400004081B20000040000405E
+:1034800081B200000400004081B20000040000404E
+:1034900081B200000400004081B20000040000403E
+:1034A00081B200000400004081B20000040000402E
+:1034B00081B200000400004081B20000040000401E
+:1034C00081B200000400004081B20000040000400E
+:1034D00081B200000400004081B2000004000040FE
+:1034E00081B200000400004081B2000004000040EE
+:1034F00081B200000400004081B2000004000040DE
+:1035000081B200000400004081B2000004000040CD
+:1035100081B200000400004081B2000004000040BD
+:1035200081B200000400004081B2000004000040AD
+:1035300081B200000400004081B20000040000409D
+:1035400081B200000400004081B20000040000408D
+:1035500081B200000400004081B20000040000407D
+:1035600081B200000400004081B20000040000406D
+:1035700081B200000400004081B20000040000405D
+:1035800081B200000400004081B20000040000404D
+:1035900081B200000400004081B20000040000403D
+:1035A00081B200000400004081B20000040000402D
+:1035B00081B200000400004081B20000040000401D
+:1035C00081B200000400004081B20000040000400D
+:1035D00081B200000400004081B2000004000040FD
+:1035E00081B200000400004081B2000004000040ED
+:1035F00081B200000400004081B2000004000040DD
+:1036000081B200000400004081B2000004000040CC
+:1036100081B200000400004081B2000004000040BC
+:1036200081B200000400004081B2000004000040AC
+:1036300081B200000400004081B20000040000409C
+:1036400081B200000400004081B20000040000408C
+:1036500081B200000400004081B20000040000407C
+:1036600081B200000400004081B20000040000406C
+:1036700081B200000400004081B20000040000405C
+:1036800081B200000400004081B20000040000404C
+:1036900081B200000400004081B20000040000403C
+:1036A00081B200000400004081B20000040000402C
+:1036B00081B200000400004081B20000040000401C
+:1036C00081B200000400004081B20000040000400C
+:1036D00081B200000400004081B2000004000040FC
+:1036E00081B200000400004081B2000004000040EC
+:1036F00081B200000400004081B2000004000040DC
+:1037000081B200000400004081B2000004000040CB
+:1037100081B200000400004081B2000004000040BB
+:1037200081B200000400004081B2000004000040AB
+:1037300081B200000400004081B20000040000409B
+:1037400081B200000400004081B20000040000408B
+:1037500081B200000400004081B20000040000407B
+:1037600081B200000400004081B20000040000406B
+:1037700081B200000400004081B20000040000405B
+:1037800081B200000400004081B20000040000404B
+:1037900081B200000400004081B20000040000403B
+:1037A00081B200000400004081B20000040000402B
+:1037B00081B200000400004081B20000040000401B
+:1037C00081B200000400004081B20000040000400B
+:1037D00081B200000400004081B2000004000040FB
+:1037E00081B200000400004081B2000004000040EB
+:1037F00081B200000400004081B2000004000040DB
+:1038000081B200000400004081B2000004000040CA
+:1038100081B200000400004081B2000004000040BA
+:1038200081B200000400004081B2000004000040AA
+:1038300081B200000400004081B20000040000409A
+:1038400081B200000400004081B20000040000408A
+:1038500081B200000400004081B20000040000407A
+:1038600081B200000400004081B20000040000406A
+:1038700081B200000400004081B20000040000405A
+:1038800081B200000400004081B20000040000404A
+:1038900081B200000400004081B20000040000403A
+:1038A00081B200000400004081B20000040000402A
+:1038B00081B200000400004081B20000040000401A
+:1038C00081B200000400004081B20000040000400A
+:1038D00081B200000400004081B2000004000040FA
+:1038E00081B200000400004081B2000004000040EA
+:1038F00081B200000400004081B2000004000040DA
+:1039000081B200000400004081B2000004000040C9
+:1039100081B200000400004081B2000004000040B9
+:1039200081B200000400004081B2000004000040A9
+:1039300081B200000400004081B200000400004099
+:1039400081B200000400004081B200000400004089
+:1039500081B200000400004081B200000400004079
+:1039600081B200000400004081B200000400004069
+:1039700081B200000400004081B200000400004059
+:1039800081B200000400004081B200000400004049
+:1039900081B200000400004081B200000400004039
+:1039A00081B200000400004081B200000400004029
+:1039B00081B200000400004081B200000400004019
+:1039C00081B200000400004081B200000400004009
+:1039D00081B200000400004081B2000004000040F9
+:1039E00081B200000400004081B2000004000040E9
+:1039F00081B200000400004081B2000004000040D9
+:103A000081B200000400004081B2000004000040C8
+:103A100081B200000400004081B2000004000040B8
+:103A200081B200000400004081B2000004000040A8
+:103A300081B200000400004081B200000400004098
+:103A400081B200000400004081B200000400004088
+:103A500081B200000400004081B200000400004078
+:103A600081B200000400004081B200000400004068
+:103A700081B200000400004081B200000400004058
+:103A800081B200000400004081B200000400004048
+:103A900081B200000400004081B200000400004038
+:103AA00081B200000400004081B200000400004028
+:103AB00081B200000400004081B200000400004018
+:103AC00081B200000400004081B200000400004008
+:103AD00081B200000400004081B2000004000040F8
+:103AE00081B200000400004081B2000004000040E8
+:103AF00081B200000400004081B2000004000040D8
+:103B000081B200000400004081B2000004000040C7
+:103B100081B200000400004081B2000004000040B7
+:103B200081B200000400004081B2000004000040A7
+:103B300081B200000400004081B200000400004097
+:103B400081B200000400004081B200000400004087
+:103B500081B200000400004081B200000400004077
+:103B600081B200000400004081B200000400004067
+:103B700081B200000400004081B200000400004057
+:103B800081B200000400004081B200000400004047
+:103B900081B200000400004081B200000400004037
+:103BA00081B200000400004081B200000400004027
+:103BB00081B200000400004081B200000400004017
+:103BC00081B200000400004081B200000400004007
+:103BD00081B200000400004081B2000004000040F7
+:103BE00081B200000400004081B2000004000040E7
+:103BF00081B200000400004081B2000004000040D7
+:103C000081B200000400004081B2000004000040C6
+:103C100081B200000400004081B2000004000040B6
+:103C200081B200000400004081B2000004000040A6
+:103C300081B200000400004081B200000400004096
+:103C400081B200000400004081B200000400004086
+:103C500081B200000400004081B200000400004076
+:103C600081B200000400004081B200000400004066
+:103C700081B200000400004081B200000400004056
+:103C800081B200000400004081B200000400004046
+:103C900081B200000400004081B200000400004036
+:103CA00081B200000400004081B200000400004026
+:103CB00081B200000400004081B200000400004016
+:103CC00081B200000400004081B200000400004006
+:103CD00081B200000400004081B2000004000040F6
+:103CE00081B200000400004081B2000004000040E6
+:103CF00081B200000400004081B2000004000040D6
+:103D000081B200000400004081B2000004000040C5
+:103D100081B200000400004081B2000004000040B5
+:103D200081B200000400004081B2000004000040A5
+:103D300081B200000400004081B200000400004095
+:103D400081B200000400004081B200000400004085
+:103D500081B20000AE9F00889AB00000AE9F00883C
+:103D60009AB00000AE9F00889AB00000AE9F008815
+:103D70009AB00000AE9F00889AB000000000008852
+:103D80009AB00100AE9F414081320000B29F2240B4
+:103D90007B6F00000000194081B20100AE9F00401F
+:103DA00081B20000000019417BB30100000000A4B3
+:103DB000C4B30100000000A1C6B3010000002FA29F
+:103DC000C8B301000814004049990100A89F004DA4
+:103DD0009ACC0100BB9F2640813200000000004CBD
+:103DE00049C10100B99FA2419B500000BF9F808044
+:103DF0008032000000005249FD9301000000004A9B
+:103E0000FD930100C29F0042CD9300000000514A83
+:103E1000FD93010000000049FD930100C29F004393
+:103E2000CB9300000000504081B20100D29F0040BF
+:103E300019990100000000F09AB001000000004450
+:103E400049D10100000040F080B201000000414D66
+:103E500080B20100CA9F00401999010000004C4047
+:103E600081B201000000004449D10100000000F0CF
+:103E70009AB001000000004D10B10000000000E207
+:103E800049B10100000000E343B10100000000E47B
+:103E900045B10100000000407BB301000000484F25
+:103EA00040B10100D29F004081B2000004000040F8
+:103EB00081B200000400004081B200000400004014
+:103EC00081B200000400004081B200000400004004
+:103ED00081B20000040000CB81C80100F4820040E0
+:103EE000F29300004082004081B200004005004093
+:103EF00081B200001806004081B20000F482004048
+:103F000081B20000AF82004081B2000038810040E1
+:103F100081B200003681004081B20000B8800040CC
+:103F200081B200001A87004081B20000AF820040D9
+:103F300081B20000F582004081B20000AB920040E7
+:103F400081B20000F095004081B200007392004001
+:103F500081B20000DF95004081B200004A9300402A
+:103F600081B20000ED92004081B20000E792004073
+:103F700081B200009A82004081B2000000008040BF
+:103F800081B201000400004081B200000400004042
+:103F900081B200000400004081B200000400004033
+:103FA00081B200000400004081B200000400004023
+:103FB00081B200000400004081B200000400004013
+:103FC00081B200000400004081B200000400004003
+:103FD00081B200000400004081B2000004000040F3
+:103FE00081B200000400004081B2000004000040E3
+:103FF00081B200000400004081B2000004000040D3
+:1040000081B200000400004081B2000004000040C2
+:0440100081B2000079
+:00000001FF
diff --git a/firmware/slicoss/oasisrcvucode.sys.ihex b/firmware/slicoss/oasisrcvucode.sys.ihex
new file mode 100644
index 0000000..813bea4
--- /dev/null
+++ b/firmware/slicoss/oasisrcvucode.sys.ihex
@@ -0,0 +1,162 @@
+:10000000000200004775010004A01301001CB75B4B
+:10001000093000B65F01001C00000020183B783A50
+:10002000001CA27701001C071D017018AD7BF1FFB9
+:100030001CB37BA9AA1EB47B010C1CB57B0D061C4E
+:1000400000003064080C315A70040C315A80040CE2
+:10005000314E90040C314AA000092555C0040C31E2
+:1000600052B000E92455C004CCB3001C1CEB2D0198
+:10007000001C065632D408079D00001C7BB7020006
+:1000800010A00F31540906565EC004A0305403007E
+:10009000AC30550300CD033A001C7BB702001C6056
+:1000A0008E3154092925550300808E3154098C3036
+:1000B000910004471C01001CA00F3154090000648A
+:1000C0000004471C65C004471C5503006C30010048
+:1000D0001C4D3402001C7BB702001CA00F315409D8
+:1000E000C88337001C800100001C0000640004A0CD
+:1000F0000F305409000054C3047BFBF2001CCC33C6
+:100100000D001CB47BFD031C800E305409E0FB0580
+:10011000001C00008C0300B30F3154090000EC7088
+:10012000040000EC800400008C930061768DC30411
+:10013000C08D315409E07B00C01FA0FDC50100CC7B
+:100140003305001CD403003C1CD4D31B001CC0D3BB
+:1001500052001C00005C13048E8E3254095B805EDA
+:100160001304000000001C0000940100A00F315493
+:1001700009A00F315409C003FC7F1CA001A001009D
+:100180000000A40100A00F315409C003FC031CF5BA
+:100190007701001C267AE6051CA00F315409B30F25
+:1001A000315409B50202001CA00F3154097A7E02B5
+:1001B000001CB50202001C530F325409AF030100AA
+:1001C0001C7A0E325409B50202001C000002001C09
+:1001D000A03DAA11040000AC1104D4D352001CB5F8
+:1001E0003EB2010020FBFDFF1F802C6C0300B93ADA
+:1001F0009E0100753B02001CA71C010010DB83164A
+:10020000001CC71D21C104B93B8DC1048B2C01000A
+:100210001C6B2C35C1040000781100CB2C79C10473
+:10022000A00F315409A00F31540954D002001C49C9
+:1002300025B10100AB2C81C104A71D550300CC33AF
+:1002400009001CEB2D01001CEA2901001CA00F3144
+:100250005409AE0F315409A00F315409D407FC03DF
+:100260001C993A02001CBB3802001C003800001C1C
+:100270000000FC0104DB3B7E001CC71D01001C26A6
+:100280007AFA051C271D01001CB30F3154097A0EA0
+:10029000325409530F3254097A0E325409530F3233
+:1002A00054097A0E325409530F325409A00F3154B5
+:1002B000097A0602001C530F325409AF0301001CD7
+:1002C0007A0E325409530F3254097A0E32540953BC
+:1002D0000F3254097A0E325409530F3254097A0EF0
+:1002E000325409003D02001C0000581200CB2C01C2
+:1002F000001C753B02001CA71C010010CB2F050041
+:100300001C602C00001CC71CC90200A00F3154093E
+:10031000530702001C467ACA051C7A0E3254094063
+:10032000FA19001C0000880204467ACA051CA00FB6
+:10033000315409A00F315409A00F315409A00F31D5
+:100340005409B37B01C01F740E305409C0039C00D4
+:100350001C8000D802000000D802040000AC120586
+:10036000071D01001CD4D32B001CD4D352001C80C9
+:10037000767D13040000E00200A67B950310C79C65
+:1003800000001C802C00001C00006C0204000054C3
+:10039000C304AB2DD91205071DB5C2048B2D010076
+:1003A0001C692501001CA67B950310CB2F09001C9E
+:1003B000602C00001C0000480300530F3254094613
+:1003C0007ACA051C7A0E32540940FA19001C000042
+:1003D000100304467ACA051CB50F315409A00F3129
+:1003E000540973EC2A0304602C00001C000028034D
+:1003F00000C71C01001C0000281305071D01001C7C
+:10040000C0D722001C75567E1304602C00001CE728
+:100410001C450304E79C00001CA67B950310802C60
+:1004200000001C0000F80204000054C304B97B0162
+:10043000001C00008CC304CBAFFC071CCB2F0104B5
+:100440001CC79F80031C00008CC304CBAFFC071C9F
+:10045000CB2F0D041CC79F80031C00008CC304CB52
+:10046000AF00F81DCB2F01001DA67B95031CC79C78
+:100470008CC30400008C1305071D01001CC01DDC8B
+:10048000D308279DE40300A0EE46D400FB750914B1
+:1004900004207B06001CC01C1C04000000B0D30814
+:1004A000000000F400C0EFF2001C20255C14046082
+:1004B000B7D2030000000C1500CCB3FC031CCC33F6
+:1004C00005021C00000CC50460B70E050400000CFA
+:1004D000150400005CC404C01D98F304000068C447
+:1004E00004079D00001C1B74FDF304A67BF1031C94
+:1004F000A00F695409E07B00FC1F397F02001C0734
+:100500001D9DC304A67BAD031C000068C404E01C51
+:1005100000001C0000A40304CBAF00F81DCB2F018A
+:10052000101D0000ACC3040000AC0304CBAF00F806
+:100530001DCB2F01181DC79F000B1C0000ACC3046E
+:10054000FB7501001C071D01001CCCB3FC031CCC77
+:100550003301021C0000ACC304A01C00001CA0EE70
+:10056000A20304CBAFFC071CCB2F09041CFB7501B5
+:10057000001C0000ACC304CCB3FC031CCC33010250
+:100580001C00000CC5040000783405CCB3FC031C2F
+:10059000CC3315021C479D54C404000078440080ED
+:1005A0001D7C5404871D8D0400CE7601001CEF765F
+:1005B0009DC404A4778D2409E47601001CC476014F
+:1005C000001C0000985404D776015018F6760100FC
+:1005D0001C00000030180000000010CC3045C5049D
+:1005E000EB2D01001CEA2901001CC05901001CF57B
+:1005F0007729C504E030DC0400004CB00400204C36
+:10060000F404000000E80400CCB3FC031CCC330964
+:10061000021CEB2DB5C404CCB3FC031CCC33190273
+:100620001CEB2DB5C404CCB3FC031CCC330D021C55
+:10063000EB2DB5C404CCB3FC031CCC3311021CEB72
+:100640002DB5C404007B00801CAE7745050000007A
+:1006500004C004D38B00FC1F607A3C001C604CC0BB
+:100660000400C02F20051FE030B004008025B00436
+:1006700000B55BB10404692601001C6A2B01001C53
+:10068000801D00001CA925450500EE3000001CAFB0
+:10069000770105000000AC2404B45F014018079DF9
+:1006A000485504B77601001C967601001C471D01D1
+:1006B000001CA433016018A42F0160186477016046
+:1006C000182477016018447701001C648803001C1B
+:1006D000A43F01001CA43B01001C537B00C01CD3A1
+:1006E000CF1B001C534F02001CDACF00C01FD55790
+:1006F0000F001CD3D337001CD4530F001CE029007B
+:10070000001CF5D5B0050000009C5504775601008B
+:100710001C565301001C0000001018000004C00407
+:10072000F55501001C0000B45504775601001C5615
+:100730005301001C0000001018000004C004CB2F5F
+:10074000011810CB2F011010CB2F010810CB2F0157
+:100750000810CB2F012010CB2F012810CB2F010028
+:1007600010892561C2040000ECC204000054C304D7
+:10077000000054C304000054C304000060C204001D
+:1007800000ECC204000054C304000054C304000081
+:1007900054C304401C6CC004401C9CC004A7775583
+:1007A000C3040000C4C004271DF1C004000054C3EA
+:1007B00004000054C304000054C30400002CC60409
+:1007C00000002CC60400002CC60400002CC6040047
+:1007D000002CC60400002CC60400002CC604000037
+:1007E0002CC60400002CC60400002CC60400002CFB
+:1007F000C60400002CC60400002CC60400002CC651
+:100800000400002CC60400002CC60400002CC60402
+:1008100000002CC60400002CC60400002CC60400F6
+:10082000002CC60400002CC60400002CC6040000E6
+:100830002CC60400002CC60400002CC60400002CAA
+:10084000C60400002CC60400002CC60400002CC600
+:100850000400002CC60400002CC60400002CC604B2
+:1008600000002CC60400002CC60400002CC60400A6
+:10087000002CC60400002CC60400002CC604000096
+:100880002CC60400002CC60400002CC60400002C5A
+:10089000C60400002CC60400002CC60400002CC6B0
+:1008A0000400002CC60400002CC60400002CC60462
+:1008B00000002CC60400002CC60400002CC6040056
+:1008C000002CC60400002CC60400002CC604000046
+:1008D0002CC60400002CC60400002CC60400002C0A
+:1008E000C60400002CC60400002CC60400002CC660
+:1008F0000400002CC60400002CC60400002CC60412
+:1009000000002CC60400002CC60400002CC6040005
+:10091000002CC60400002CC60400002CC6040000F5
+:100920002CC60400002CC60400002CC60400002CB9
+:10093000C60400002CC60400002CC60400002CC60F
+:100940000400002CC60400002CC60400002CC604C1
+:1009500000002CC60400002CC60400002CC60400B5
+:10096000002CC60400002CC60400002CC6040000A5
+:100970002CC60400002CC60400002CC60400002C69
+:10098000C60400002CC60400002CC60400002CC6BF
+:100990000400002CC60400002CC60400002CC60471
+:1009A00000002CC60400002CC60400002CC6040065
+:1009B000002CC60400002CC60400002CC604000055
+:1009C0002CC60400002CC60400002CC60400002C19
+:1009D000C60400002CC60400002CC60400002CC66F
+:1009E0000400002CC60400002CC60400002CC60421
+:1009F00000002CC60400002CC60400002CC6040015
+:040A0000002CC604FC
+:00000001FF
diff --git a/firmware/sxg/saharadbgdownloadB.sys.ihex b/firmware/sxg/saharadbgdownloadB.sys.ihex
new file mode 100644
index 0000000..e3016d3
--- /dev/null
+++ b/firmware/sxg/saharadbgdownloadB.sys.ihex
@@ -0,0 +1,3937 @@
+:1000000002000000DCF500000C0000000000000011
+:10001000FF1F00000100000000000088824D293A07
+:1000200000000404000000800200009000000900AD
+:100030000000008002000090000009000000008025
+:100040000200009000000900000000800200009003
+:10005000000009000000008002000090000009007C
+:1000600000000080020000900000090000000080F5
+:1000700002000090000009000000008002000090D3
+:10008000FEFF0000000000AC020036320000360027
+:10009000000000A80200009200001613000000807B
+:1000A0000200009000001613000000800200009083
+:1000B00000001613000000800200009000001613DC
+:1000C0000000008002000090000016130000008075
+:1000D0000200009000002000000000D80F8028924D
+:1000E00000002100000000D80F80289200002200AC
+:1000F000000000D80F80289200002300000000D8E4
+:100100000F402B9200002400000000D80F8028929E
+:1001100000002500000000D80F8028920000260073
+:10012000000000D80F80289200002700000000D8AF
+:100130000F80289200002800000000D80F8028922D
+:1001400000002900000000D80F80289200002A003B
+:10015000000000D80F8028920000360000000098B0
+:100160001E80E99A00002C00000000D80F80289221
+:1001700000002D00000000D80F80289200002E0003
+:10018000000000D80F80289200002F00000000D847
+:100190000F80289200003000000000D40F00009271
+:1001A00000003000000000D40F400092000030003A
+:1001B000000000D40F80009200003400000000D442
+:1001C0000FC0009200003000000000D40F00019228
+:1001D00000003000000000D40F4001920000300009
+:1001E000000000D40F80019200003000000000D415
+:1001F0000FC0019200003000000000D40F000292F6
+:1002000000003000000000D40F40029200003000D7
+:10021000000000D40F80029200001613000000803E
+:100220000200009000003000000000D40F00039294
+:1002300000003000000000D40F40039200003000A6
+:10024000000000D40F80039200003000000000D4B2
+:100250000FC0039200000000000000D05F3F003498
+:10026000000016130400008042FFFCB000000000F4
+:10027000000000881280FD3A000016130000008084
+:10028000020000901613161302010080828DFDBC3F
+:1002900000000000000000881280FD3A000000000D
+:1002A000000000F803C001323800000000010084A3
+:1002B000824D281A000036000000007409400092A8
+:1002C00000004F00000000FC020000920000480007
+:1002D000000000800200009000004D00000000902F
+:1002E0000E80189200001B030000000008C020923E
+:1002F000000089000000000008002192000019039E
+:10030000000000000840219200008600000000006C
+:100310000885219000009B03000000EC02C022929F
+:1003200000009404000000800200009000005800CB
+:10033000000000FC0240189D00005100000000D0A9
+:10034000020000920000E003000000800200009024
+:100350000000161300000080020000900000000062
+:10036000000100800200007000004C00000000004E
+:1003700009C0219200004A0012010000088522B045
+:1003800018003600000000F8738A029900008E0001
+:100390006A000080020000B008008E00000000F833
+:1003A0002340019900000000000100E80200907263
+:1003B0000000161380010080B200E9B600000204BC
+:1003C0000000007C1EC0E79A08000000000000F852
+:1003D000134001390000F60300000008B801009442
+:1003E000000016130300007809401ABD0000161320
+:1003F00004010080E28097BC00000000000000A023
+:10040000E125003408000000000000F8B340013985
+:1004100000000204B20000D8020000B2000016136F
+:1004200017010080020000B000001F06001001F854
+:1004300002006E9200005B000A0100CC020000B2D4
+:1004400000007000030100FC024019BD0800020416
+:10045000000000F8A34001990000000000000084A3
+:1004600001C02F320000000000000090F1010034B4
+:10047000000000000000009401C02F320000600066
+:10048000800100801281FCB6000016130401008078
+:1004900002C02FBC02006000B00000A0F20B00B947
+:1004A000000063000401008002C0B0BC00006E00C8
+:1004B000A000008002000090000065008001008024
+:1004C000F24BD0B600006E00A00000800200009049
+:1004D00000000000A0000004FD4BD03400006B00C1
+:1004E000800100801281FCB60000C211000000D81B
+:1004F000020000D20000161304000080028092BCAB
+:1005000018000000000000F8730A03396E0036007E
+:10051000000000C00200369200009611000000D8D2
+:10052000020000D20000161304000080028092BC7A
+:1005300018003600000000F8730A03F900005B00A1
+:10054000030100FC024018BD00008500030000FC10
+:10055000024019BD000000000000009401C02F32CD
+:100560000000000000000080F101003400000000E5
+:100570000000008401C02F3200007500800100805F
+:100580001281FCB6000016130401008002C02FBCCB
+:1005900002007500B00000A0F20B00B90000780066
+:1005A0000401008002C0B0BC00008300A0000080F5
+:1005B0000200009000007A0080010080F24BD0B66B
+:1005C00000008300A00000800200009000000000F6
+:1005D000A0000004FD4BD0340000800080010080AA
+:1005E0001281FCB60000C211000000D8020000D247
+:1005F0000000161304000080028092BC1800000066
+:10060000000000F8730A033983003600000000C0C0
+:100610000200369200009611000000D8020000D2BD
+:100620000000161304000080028092BC18003600FF
+:10063000000000F8730A03F900007000030100FCD9
+:10064000024019BD00005B00030100FC024018BD20
+:1006500008000204000000F8A3400199080000000F
+:10066000000000F87340013900008E008001008016
+:10067000E20180B600008B000000008002000090C4
+:10068000080091030C0000F8534001B900008D00F0
+:1006900080010080E20180B600001613120000689D
+:1006A000020580B00000F6030000006C1FC0F69A3F
+:1006B000000000000000000008058030000000007D
+:1006C000000000FC020001320000000000000010E9
+:1006D00008803D3200000000000000CC0200003223
+:1006E00000000000000000100900363200008012F7
+:1006F00000000014090080D2000016138000008062
+:1007000062802FB60000161302010080823A80BC7E
+:100710000000161306010080923A80BC0090161368
+:1007200004010080A20D80B000001613120100BC6D
+:1007300008C021B200000000000000D40200003216
+:1007400002A0000000000000A90D80320000161376
+:100750001200005402A438B2000200800000002CF5
+:100760000800373218003600000000F8730A03F959
+:100770000000000000080004088072320000A2009F
+:100780009F00005C080072B28300A100800100801D
+:1007900082CD85B00000B6000000002CD8C1829444
+:1007A0000000B6000000002C88C1829400001613DF
+:1007B00006010080827D80BC000FAC000401008037
+:1007C00082CD85B00000AC00800000803281FCB694
+:1007D0000000161312000068020580B0000000003F
+:1007E0000000006C1FC0F63A00000000000000FC92
+:1007F000020001320000AA00040100DC43603DB3A6
+:100800000000F603000000FC020000921800000047
+:10081000000000F8738A0339A7003600000000C00A
+:10082000020036920000AE0080010080F2C085B662
+:100830000000BE000000002C98C182941000C3008C
+:1008400087000000792116B80000C30080010078FD
+:10085000390090B08300C3008700007889CD85B04F
+:100860000000B30080000080028097B60000B60050
+:100870000000002C88C182940000B5008000008038
+:1008800022C185B60000B6000000002CD8C18294B9
+:100890000000C3000000002C98C182940000BC003E
+:1008A00080010080D2C182B60000C30080010080B8
+:1008B0007280FCB600000000001800A8423D7230B3
+:1008C00000000000541809FEF2C07C300000EA006D
+:1008D00080010080F2C185B60000C50000000080E4
+:1008E00002000090000016138001008082C182B6D1
+:1008F0000000B800800000808280FCB60900C300C0
+:10090000040000B428BF17B88300C500870000ACFE
+:1009100088CD85B00000C30004000080D2E28AB018
+:1009200000000000001800A8423D72300000C50021
+:10093000541809FEF2C07C9000000000540000FC36
+:100940000200003200000000001800200700003202
+:100950008000802000000080C2CD85300000DA00D9
+:100960000B000080020000B01800000000000078BA
+:1009700079A116382000EA0004000080828D97BC1F
+:100980000000D100800100806280FCB68300D100AD
+:100990008700007889CD85B00000CD008000008000
+:1009A000028097B60000D10080010080128097B6C7
+:1009B0000000D1008001008072C185B610000000E7
+:1009C00000000078796116380000D800040100802A
+:1009D000328097BC0000EA000000002CB8C182946D
+:1009E0000000D800800100805280FCB60000D800D2
+:1009F0008000008072C185B60000D80080010080B0
+:100A000002C185B60000D80080010080D2C185B641
+:100A1000180000000000007879E116380000D800C6
+:100A200004010080328097BC0000EA000000002C26
+:100A3000C8C18294000000000000000408000432D5
+:100A40000000EA000000002CA8C182940800000009
+:100A500000000078792117380000EA0004000080C7
+:100A6000328097BC0000EA0004010080228097BC1D
+:100A70001F0000000012000889CD72300500000040
+:100A800000120000B9DC173800000000000000A8C8
+:100A9000220090370000EA008000868022247CB685
+:100AA00000000000000000780905803000001613E7
+:100AB0000201008082BA97BC000016130601008074
+:100AC00092BA97BC0000161312000068020580B0AD
+:100AD00000000000000000FC020001320000E800FD
+:100AE000040100DC43603DB30000F603000000FC9D
+:100AF0000200009218000000000000F8738A033919
+:100B0000E5003600000000C002003692020000003E
+:100B100000000010090036320000801200000014AE
+:100B2000090080D20000F10012010060084023B2E9
+:100B30003200000000000010090036320000801270
+:100B400000000014090080D20082000000000008AC
+:100B5000088036320000E100000000641F40F69A71
+:100B60000000161312000024080023B20000161320
+:100B70001200002008C023B2000016131200001853
+:100B8000088023B200000000000000FC02000132D7
+:100B90000000F800040000DC43603DB318000000D2
+:100BA000000000F8738A0339F4003600000000C02A
+:100BB0000200369200000000000000FC02008532B6
+:100BC00000000000000000D8028001320000000098
+:100BD000000000D00200003200C007011801000C24
+:100BE000A8CD3EB20000F80012000038028081B2A9
+:100BF000000000000000003C020082320000000003
+:100C0000000000300240823200000000000000348A
+:100C10000200863220800000000000080880363282
+:100C2000000000000000005C1FC0F53A000000005A
+:100C300000000078090580300000161302010080D2
+:100C400082BA97BC000016130601008092BA97BCC6
+:100C50000000F60312010068020580B000001613C0
+:100C600000000080020000900000000000180078E2
+:100C70000900723200230A0104010080A2CD82B073
+:100C800000000B0100000000090000920000161394
+:100C90009F16000029C172BC00000000001800006F
+:100CA000078081320000000000200000070082322F
+:100CB00000000000002800000780973210000000AC
+:100CC00000300000172090390000000000380000BC
+:100CD00007C0823200000000000000D8020000328D
+:100CE00000000000000000000740803200001401F6
+:100CF00080010080A2C182B600001501000800003A
+:100D000057008097050000000008000007A0043984
+:100D10000000161304100000074082B2000000001B
+:100D20000018000007008632000016131200005061
+:100D3000F2C138B418003600000000F8730A03F955
+:100D40000000161312000068020580B000000000C9
+:100D500000000078090580300000161302010080B1
+:100D600082BA97BC000016130601008092BA97BCA5
+:100D7000000016131200004802C080B20000F60303
+:100D8000CA010008E8818094000000000000008093
+:100D9000024590300000161304010080120028BCA8
+:100DA00000001613120100BC08C021B208000000A8
+:100DB000000000F89340013910000000540000FCCE
+:100DC000824D9036000016130200008042C02FBCF6
+:100DD00000002501F00100D8020000B20000000070
+:100DE000620401A802C06E3200000000000401008D
+:100DF00059C06E37000000000004017819C06E3A37
+:100E0000000000004E0401EC06BD97300000000019
+:100E1000E00000F41E40EF3A0000161304190B82A4
+:100E200002C07CBC0000000000180BCE074000325E
+:100E30000000000000000000074009320000161307
+:100E400004010080020036BC000000000008000021
+:100E500077C029370000161304100000173D90BA20
+:100E600000000000001800000780F4320000161394
+:100E700012000040F2C138B40B0000000000001066
+:100E8000090036320000801200000014098083D26D
+:100E900000000000000000FC32C02F300000000005
+:100EA0000000001008803D3218003600000000F8F5
+:100EB000730A03F900000000000000D402000032B1
+:100EC000000016130401008002802DBC0000CE013A
+:100ED0008038008022C072B600003E01120000C8B7
+:100EE000020020B2000045011201005C088020B21F
+:100EF000000016131200006002802CB218000000DF
+:100F0000000000F8738A03393B013600000000C07E
+:100F10000200369200000000000000F81F80FF3A37
+:100F200000000000000000FC320085300000A3013A
+:100F30000400008042603DB318000000000000F88B
+:100F4000738A033941013600000000C00200369266
+:100F5000080000000000000088CD85370000000078
+:100F60000000002008007232000000000008002489
+:100F700008007232000016130410006C080072B2F0
+:100F8000000000000018004C080072320000161328
+:100F900004200018080072B2000000000030002891
+:100FA00008007232000016130200008082BD82BC6D
+:100FB000000000000028003008007232000000002D
+:100FC00000000060088082320000560106000080A8
+:100FD00062A082BC000016139F3C0014288072BCE3
+:100FE00000000000000000000700063207000000BB
+:100FF00000080000774A09390000161304100000A9
+:10100000070082B200000000CA19000007408232C7
+:101010000000161312000040F2C138B400000000B6
+:10102000000000D80240003200007D010438007842
+:10103000D9C572B000005A0180010080028097B6C5
+:1010400000000000000000F882802F3400005C01E6
+:1010500080010080128097B600000000000000F8B8
+:1010600092802F34000016130401008002402DBC32
+:10107000040000000038003CB81C173800000000D5
+:101080000000003C28C0833700000000003A002C1C
+:1010900008C07232000000000000001CB8E0833A73
+:1010A00000000000CB2900200700003200007C0176
+:1010B0000400008002C081BC000000000000003479
+:1010C00078A0813E000000000000001CD8E0813CB8
+:1010D00000006A01063A0080B25C83BC0000000098
+:1010E000003A000089C17237070069012B01000432
+:1010F000790A04B900000000CB00000419419034C3
+:1011000000006D01003A002C070000920000000072
+:10111000003A002CD7E0723C000000000000000004
+:101120000900003200000000000000040900003245
+:10113000000000000000000007648332000000008F
+:1011400000080000070080320000161304100000A1
+:1011500007C086B2000000000018000007C08432FB
+:1011600000008C0104000028D8A082BC00001613E7
+:1011700009010080020000B0000000000000000033
+:10118000D820803A000077010400008072802DBCD6
+:10119000000016131200004412E438B20000780177
+:1011A000000000D812802D9A000075120000000483
+:1011B000F94190F400007A0104000018D8A081BC25
+:1011C000000062010000006CD8E0869A0000201246
+:1011D0000000004408802DF2000062010000003091
+:1011E0000800009200000000CB1900200700003228
+:1011F00007007F012B010004790A02B900000000FA
+:10120000CB00000419419034000000004D000000A4
+:10121000A7A0813E00000000000800000700803207
+:10122000000016130410000007C086B20000000082
+:101230000018000007C0843200008C010400002860
+:10124000D8A082BC0000161304010080626083BC39
+:101250000000000000000000D820803A0000890152
+:101260000400008072802DBC0000161312000044A0
+:1012700012E438B200008A01000000D812802D9AD2
+:101280000000751200000004F94190F400002012E3
+:101290000000004408802DF200007D0100000030B5
+:1012A000080000920000161380000080A2802FB674
+:1012B0000000000000000004F94190340000161303
+:1012C0001200004412E438B218003600000000F8A2
+:1012D000730A03F9000016130400008002802DBC7D
+:1012E00000000000001800040980733200000000B4
+:1012F000002800088980733700000000000000808B
+:1013000007008632410000000006008C07003632DC
+:10131000000098012908008007C085B200009B01E9
+:101320002810008C070000B200009C01001200840D
+:1013300007000092000000000010008CF7E0823AE5
+:1013400000009B0128180080074090B200009C011B
+:1013500000120084070000920000000000120084C8
+:1013600027E4823200000000000000783900853058
+:101370000000161304010080F28B97BC0000A1014D
+:101380000400008042603DB318000000000000F837
+:10139000738A03399C013600000000C002003692B7
+:1013A00000000000000000FC02008532000016135F
+:1013B0001200005C52812CB400000000000000D834
+:1013C00002800132000000000000008002003B3279
+:1013D0000840A501F0010008088036B200000000B6
+:1013E0000004013808C06E3200000000E00000F484
+:1013F0001E40EF3C0000AC010B01008C080000B265
+:101400000000A901F2010080020000B0000000000D
+:10141000000000F00E003A320000BE01E200008041
+:101420000E8083920000AC01F2010078C93B3ABC07
+:101430000000B60102010080828097BC000000001D
+:10144000000000A80200E8320000B10104000080A2
+:1014500022A22ABC0000B50104190B8202C07CBC88
+:10146000000000000000008C18C0883A0000000056
+:10147000000000A812802A3A00000000000000A826
+:1014800002BD2A300000AF0104010080E2A02ABCA6
+:101490000000BB010200008082C088BC0000000088
+:1014A000E20000080800003200000000000000A870
+:1014B000028088320000161304190B8212C07CBC13
+:1014C0000000000000180BCE070000320000F603F9
+:1014D000000000DC03000092000000000000003863
+:1014E00008802A3200000000000000F00E003A32AE
+:1014F00000000000E20000800E802A3200000000A0
+:10150000000000A8028088320000161304190B8224
+:1015100012C07CBC0000000000180BCE0700003297
+:1015200000000000000000DC030000320000161381
+:1015300004000080227AE8BA0000000000000000E9
+:1015400007808332000000000000000079C02937C6
+:101550006020000000000000890D903A00000000AB
+:10156000CA0100D812802D3A0000000000000000DF
+:101570000700013200000000000800000700903260
+:1015800000000000001000000740E83200000000EA
+:10159000001800000780E83200000000000000FC96
+:1015A000020000320000F60312010048F2C138B414
+:1015B00000001613000000800200009000001613C7
+:1015C0000401008002402DBC0000161304010080BD
+:1015D00002802DBC000016138000008072802FB6A0
+:1015E0000000000000300078088072320400000023
+:1015F00000380054A85C16380B0000000038002C9E
+:10160000A8DC1638140000000000001C884D853A44
+:101610002200000000000010090036321000801285
+:1016200000380014A99C87D90000000000000020A9
+:101630000800723200000000000800240800723226
+:10164000000000000010006C080072320000000072
+:101650000018004C08007232000016130420001815
+:10166000080072B20000000000280030080072324A
+:10167000000016139F3C0014188072BC0000E501A6
+:1016800004000080024081BC000000000000001443
+:101690001840813C000000000000000007000632F6
+:1016A0000700000000080000774A093900001613FF
+:1016B00004100000070082B200000000CA190000F8
+:1016C000074082320000161312000040F2C138B405
+:1016D00000000000000000D80240003200000000BE
+:1016E0000000006478C02937021000000000006488
+:1016F000884D863A0000000000000080080000329B
+:10170000000000000000004008000032000000005F
+:101710004D00000077A0813E00000000000800009E
+:1017200007408632000016130410000007C086B27E
+:10173000000000000018000007C084320000000212
+:101740000400001CD8E081BC0000161309010080D1
+:10175000020000B00000000000000064D860863A7B
+:101760000000F4010400008072802DBC00001613FC
+:101770001200004002C038B20000FC01000000D896
+:1017800012802D9A0000161312000040F2C138B4E6
+:1017900018003600000000F8730A03F90000FA018F
+:1017A0000401008002802DBC00001613800100801F
+:1017B000A2802FB60000F501670000F8A2802FB5C7
+:1017C00000001613120000E802C021B20000161338
+:1017D0000401008072802DBC00000000000000D8D1
+:1017E000024000320000FE0104000018D8A081BCB5
+:1017F0000000EA010000006CD8E0869A0000C910E1
+:101800000000004408802DF20000EA0100000030D2
+:10181000080000920000161312000040F2C138B414
+:1018200018003600000000F8730A03F900000602F1
+:101830000401008002802DBC00001613800100808E
+:10184000A2802FB600000102670000F8A2802FB529
+:1018500000001613120000E802C021B200001202BC
+:1018600004010080020084BC00000000000000D4DD
+:101870000240003200000000000000A42240853A2F
+:10188000040000000018004088CD743600000000FD
+:10189000000000402800843700000000000000D451
+:1018A00002000032140012020400001C880D84BCE7
+:1018B0000000161309010080020000B000000000C3
+:1018C000000000780961853A800016130601008047
+:1018D000828D97BC0000000000000064D860863A4A
+:1018E0000000FC01000000D8024000920000140239
+:1018F00004000018D8A081BC000016020000006C93
+:10190000D8E0869A0000C9100000004408802DF23B
+:10191000000000000000003008000032000000005D
+:10192000000000D40240003200000000000000A4CB
+:1019300022C0823A000000000000003CB860853CF4
+:1019400004001C028100006088CD74B60000000015
+:1019500000040028F8A0753C00001D020008007477
+:10196000088075920000000000080028F8A0753C6F
+:10197000000000000000002808A1823C00000000D8
+:10198000000000A4F2602A3A0000000000080048AD
+:1019900008007532000000000020007C08807532CD
+:1019A00009002302041A007088CD74B009000000F9
+:1019B000001A004C87CD74317F00000000000064E5
+:1019C000884D863100000000000000642840863AFF
+:1019D00023000000000000100900363200008012D1
+:1019E00000000014098082D20C00000000000010EA
+:1019F000090036320000801200000014098084D2F1
+:101A000000000000000000D802400032000000008A
+:101A1000001000000740863200000000000000D8DF
+:101A20000280003200000000001000005761863A7A
+:101A300000003002120000C8020020B20000330291
+:101A40001201005C088020B2000016131200006032
+:101A500002802CB2000040012A0100D4020000B232
+:101A600018003600CA0000F8730A03F900004101AB
+:101A7000000000F81F80FF9A00000000000000D462
+:101A800002400032080000000000000088CD8537C9
+:101A9000000000000000001CE8A1823E00000000E1
+:101AA000000000A42240853A000000000008005019
+:101AB0000780843200003A020401008072A082BCD8
+:101AC00000000000001A004CC7E174320000000062
+:101AD0000000006808E1813A00003D0290010078B2
+:101AE000F9A186BA00000000000000781980973A3A
+:101AF000000000000020005807809732000000001E
+:101B0000000000D802800032000000000000000049
+:101B10000700843200000000400800005721803A8E
+:101B2000000041021200004CF2C138B40000000075
+:101B3000000000000821803A0000000000000004BE
+:101B400008C0813200000000510000D802C00032FD
+:101B500000000000000000D402000032000000007D
+:101B6000CB1900200700003200001613020100808C
+:101B700032802DBC07004A022B010084780A02B98A
+:101B800000000000CB0000841841883400000000F1
+:101B90004D00000077A0813E00000000000800001A
+:101BA00007008032000016130410000007C086B240
+:101BB000000000000018000007C084320000161367
+:101BC0009F000028D8A082BC000068020400001C0E
+:101BD000D8E081BC0000161304010080626083BC61
+:101BE000000059022D000000D82080BA00005402E5
+:101BF000120100E802C021B218003600000000F80F
+:101C0000730A03F9000056020401008022802DBCF3
+:101C100000005902CD0100D8024084920000161342
+:101C20000401008002802DBC00001613800100809A
+:101C3000A2802FB600005302000000F8A2802F956A
+:101C400000005C020400008072802DBC00001613AE
+:101C50001200004412E238B200006602000000D810
+:101C600012802D9A0000000000000084F8418834A2
+:101C7000000016131200004412E238B218003600B9
+:101C8000000000F8730A03F90000640206010080F6
+:101C900022802DBC000016130401008002802DBCA0
+:101CA0000000161380010080A2802FB600005E02A3
+:101CB000670000F8A2802FB500005F02000000E876
+:101CC00002C02192000016130401008072802DBC16
+:101CD00000000000000000D802C000320000C9105F
+:101CE0000000004408802DF2000047020000003090
+:101CF000080000920000700280000080D2802FB6A1
+:101D000000006B02120100E802C021B21800360088
+:101D1000000000F8730A03F900006D02040100805E
+:101D200022802DBC00007002000000D80240849286
+:101D3000000016130401008002802DBC0000161361
+:101D400080010080A2802FB600006A02000000F827
+:101D5000A2802F9500000000CD000084F841883457
+:101D6000000016131200004412E238B20000000016
+:101D7000000000D40240003200000000000000A477
+:101D800022C0823A0000790204010080420086BC31
+:101D90000000000000080058074087320000780269
+:101DA0008F010074184087BA000000000000007422
+:101DB0000800003200007B0200040058F7A0869A59
+:101DC0000000000000000078F9A0863A280000001A
+:101DD00000080058878D973C00000000000000D8E4
+:101DE000024000321800000000000000B760853992
+:101DF000080000000008000087CD853700007E0243
+:101E00001200004CF2C138B400000000000000488D
+:101E100018A0843A00000000000000D40200003244
+:101E2000000000000000008057A1863A4100000039
+:101E30000006008C07003632000000000008008019
+:101E400007C08532000000000010008C074085327A
+:101E500000000000000000D80280003200001613CD
+:101E600004000058088071B20000000000000080EB
+:101E70000880003218003600000000F8730A03F9E9
+:101E800000008C020401008002802DBC00001613AB
+:101E900080010080A2802FB600008802000000F8B8
+:101EA000A2802F950000880204010080180088BCE1
+:101EB00000008F0290190058E89C85BA00000000CD
+:101EC000000000581880853A0000000000180080CB
+:101ED000078585300000940204010080420086BC22
+:101EE00000000000000000D80240003200000000A6
+:101EF00000000008898071370000950200120084FC
+:101F000027E48292000000000012008407000032E3
+:101F100000009902270000FC020085B2000099022F
+:101F20000400008042603DB318000000000000F88B
+:101F3000738A033995023600000000C00200369211
+:101F4000000016131200005C52812CB400009D02A8
+:101F500004010080028082BC000016138000008013
+:101F6000A2802FB60000A301000000D4020000925E
+:101F70000000A00204010018D8A081BC0000C91014
+:101F80000000004408802DF200002D02C70100303F
+:101F90000800009200002D02C701006CD8E0869A6C
+:101FA00008000000C60100F8934001391900000044
+:101FB00000000010090036320000801200000014FA
+:101FC000094081D200000000000000140845813063
+:101FD00000001613120100BC08C021B20000161345
+:101FE00080000080A2802FB60000F6038001808070
+:101FF000320B6AB600006A100000003C030038F2A1
+:102000000000AC020406018002C06EBC0000161382
+:10201000870601EC56E06EBA0000F3030000008072
+:102020000200009000001613870601EC56E06EBA1D
+:1020300000000000000000F842802F3408C0161392
+:1020400012000040A2CD39B218003600000000F89E
+:10205000730A03F90000161303B8000009C06EBD2F
+:10206000B202000000000088820D903A2F005E0648
+:102070000000001C080036920000161300000080CB
+:10208000020000902C005E060000001C0800369242
+:1020900000001613000000800200009000001613DC
+:1020A0000000008002000090000016130000008075
+:1020B0000200009038005E060000001C0800369206
+:1020C00039005E060000001C08003692080000007F
+:1020D000000000F89340013900001613120100BC03
+:1020E00008C021B20000161380000080A2802FB625
+:1020F0000000161380008080320B6AB600006A1060
+:102100000000003C030038F20000C102040000801F
+:10211000524082BC0000161304010080624082BC61
+:10212000000016130405018002C06EBC0000000010
+:10213000000000F842802F3408C01613120000403F
+:10214000A2CD39B218003600000000F8730A03F976
+:10215000000000000004017809C06E320000000099
+:10216000006201EC068097320900000000000010B8
+:1021700009003632000080120004011409C06ED23A
+:102180000200CB0204B8008082CD6EBC080016139A
+:1021900004B9008082CD6EBC00000000000601EC96
+:1021A000064000320000CC02B50000D8020000B2A8
+:1021B00000000000A50080A0360B6A34000000007B
+:1021C000003002E806C02C320000000000000000D1
+:1021D000078000320000000000000078A9002D37C1
+:1021E0001805010000080000C78D973A00000000A4
+:1021F0000000007899C02C3718010000000000781A
+:10220000898D973A000016130210000087BF97BA15
+:1022100000000000001800000740FE320000161306
+:1022200012000048F2C138B418003600000000F86F
+:10223000730A03F900000000001801E006000032F4
+:1022400000000000000000F882852F3000006806C2
+:102250000000001C0800369208000000000000F892
+:102260009340013900001613120100BC08C021B2CE
+:102270000000161380000080A2802FB660001613A5
+:10228000040100F8828D2FB007000000000000104C
+:10229000090036320000801200000014094081D28B
+:1022A0000000E50280008080320B6AB61700000053
+:1022B00000000010090036320000801200380014BF
+:1022C00009C06ED20000F6030000008002000090FA
+:1022D00000006A1000000038030038F20000E80235
+:1022E0000402018002C06EBC0000F303000201EC96
+:1022F00056E06E9A00000000C00301EC56E06E3A12
+:10230000000016138001008002802FB600C0161353
+:1023100012000040A28D39B218003600000000F80B
+:10232000730A03F9200016130439008082CD6EBCB5
+:102330001200000000000010090036320000801278
+:102340000030001409006ED21500000000000010DB
+:1023500009003632180000000002011489CD6E37E2
+:102360000000801200200114895B91D21B00F4024E
+:1023700038010010090036B200008012003001144C
+:1023800009006ED21800000000000010090036326B
+:102390000800000000000014790B143810008012AF
+:1023A00000500114A95B91D90000F902042801141E
+:1023B00009006EB21C00801200000010090036D225
+:1023C000000005033828001809006EB20000FD0265
+:1023D0000421010869246EBC000016130901008065
+:1023E000020000B0030068060000001C08003692DE
+:1023F0000000010302300080829B90BC00000003BB
+:102400000603018012C06EBC040068060000001CB8
+:1024100008003692050068060000001C080036928D
+:10242000000016130430008002006EB200000403A6
+:102430000603018012C06EBC0B0068060000001C81
+:10244000080036920C0068060000001C0800369256
+:10245000000008030421010869246EBC0000161363
+:1024600009010080020000B0030068060000001CA3
+:102470000800369200000C0302300080829B90BC62
+:1024800000000B030603018012C06EBC0400680646
+:102490000000001C08003692050068060000001CC1
+:1024A0000800369200000E039F31010C69246EBCB7
+:1024B000000000000000000C0900003200001203C0
+:1024C00004310004899B90BC0000110306030180C5
+:1024D00012C06EBC200068060000001C0800369286
+:1024E000210068060000001C080036920000161348
+:1024F0009F000080024090B200001503040201809A
+:1025000012C06EBC220068060000001C0800369253
+:10251000000017030401000039A490BC23006806E2
+:102520000000001C08003692000016139F00008077
+:10253000020090B2240068060000001C08003692D9
+:10254000080016130C0000F8634001B910001D03C9
+:10255000C50100CC02201598080091030C0000F87A
+:10256000434001B910000000C50100CC022015381D
+:102570000000000000000010090036320000801248
+:1025800000000014090080D200001613120100BCE4
+:1025900008C021B200006A100000003C030038F2BD
+:1025A000000000000000005C0805803000001613E9
+:1025B0000401008002402DBC0000161302010080BF
+:1025C00082FA85BC000016130601008092FA85BCD1
+:1025D0000000270336010080020000B00F006806EB
+:1025E0000000001C0800369210000000002C0200C1
+:1025F000A9DB8539000016131200005402A438B27A
+:10260000000000000008028C08C06E3200000000CC
+:10261000000C029828806E37000000000000009C2B
+:1026200038221437000032030430002808006EB24C
+:10263000000016130410006C08006EB200000000C9
+:102640000018004C08006E32000016130420001819
+:1026500008006EB200000000003C001408806E32DA
+:10266000050035030038020078E16E990000000093
+:10267000510000D80200003200000000003802784B
+:1026800009C06E32050000006808000077A1973984
+:10269000000037031201000009C021B21800360003
+:1026A000000000F8730A03F900000000545401FC14
+:1026B00002C06E3214103B0304000080A20D72B001
+:1026C0000000F3110000002809C002F20E006806A5
+:1026D0000000001C08003692000016130609008056
+:1026E00082BD72BC00004F03331500A402C072B259
+:1026F00000008C0380010080B20172B60101420328
+:1027000004290080828D74BC080A8C03042D00808B
+:10271000828D74BC000000000030007C080075321F
+:1027200000004903003800881800759C080A8C03D3
+:1027300004290080828D74BC10000000002C007CF5
+:10274000888D7537000000000030007C68DD87321E
+:10275000000048039F390088188075BC10000000F5
+:1027600000340088888D7537000049030000008818
+:102770001880889C1000000000340088689D88390B
+:1027800037000000000000100900363200008012FF
+:102790000000001409C087D23B00000000000010B8
+:1027A000090036320000801200000014098088D22F
+:1027B000000050039FF1018082DB87BC00008C0386
+:1027C000000000800200009000008C038000008068
+:1027D000B20172B60000000000080048080075321F
+:1027E00000000000001000700800753200000000BA
+:1027F000001C007438A2753700005503831B007855
+:1028000008C074B200000000000000F8C2802F343D
+:102810002F00000000000010090036320000801276
+:1028200000000014098084D2340000000000001071
+:10283000090036320000801200000014090087D21F
+:1028400000006B039F780180C2216EBC00005D0315
+:102850009F990164881B87BC00006C039F6801641A
+:10286000885B86BA000000000000006408000032A7
+:1028700000000000001600A402C072320000000038
+:10288000003C02A4B25B2A3A00000000003A027841
+:1028900009C06E3200006D0308010004E8A575BC94
+:1028A0003F000000000000100900363210008012C6
+:1028B00000040014695D80D910008C030B01001C1A
+:1028C000080036B200006B0304A10180829B84BC27
+:1028D000000068069F980180C2216EBC0000680657
+:1028E00006B10180825B87BC00008B030B01008076
+:1028F000020000B000006C0304990180C2216EBC8C
+:102900000000890302D4018092FB6EBC16006806A9
+:102910000000001C08003692170068060000001C2A
+:10292000080036921C0068060000001C0800369261
+:102930003F00000000000010090036321000801235
+:1029400000040014695D80D90000710304A10180B6
+:10295000829B84BC0000780306A80180825B80BC57
+:102960000000750304A9018002006EBC00008A0308
+:1029700004A10180829B84BC00008A0304010080C2
+:10298000124080BC140068060000001C080036924B
+:1029900000008A039FA0017829216EBC00008A03F1
+:1029A0000201008012A097BC00006B0300000080B1
+:1029B000020000900000850304000080028082BCB9
+:1029C000000016130402018002C06EBC00007E03EA
+:1029D00002000080A26080BC060068062C01001C7A
+:1029E000080036B200C0820304010080A28D2FB01F
+:1029F000060068060000001C0800369200008203F2
+:102A000004000080A26080BC0000810306030180F6
+:102A100012C06EBC090068060000001C0800369257
+:102A20000A0068060000001C0800369200008403BB
+:102A30000603018012C06EBC070068060000001C7F
+:102A400008003692080068060000001C0800369254
+:102A5000020068063801001C080036B20000880336
+:102A6000020C0280A25B80BC1F0068060000001CF4
+:102A7000080036921E0068060000001C080036920E
+:102A800000008D03000000280940009200008D0323
+:102A9000000000280980009200008D03000000283B
+:102AA00009C0009200008D03000000280900019277
+:102AB00030000000000000100900363200008012D3
+:102AC00000000014098092D20E00F3110000001CD7
+:102AD000080036F200006806000000800200009046
+:102AE000100016132A0000CC022015B80D000000BB
+:102AF00000000010090036320000801200000014AF
+:102B0000090080D200001613120100BC08C021B2D7
+:102B100000006A100000003C030038F21D00990319
+:102B20008001007809E000B800001613040100805D
+:102B3000328097BC1D0068060000001C0800369219
+:102B40000000161304010080228097BC150068065F
+:102B50000000001C08003692000000000000001C6D
+:102B6000A8052830000016130400008002C02CBC09
+:102B700000001613120100BC08C021B20000161399
+:102B800080000080A2802FB660001613040100F8B8
+:102B9000828D2FB008000000000000F8834001394A
+:102BA0003600A4030400008082CD81BC0500000033
+:102BB00000000010090036320000801200000014EE
+:102BC00009C081D20000020480018080320B6AB605
+:102BD00000006A1000000038030038F22C0068067C
+:102BE0000201008082CD81BC00005E0600000080F2
+:102BF0000200009000001613120100BC08C021B2B0
+:102C00000000AB031D41025CF80168B44100F3030E
+:102C1000000000F8A28D2F91350000000000001088
+:102C200009003632000080120000001409C085D26D
+:102C300010000000D02C0200A9DB85390000290318
+:102C40001201005402A438B20000161300000080E4
+:102C5000020000900000B40304B0008002006EBCCB
+:102C60000000B40380B9008082806EB600000013BB
+:102C70000078016008006EF230005E06D700001C8C
+:102C8000080036920000B60380010080D2812FB682
+:102C900031005E06D700001C080036920000B80321
+:102CA0008001008042812FB635005E06D700001CEF
+:102CB000080036920000C50304A8010809006EB29E
+:102CC0000000000000200208899B903E00000000E8
+:102CD00000A00108899B903A0000C5039F88010865
+:102CE000899B90BC000000000034020009C06E3DCA
+:102CF00000000000000C020409A46E370000C103AC
+:102D00000200008012A490BC000000000000000837
+:102D1000198090370000C50302010280829B90BC9D
+:102D200031005E06D700001C080036920000C50383
+:102D300004B0008002006EBC0012C50304010080D4
+:102D4000A28D2FB032005E06D700001C080036921C
+:102D50000000F303000000F872812F9500000000CE
+:102D6000000000F842802F3408C0AF02120100407A
+:102D7000A2CD39B2000016130000008002000090BE
+:102D800008000000000000F893400139080000002E
+:102D9000000000100900363200008012000000140C
+:102DA00009C081D2000016130400008002C02CBCB0
+:102DB0000000161380000080A2802FB6600016135A
+:102DC000040100F8828D2FB0000002048001808091
+:102DD000320B6AB600000000000000140840903278
+:102DE00000006A1000000038030038F22C0068066A
+:102DF0000201008082CD81BC00005E0600000080E0
+:102E00000200009008000000000000F89340013923
+:102E10000800000000000010090036321000801287
+:102E200000000014894D81D70000161304000080B3
+:102E300002C02CBC0000161380000080A2802FB6B8
+:102E400060001613040100F8828D2FB00000020408
+:102E500080018080320B6AB600006A1000000038E2
+:102E6000030038F20000DF030420018052206EBC12
+:102E70000000161309010080020000B02600680659
+:102E80000000001C08003692250068060000001CA7
+:102E9000080036920000E503040100D81E80EDBC56
+:102EA0000000E103B70000D80EC0EDB20000E4035B
+:102EB00004010080423BEEBC00000000000000E086
+:102EC0001E00EE3A00000000A70000D00E00EE3217
+:102ED00000000000007486CC02806C32000000000C
+:102EE000000000000940E7320000E9038001808013
+:102EF000320B6AB6360016131200002C82CD2EB2A9
+:102F00000000EB030401008042C52CBC0000EC0370
+:102F1000000000CC0200009200000000000000CC85
+:102F200012C02C3A0000E70304010000190090BC15
+:102F300000000000007486C806C02C32080002049D
+:102F4000000000F8C34001990000F1030400008074
+:102F5000028080BC0000161304550180B2DB2FBC38
+:102F6000000054100000002C090000F20000F603DD
+:102F700000000080020000900000F50304000080C3
+:102F8000028080BC0000161304550180B2DB2FBC08
+:102F9000000054100000002CF90100F40000FF03B1
+:102FA00004000028098080B200000000000000D862
+:102FB000020000320000811100000008080000D269
+:102FC0000000FF0304000080028092BC180036005D
+:102FD000000000F8730A03F9000002048001008079
+:102FE000A2802FB6000002041201000009C021B225
+:102FF00018000000000000F8730A033902043600CC
+:10300000000000C00200369200000204800100802F
+:10301000A2802FB6000002041201000009C021B2F4
+:1030200018003600000000F8730A03F900000000E1
+:10303000000000F80200003218003600000000F81E
+:10304000738A029910000000000000E40300363289
+:1030500002000001000000E0030037320000000021
+:10306000000000E40300363204000001000000E02C
+:1030700003003732AA040000000000E403003632E7
+:1030800009000001000000E00300373200000000EA
+:10309000000000CC0F00003200070000000000E438
+:1030A0000300363206000001000000E00300373262
+:1030B00020000000000000E4030036320800000198
+:1030C000000000E00300373200010000000000E4CF
+:1030D0000300363205000001000000E00300373233
+:1030E00030000000000000E4030036320700000159
+:1030F000000000E00300373200A00000000000E400
+:103100000300363208000008000000E003003732F8
+:1031100000000000000000A00200003200000000DB
+:10312000000000000B000032000016048B0100A01C
+:1031300012002ABA00000000000000A802000032BD
+:1031400000000000000000E0070000320000190449
+:103150000601008002802ABC000000000000009CE4
+:103160000200003200000000000000D40200003223
+:1031700000000000000000CC02000032000000004F
+:10318000000000D80200003200000000000000D063
+:103190000200003200000000000000DC02000032EB
+:1031A00000000000000000F80200003200000000F3
+:1031B000000000C80200003200000000000000C44F
+:1031C0000200003200001C048501009C12C029BAD4
+:1031D00000000000000000E4030036320B00000491
+:1031E000000000E00300373280000000000000E42F
+:1031F0000300363213000004000000E00300373201
+:1032000000200000000000E4030036320C0000043F
+:10321000000000E00300373200000000000000E47E
+:10322000030006320F000004000000E00300373204
+:1032300000040100000000E4030037320D00000428
+:10324000000000E00300373200040000000000E44A
+:103250000300363214000004000000E0030037329F
+:103260009F000000000000E4030036321500000457
+:10327000000000E00300373200000000000000E41E
+:103280000300363218000004000000E0030037326B
+:1032900060000000000000E4030036321D0000045E
+:1032A000000000E00300373200000000000000E4EE
+:1032B000030004321E000004000000E00300373267
+:1032C00070000000000000E4030036321F0000041C
+:1032D000000000E00300373200000000000000E4BE
+:1032E0000300003220000004000000E00300373239
+:1032F000A0030000000000E40300363217000004C1
+:10330000000000E00300373240000000000000E44D
+:10331000030036321B000004000000E003003732D7
+:1033200060000000000000E4030036321C000004CE
+:10333000000000E00300373200000000000000E45D
+:103340000340003216000004000000E003003732A2
+:1033500000010000000000E4030036321A000004FF
+:10336000000000E00300373220010000000000E40C
+:103370000300363219000004000000E00300373279
+:1033800080000000000000E4030036320B00000162
+:10339000000000E00300373200010000000000E4FC
+:1033A000030036320C000001000000E00300373259
+:1033B000FEFF0000000000AC0200363200000000FA
+:1033C000000000000900003218000000000000F8B2
+:1033D0000364023900004F0485010000190090BA0F
+:1033E00025260000000000E4030036320100000141
+:1033F000000000E003003732000000000000008001
+:103400000F00003200000000000000840F000032B6
+:1034100008000000000000F8F34001390800000037
+:10342000000000F8E340013908000000000000F847
+:10343000C340013908000000000000F8B340013922
+:1034400008000000000000F8A34001390800000057
+:10345000000000F89340013908000000000000F867
+:103460008340013908000000000000F87340013972
+:1034700008000000000000F8634001390800000067
+:10348000000000F85340013908000000000000F877
+:103490004340013908000000000000F833400139C2
+:1034A00008000000000000F813400139000000008F
+:1034B000000000F80380003200000000000000C897
+:1034C0003F80FC35000000000000009C020000323C
+:1034D0000000000000000000030000323E00000079
+:1034E000000000D00200363200000000000000287A
+:1034F000034038320000161304010080D20130B6B8
+:1035000000006704040100D012002DBCA0040000DC
+:10351000000000E40300363203000001000000E078
+:103520000300373200000000170000D00200003214
+:1035300000000000000000ACE100003400000000CA
+:10354000000001E00600003200000000000801E475
+:103550000600003200000000000E01EC0600003200
+:1035600000000000001001E0060000320000000032
+:10357000000000D012002D3A3E006F0402010080CE
+:10358000820D2DBC020000000000009CAE0D023236
+:1035900000000000000000A802000032300000001F
+:1035A000008886CC0700363200000000008A86CCF6
+:1035B0000700003A002400000000000409803632B1
+:1035C0000000161312000064024090B200000000D8
+:1035D000000000042940903A00007B0412000078AB
+:1035E00009C020B20000161380010080F28197B656
+:1035F0001D00161380010078E9E500B80000000006
+:103600000000007809459030000079040201008034
+:10361000C28297BC0000000000000084020000325B
+:1036200000000000000000CC030000320000810414
+:103630008E010080024028B20000BD10000000D8BA
+:10364000020000D2AA1100000000008C0E003632E9
+:1036500052000000000000740E0036321800000016
+:10366000000000E40300363209000002000000E020
+:1036700003003732FECA0000000000E403003632C7
+:103680000A000002000000E00300373200008C0452
+:1036900012010000094020B200008A0400000080EE
+:1036A0000200009000008C0412000004094020B2C7
+:1036B00000008F049F010080020090B200008E0481
+:1036C00012000008094020B202008A0404010078B8
+:1036D000092417B8060000000000007809641638B5
+:1036E00000008A0404010080028197BCFE000000F3
+:1036F0000000004403003632FE003600000000489F
+:10370000030036920000161312000000094020B298
+:103710000000950412000004094020B20000980443
+:103720009F010080020090B2000097041200000880
+:10373000094020B200000000000000B402009032F6
+:103740000000161300000080020000900000161315
+:1037500000000080020000900000161300000080AE
+:10376000020000900000161300000080020000908C
+:1037700000001613000000800200009000001613E5
+:10378000000000800200009000001613000000807E
+:10379000020000900600AA040000000C09641698BC
+:1037A0000000A10200000014084090920000DB021B
+:1037B00000000014084090923400C9030000001C6F
+:1037C000080036921200C9030000001C080036925F
+:1037D0003A00C9030000001C0800369200001613CE
+:1037E00000000080020000900000BA0200000014F7
+:1037F000084090920000DE0400000080020000906B
+:103800000000D4030000001408409092AB040000B4
+:103810000000008882CD903A0D00CD04000000FC2D
+:1038200002E416980D00DF04000000FC02E4169884
+:103830000D00E804000000FC02E416980000F60405
+:103840000000008002000090000000050000000061
+:103850000940909D000006050000008002000090D5
+:1038600000001005000000800200009000001A0512
+:10387000000000800200009000002405000000000D
+:103880000940909D00002B05000000800200009080
+:1038900000003405000000000940909D00003B0539
+:1038A00000000080020000900000AA050000000057
+:1038B000090000920000AA050000000009400092E3
+:1038C0001D07AC05000000A0020036920000BA05FA
+:1038D000000000800200009000001613000000802D
+:1038E000020000900000DE04000000DC0F40909217
+:1038F00000007E05000000800200009000008305AB
+:10390000000000D40200009210009805000000841E
+:103910001F6414980000DE04000000EC0E4090923A
+:103920000000A40500000080020000900000DE04FA
+:10393000000000D40E4090920000A7050000008017
+:103940000200009000004E06000000DC0E40909245
+:103950000000CB0500000080020000900800D005A8
+:10396000000000501F2416980000E805000000D851
+:10397000020000920D00F305000000FC02E416981E
+:103980000000F405000000D00200009200001F01BA
+:10399000000000D00200009200001513000000801B
+:1039A000020000900000161300000080020000904A
+:1039B00008000000000000F89340013900000000FA
+:1039C00000000078094590300000161306010080C1
+:1039D000228097BC3F00161304010080820D00B0C6
+:1039E0000200D104B00000A0F20B00B900000000FA
+:1039F000A00000046B4190340000020480010080AC
+:103A00000240B0B600000204040000800280B0BC96
+:103A100000000000000000D802000032000000009A
+:103A2000000000A822C02F370000000000000000A6
+:103A3000670100340042000000080000878D2A3A28
+:103A400000001613041000000700B0B200000000D0
+:103A5000001800000700D0320000161312000048C2
+:103A6000F2C138B418000000000000F8730A0339EE
+:103A700002043600000000C0020036920800020472
+:103A8000000000F8934001990000E2049F000080CC
+:103A9000020090B2000000000000000809409032CF
+:103AA000000000000000000409C0FD320200E20432
+:103AB000B00000A0F20B00B9000000000000000000
+:103AC0000B80903200000000000000000D4090329A
+:103AD00000000000A00000043B40B0310000DE0404
+:103AE0000400008002C02FBC8411DE040000008CA2
+:103AF0000E003692000016130200008002C12FBC97
+:103B000008000000000000F8934001390200EA04B8
+:103B1000B00000A0F20B00B90000ED0480010080AD
+:103B20001240B0B600000000000000043B40B0337B
+:103B30000000000000000004FD4BD0350000000034
+:103B4000000000080B00003200000000A000000C84
+:103B50001BE4B032000002040B000080020000B041
+:103B60000000F30404000080024090B21F00020431
+:103B700000000080114000990000F2040400008061
+:103B8000123EF8BA00000000000000800100F83288
+:103B900000000204000000900140F892000016139B
+:103BA000800000800281FCB60000FA049F000080C3
+:103BB000020090B2000000000000000809409032AE
+:103BC000000000000000000409C0FD3200001613D0
+:103BD00004010080428590B000000000000000E475
+:103BE0000380903209000004000000E00300373237
+:103BF00000000000000000E4034090320A000004CE
+:103C0000000000E0030037320000DE04000000C8BE
+:103C10000F81FC940000161302010080724290BCD8
+:103C20000000161306010080E24290BC000016134B
+:103C300004010078096490B500000000000000E471
+:103C40007300903C10000004000000E003003732D5
+:103C50000000DE0400000080020000900000090562
+:103C60009F000080020090B20000000000000008E9
+:103C700009409032000000000000000409C0FD323D
+:103C80000000161304010080428590B0000000007F
+:103C9000000000E40380903201000004000000E016
+:103CA0000300373200000000000000E00F80903277
+:103CB00000000000000000E4034090320200000415
+:103CC000000000E0030037320000DE04000000E4E2
+:103CD0000F409092000013059F000080020090B2F8
+:103CE00000000000000000080940903200000000C1
+:103CF0000000000409C0FD3200001613040100801A
+:103D0000428590B000000000000000E40380903283
+:103D100003000004000000E0030037320000000050
+:103D2000000000A80E80903200000000000000E4B7
+:103D30000340903204000004000000E0030037322A
+:103D40000000DE04000000AC0E40909200001D0553
+:103D50009F000080020090B20000000000000008F8
+:103D600009409032000000000000000409C0FD324C
+:103D70000000161304010080428590B0000000008E
+:103D8000000000E40380903205000004000000E021
+:103D90000300373200000000000000E403409032CE
+:103DA00006000004000000E00300373200000000BD
+:103DB000000000440F8090320000DE040000004844
+:103DC0000F4090920000161306010080824290BCC2
+:103DD0000000161304010078096490B5000028055E
+:103DE00004010080824290BC00000000000000003E
+:103DF0000900003200000000000000E403009032DF
+:103E000012000004000000E0030037320000DE046E
+:103E1000000000401F40909C00002E059F00008085
+:103E2000020090B20000000000000008094090323B
+:103E3000000000000000000409C0FD32000016135D
+:103E400004010080428590B000000000000000E402
+:103E50000380903207000004000000E003003732C6
+:103E600000000000000000E403409032080000045D
+:103E7000000000E0030037320000DE040000008094
+:103E8000020000900000161306010080824290BCE0
+:103E90000000161304010078096490B5000038058D
+:103EA00004010080824290BC00000000000000007D
+:103EB0000900003200000000000000E4030090321E
+:103EC00011000004000000E0030037320000DE04AF
+:103ED000000000FC1F40909C00003E059F000080F9
+:103EE000020090B20000000000000008094090327B
+:103EF000000000000000000409C0FD3203090000BA
+:103F0000000000280800363200005705000000308D
+:103F1000080036D20000610500000044088000D28D
+:103F20000000470504010080020084B2030E000077
+:103F300000000028080036328000570500000030DD
+:103F4000080036D2000061050000004408C000D21D
+:103F50000000470504010080020084B200004E0505
+:103F600000000044080001928002000000000000F0
+:103F7000070036328C0501000008000007003732C8
+:103F80000000161304100000078090B2000000002B
+:103F900000180000074090320000000000000048B8
+:103FA000F2C138340000161312000080020000B085
+:103FB00018003600000000F8730A03F92000000022
+:103FC000000000E40300363209000002000000E0B7
+:103FD0000300373200000000000000E40340843298
+:103FE0000A000002000000E0030037328C050100E7
+:103FF000000000A802003732A0000000000000000E
+:104000000900363200000000000000E00700003226
+:104010000000540506010000190090BC0000DE04F9
+:1040200000000080020000908C050100000000C824
+:1040300002003732800200000000003C08003632E7
+:1040400000000000000000340800013200005C05A0
+:1040500002000080D2E083BC0000000000000034B9
+:1040600008C083320000720500000080020000F0EA
+:1040700000000000000000A0078083320000000064
+:1040800000000030D820833A00005A050401003CAB
+:10409000D8E083BC00000000000100800200005056
+:1040A0000000000000000040080000320000000096
+:1040B00000000048080000328C050100000000C824
+:1040C0000200373200020000000000C8828D2C3A46
+:1040D000800000000000003C0800363200000000B4
+:1040E00000000078098078325A5A000004010080EC
+:1040F000828D975C00006A0502010048A89E84BA80
+:1041000000000000000000481880843A00006805A4
+:104110000601003C28C083BC0000000000000078BD
+:10412000098584301000000000000048888D843626
+:1041300000006F0590010048E8A584BA0000000067
+:10414000000000481880843A000000000000004889
+:104150000885843000000000040100800285845C32
+:104160000000000000010040084000520000000074
+:10417000000000E40300833201000002000000E0C0
+:10418000030037320C0078050000002CD8A082F91B
+:1041900005000002000000E00300373200000000CC
+:1041A0000000008002000030000000000001003824
+:1041B00008403E7200000000000000E403C08232AC
+:1041C00002000002000000E003003732020000029B
+:1041D000000000E003003732000000000000008013
+:1041E0000200003000007A0580000080F2403EB6F8
+:1041F0000000000000010080020000700000810546
+:104200009F000080020090B2000000000000000843
+:1042100009409032000000000000000409C0FD3297
+:1042200000000000000000840E8090320000DE04D8
+:10423000000000880E40909208000000000000F886
+:1042400093400139000087059F000080020090B272
+:10425000000000000000000809409032000000004B
+:104260000000000409C0FD32000000000000002032
+:104270000740F5320000000000080020070000326F
+:10428000000000000010002007C0F5320000000010
+:10429000001800200740F632000000000020002037
+:1042A0000780F632000000000028002007C0F63228
+:1042B00000000000003000200700F732000000007E
+:1042C000003800200780FF3200000000000000D806
+:1042D0000200003200000000000000000740093228
+:1042E000000000000008000077C02937000000002F
+:1042F000001000000780903200000000001800004D
+:10430000074090320000161312000048F2C138B482
+:1043100018003600000000F8730A03F900000000DE
+:1043200000000008C80100340000F603000000FC93
+:104330000200009200009A0580010080F24190B6D0
+:1043400000009B05000000C82F81FC9400000000C5
+:10435000000000C82F81FC35000000000000008034
+:104360000F45903000009E0502000080027EF8BCE0
+:1043700000000000000000840F00F8320000000080
+:10438000000000001940F837000000000000008421
+:104390003F40F83700000000000000840F64F83A46
+:1043A00000000000000000001900F83700000000C5
+:1043B000000000803F00F8370000DE0400000080AD
+:1043C0000F24F89A0000A60580010080F24190B603
+:1043D0000000DE04000000C83F81FC940000DE0401
+:1043E000000000C83F81FC950000A9050401008081
+:1043F000024090BC000000000000000409C0003230
+:104400000000DE04000000E41E40909C000000005C
+:10441000000000A8220090370000DE04000086C0E3
+:104420000740909208000000000000F89340013916
+:104430000D000000000000FC02E41638000000003F
+:1044400000000000090002320000B40504000080F2
+:104450000200B0B200000000000000000B000032BB
+:1044600020000000000000A0820D2A3A0000AF05E5
+:1044700004010000190090BC0000B60500000028EF
+:104480007901009400000000000000C83F80FC3467
+:1044900040800000000000280980363200008111B1
+:1044A000000000D8020000D20000020404000080D6
+:1044B000028092BC18000000000000F8730A033963
+:1044C00002043600000000C002003692EA05C00572
+:1044D00004010080824D90BC00000000000000EC50
+:1044E0000F00153200FE1F00000000F00F003732F1
+:1044F000F0FF0000000000E80F00363298050000D1
+:10450000000000F40F0036320000C605000000C8AD
+:104510004F80FC953623161304010080824D90BC19
+:1045200000000000000000EC0F80143200F81F00B3
+:10453000000000F00F003732C0FF0000000000E86C
+:104540000F00363298270000000000F40F003632CA
+:1045500000000000000000C84F80FC340400000090
+:10456000000000608F4D903A00001613600100803B
+:10457000020000B0000016137A010080020000B0B3
+:104580000000421100000080020000D00000DE04A4
+:1045900000000080020000900000CD058001008036
+:1045A000024090B600000000000000C86F80FC349C
+:1045B0000000CF0580010080124090B6000000008E
+:1045C000000000C85F80FC340000DE0400000080B2
+:1045D000020000900000D20504010080B24190B0BA
+:1045E0008007DE04000000C88F8DFC910000D40518
+:1045F00080000080124090B60000D505000000C881
+:104600007F80FC9500000000000000C87F80FC3423
+:104610000000D70580000080024090B60000D80559
+:10462000000000C88F80FC9500000000000000C85A
+:104630008F80FC340000DA0580000080424090B694
+:104640000000DB05000000C89F80FC950000000012
+:10465000000000C89F80FC340000DD058000008061
+:10466000324090B60000DE05000000C8AF80FC9527
+:1046700000000000000000C8AF80FC340000E1052D
+:1046800080000080224090B6841100000000008C61
+:104690000E0036320000E305000000C81F81FC95C3
+:1046A000AA1100000000008C0E003632000000004D
+:1046B000000000C81F81FC340000161306010080B2
+:1046C0008202F5BC00001613030000780900F5BD56
+:1046D0000000161304010080E225F5B5100000006B
+:1046E0000000004C1F2416380000DE0400000050BB
+:1046F0001F00F59C8007161304000080828DFCB01B
+:104700000000EC059F000080020090B20000000055
+:104710000000000809409032000000000000000482
+:1047200009C0FD3200000000000000001700F53A4B
+:104730008C04010000080000070037320000161347
+:1047400004100000078090B2000000000018000074
+:10475000074090320000161312000040F2C138B436
+:1047600018003600000000F8730A03F90000DE04A8
+:1047700000000080020000900000DE04000000EC59
+:10478000034090920000161304000080024090BC89
+:104790000000F505B20000D8020000B200000000E1
+:1047A000000201EC16E46E3A08000000000000F878
+:1047B0009340013900001F06171001F802006EB285
+:1047C0000600000604010080828D2FB00300000067
+:1047D000000000F8828D2F3200C061100000002818
+:1047E000098036D200000000000201EC16C06E3CC9
+:1047F00000000000001886C80600003218003600CD
+:10480000000000F8730A03F900000106000000D060
+:1048100002000092000007060419868002806CBC2A
+:10482000000016138001008012802FB600000000E7
+:104830000000000009006E3200000000C108000402
+:1048400009006E3200000000C01586780FC06C327F
+:1048500000000D068001008022802FB600000D06AA
+:10486000001886C8064000920000161380010080E0
+:1048700022802FB6000000000040000009006E32C8
+:1048800000000000C248000409006E320000000071
+:10489000C01686780FC06C3200000D0680010080C3
+:1048A00012802FB600000000001886C806000032F3
+:1048B0000040000000000028098036320000150684
+:1048C0000402018002C06EBC00006110000201EC15
+:1048D00016C06EDC000013068000008002802FB638
+:1048E00000001506810000F822802FB40000150694
+:1048F000001886C80640009200001506820000F8E5
+:1049000012802FB400000000001886C80600003294
+:10491000000016130401008002002DBC00001613D5
+:104920000401008002802DBC00000000001086C839
+:1049300006000032000000000000000007C00A323C
+:10494000003800000008000007003632000016138F
+:1049500004100000070090B20000000000180000E2
+:10496000074090320000161312000040F2C138B424
+:1049700018003600000000F8730A03F90000000078
+:10498000170100F8A2802F34000016130210868051
+:1049900072826CBC00000000001086A842806C3758
+:1049A00000002A061200703802007EB200001613C2
+:1049B0001200703C02007EB200001613120070302C
+:1049C00002007EB2000016131200703402007EB2A4
+:1049D0000000210602010080B2822ABC0000000013
+:1049E000170000D00200003206000006040100801B
+:1049F000828D2FB00000FA050403018002C06EBC56
+:104A000000003506000000800200009000002C0627
+:104A10000403018002C06EBC00003506001086C889
+:104A200046802A9600000000001086C846802A367C
+:104A3000000030068000008012802FB6030032068E
+:104A4000220000F8828D2FB200003206001886C8BE
+:104A500006000092000035068000008022802FB6FC
+:104A600000000000C20100F802802F3500C0611074
+:104A700000000028098036D200000000000201EC8E
+:104A800016C06E3C18003600000000F8730A03F9E7
+:104A900000000000001001E006802F32000000003E
+:104AA000000000A8E100003400000000A20000FCAB
+:104AB000020000320000F60380010080A2802FB6C1
+:104AC00000003B06B90100D8028001B20000F603E5
+:104AD000000000F802000092000000000000003812
+:104AE0001880F73A0000000000000038F8BF83305B
+:104AF00000003F0604010080F2BD83BC0000F60305
+:104B0000A90000F80200009200C046061801000C3F
+:104B1000A8CD3EB200004206840000741F40F7BAE0
+:104B20000000F603A90000F8020000920000000057
+:104B3000000000740F00003200C046061801000C8F
+:104B4000A8CD3EB218003600000000F8738A03F9C1
+:104B500000004306000000B00200009200000000C8
+:104B60000000007C0F80833200000000002800005D
+:104B70000700003200000000003000000700003293
+:104B800000010080003800000700373200000000FC
+:104B9000003C000C0780833200001613120000480E
+:104BA00002C080B20000161380010080A2802FB6E0
+:104BB0000000F603A9000008E80100940000540674
+:104BC00004010080A2C0EDBC52000000000000748F
+:104BD0000E00363200000000000000C00E4001321E
+:104BE000407E0500000000B40E00373200000000D7
+:104BF000000000C40E80073264005A06000000CC9A
+:104C00000E003692640016130401008082CDEDBCC4
+:104C100029000000000000740E0036320000000081
+:104C2000000000C00E400032A08C0000000000B464
+:104C30000E00363200000000000000C40EC000323A
+:104C400000000000000000CC0E80023210000000C6
+:104C5000000000E4337BEC391E000001000000E09E
+:104C60000300373200000000000000C86EC0EC37BF
+:104C70000000DE04000000D80EC0ED920000161304
+:104C800004310280A2DB2CBC00001613040100805A
+:104C9000028080B200001613021C018052C06EBC5C
+:104CA0002C0016130201008082CD81BC3F00161338
+:104CB0000200008082CD81BC3600670604000080BF
+:104CC00082CD81BC0F0000000000001009003632C8
+:104CD0002C0000000000001489CD813C10008012DF
+:104CE000001C011459E46ED96F0600000000008812
+:104CF00082CD813A0000161304010080028080B248
+:104D00000000161304310280A2DB2CBC0000161335
+:104D10000218018092C06EBC2C00161302000080A5
+:104D200082CD81BC10000000000000100900363266
+:104D3000100080120018011479E06ED96F0600008F
+:104D40000000008882CD813AAE060000001801887C
+:104D500082CD6E3AB70600000018018882CD6E3A07
+:104D6000C00600000018018882CD6E3AC906000016
+:104D70000018018882CD6E3AD20600000018018822
+:104D800082CD6E3ADB0600000018018882CD6E3AB3
+:104D9000E40600000018018882CD6E3AED0600009E
+:104DA0000018018882CD6E3AF606000000180188CE
+:104DB00082CD6E3AFF0600000018018882CD6E3A5F
+:104DC000080700000018018882CD6E3A1107000024
+:104DD0000018018882CD6E3A1A0700000018018879
+:104DE00082CD6E3A230700000018018882CD6E3A0A
+:104DF0002C0700000018018882CD6E3A35070000AC
+:104E00000018018882CD6E3A3E0700000018018824
+:104E100082CD6E3A470700000018018882CD6E3AB5
+:104E2000500700000018018882CD6E3A5907000033
+:104E30000018018882CD6E3A6207000000180188D0
+:104E400082CD6E3A6B0700000018018882CD6E3A61
+:104E5000740700000018018882CD6E3A7D070000BB
+:104E60000018018882CD6E3A86070000001801887C
+:104E700082CD6E3A8F0700000018018882CD6E3A0D
+:104E8000980700000018018882CD6E3AA107000043
+:104E90000018018882CD6E3AAA0700000018018828
+:104EA00082CD6E3AB30700000018018882CD6E3AB9
+:104EB000BC0700000018018882CD6E3AC5070000CB
+:104EC0000018018882CD6E3ACE07000000180188D4
+:104ED00082CD6E3AD70700000018018882CD6E3A65
+:104EE000E00700000018018882CD6E3AE907000053
+:104EF0000018018882CD6E3AF20700000018018880
+:104F000082CD6E3AFB0700000018018882CD6E3A10
+:104F1000040800000018018882CD6E3A0D080000D8
+:104F20000018018882CD6E3A16080000001801882A
+:104F300082CD6E3A1F0800000018018882CD6E3ABB
+:104F40000000A803000000D4020000920000EC0260
+:104F5000000000800200009028080000001C01886A
+:104F600082CD6E3A2D080000001C018882CD6E3A79
+:104F700032080000001C018882CD6E3A370800001C
+:104F8000001C018882CD6E3A3C080000001C01889C
+:104F900082CD6E3A41080000001C018882CD6E3A35
+:104FA00046080000001C018882CD6E3A4B080000C4
+:104FB000001C018882CD6E3A50080000001C018858
+:104FC00082CD6E3A55080000001C018882CD6E3AF1
+:104FD0005A080000001C018882CD6E3A5F0800006C
+:104FE000001C018882CD6E3A64080000001C018814
+:104FF00082CD6E3A69080000001C018882CD6E3AAD
+:105000006E080000001C018882CD6E3A7308000013
+:10501000001C018882CD6E3A78080000001C0188CF
+:1050200082CD6E3A0000B003000000D4020000926E
+:105030000000C603000000D4020000920000710AC4
+:10504000000000100880019200001613000000808C
+:105050000200009000001613000000800200009083
+:1050600000001613000000800200009000001613DC
+:105070000000008002000090000016130000008075
+:105080000200009000001613000000800200009053
+:1050900000001613000000800200009000001613AC
+:1050A0000000008002000090000016130000008045
+:1050B000020000900000B10A000000100880009279
+:1050C000000016130000008002000090000016137C
+:1050D0000000008002000090000016130000008015
+:1050E00002000090000016130000008002000090F3
+:1050F000000016130000008002000090000016134C
+:1051000000000080020000900000161300000080E4
+:1051100002000090000016130000008002000090C2
+:105120000000161300000080020000900000C00A7A
+:10513000000000100880009200001613000000809C
+:105140000200009000001613000000800200009092
+:105150000000130B0000001008400192000016131D
+:105160000000008002000090000016130000008084
+:105170000200009000001613000000800200009062
+:1051800000001613000000800200009000001613BB
+:10519000000000800200009000001B0B00000010C7
+:1051A00008C000920000161300000080020000906A
+:1051B00000001B0B0000001008C000920000220E2F
+:1051C000000000100840019200001613000000804B
+:1051D0000200009000001B0B0000001008C00092AD
+:1051E000000016130000008002000090000016135B
+:1051F00000000080020000900000161300000080F4
+:105200000200009000002E0B0000001008C0009269
+:1052100000001613000000800200009000002E0B1A
+:105220000000001008C000920000220E00000010D4
+:105230000840019200001613000000800200009058
+:1052400000002E0B0000001008C000920000161392
+:105250000000008002000090000016130000008093
+:105260000200009000001613000000800200009071
+:1052700000002C0B0000001008C000920000161364
+:10528000000000800200009000002C0B00000010C5
+:1052900008C000920000220E000000100840019299
+:1052A00000001613000000800200009000002C0B8C
+:1052B0000000001008C000920000161300000080DB
+:1052C0000200009000001613000000800200009011
+:1052D000000016130000008002000090000016136A
+:1052E00000000080020000900000F50B000000109C
+:1052F00008C000920000180B000000100800019286
+:105300000000130B0000001008400192000016136B
+:1053100000000080020000900000161300000080D2
+:1053200002000090000016130000008002000090B0
+:105330000000161300000080020000900000161309
+:1053400000000080020000900000161300000080A2
+:10535000020000900000EB0B00000010088000929B
+:105360000000180B00000010080001920000130B51
+:105370000000001008400192000016130000008099
+:105380000200009000001613000000800200009050
+:1053900000001613000000800200009000001613A9
+:1053A0000000008002000090000016130000008042
+:1053B0000200009000001613000000800200009020
+:1053C0000000EB0B00000010080001920000180B19
+:1053D00000000010080001920000130B00000010F4
+:1053E00008400192000016130000008002000090A7
+:1053F0000000161300000080020000900000161349
+:1054000000000080020000900000161300000080E1
+:1054100002000090000016130000008002000090BF
+:105420000000161300000080020000900000790CBC
+:1054300000000010088000920000180B000000100F
+:10544000080001920000130B0000001008400192B8
+:1054500000001613000000800200009000001613E8
+:105460000000008002000090000016130000008081
+:10547000020000900000161300000080020000905F
+:1054800000001613000000800200009000001613B8
+:1054900000000080020000900000790C0000001065
+:1054A000080001920000180B000000100800019293
+:1054B0000000130B000000100840019200001613BA
+:1054C0000000008002000090000016130000008021
+:1054D00002000090000016130000008002000090FF
+:1054E0000000161300000080020000900000161358
+:1054F000000000800200009000002D0B0000001052
+:105500000880009200001613000000800200009046
+:1055100000002D0B00000010088000920000220EF9
+:1055200000000010084001920000161300000080E7
+:10553000020000900000161300000080020000909E
+:1055400000001613000000800200009000001613F7
+:105550000000008002000090000016130000008090
+:105560000200009000002D0B0000001008000192C6
+:1055700000001613000000800200009000002D0BB8
+:1055800000000010080001920000220E0000001030
+:1055900008400192000016130000008002000090F5
+:1055A0000000161300000080020000900000161397
+:1055B0000000008002000090000016130000008030
+:1055C000020000900000161300000080020000900E
+:1055D00000001613000000800200009000007D080B
+:1055E0000000001008000192000016130000008067
+:1055F0000200009000007D080000001008400192A9
+:105600000000161300000080020000900000161336
+:1056100000000080020000900000161300000080CF
+:1056200002000090000016130000008002000090AD
+:105630000000161300000080020000900000430EDE
+:1056400000000010084001920000390E0000001018
+:10565000084001920000430E000000100840019233
+:105660000000130B00000010084001920000161308
+:1056700000000080020000900000430E00000010B7
+:105680000840019200001613000000800200009004
+:105690000000161300000080020000900000B90A0C
+:1056A00000000010084000920000B90A000000103D
+:1056B000088000920000B90A0000001008C00092A3
+:1056C0000000B90A00000010080001920000BE0AA4
+:1056D00000000010084001920000B90A000000100C
+:1056E000088001920000B90A0000001008C0019271
+:1056F0000000161300000080020000900000161346
+:1057000000000080020000900000161300000080DE
+:10571000020000900000F60C0000001008800092CB
+:105720000000F60C0000001008C000920000F60C0B
+:1057300000000010080001920000130B0000001090
+:105740000840019200001613000000800200009043
+:105750000000F60C0000001008C0019200001613B3
+:10576000000000800200009000001613000000807E
+:10577000020000900000161300000080020000905C
+:1057800000001613000000800200009000001613B5
+:10579000000000800200009000001613000000804E
+:1057A0000200009000004D0E000000100840019221
+:1057B0000000161300000080020000900000161385
+:1057C000000000800200009000001613000000801E
+:1057D00002000090000016130000008002000090FC
+:1057E0000000CB0E00000010084001920000CF0E18
+:1057F00000000010084001920000310E000000106F
+:10580000084001920000CF0E0000001008400192F5
+:1058100000007D08000000100840019200001613EF
+:1058200000000080020000900000CF0E0000001079
+:105830000840019200007E0800000010080002925B
+:1058400000001613000000800200009000001613F4
+:1058500000000080020000900000D00E0000001048
+:10586000084001920000310E000000100840019233
+:105870000000D00E000000100840019200007D08DA
+:105880000000001008400192000016130000008084
+:10589000020000900000D00E0000001008400192AD
+:1058A0000000161300000080020000900000161394
+:1058B000000000800200009000001613000000802D
+:1058C000020000900000D50E000000100880009239
+:1058D0000000D50E0000001008C000920000D50E98
+:1058E00000000010080001920000130B00000010DF
+:1058F0000840019200001613000000800200009092
+:105900000000D50E0000001008C001920000161320
+:1059100000000080020000900000161300000080CC
+:1059200002000090000016130000008002000090AA
+:105930000000161300000080020000900000161303
+:10594000000000800200009000001613000000809C
+:10595000020000900000161300000080020000907A
+:105960000000A00A0000001008400092000016137A
+:10597000000000800200009000001613000000806C
+:10598000020000900000161300000080020000904A
+:105990000000161300000080020000900000EA0ED4
+:1059A00000000010088000920000EA0E00000010C5
+:1059B00008C000920000EA0E0000001008000192EA
+:1059C0000000130B000000100840019200001613A5
+:1059D00000000080020000900000EA0E00000010AD
+:1059E00008C0019200001613000000800200009021
+:1059F0000000161300000080020000900000161343
+:105A000000000080020000900000030F0000001062
+:105A1000088000920000030F0000001008C00092F0
+:105A20000000030F00000010080001920000130B9B
+:105A300000000010084001920000161300000080D2
+:105A4000020000900000030F0000001008C0019247
+:105A500000001613000000800200009000007D0886
+:105A600000000010080000920000161300000080E3
+:105A70000200009000007D080000001008800092E5
+:105A80000000150F0000001008C0009200007D0803
+:105A9000000000100800019200007D0800000010C6
+:105AA00008400192000016130000008002000090E0
+:105AB0000000161300000080020000900000161382
+:105AC000000000800200009000001613000000801B
+:105AD00002000090000016130000008002000090F9
+:105AE00000007D0800000010088000920000260FD2
+:105AF000000000100880009200007D0800000010E7
+:105B00000800019200007D0800000010084001928A
+:105B10000000161300000080020000900000161321
+:105B200000000080020000900000161300000080BA
+:105B30000200009000001613000000800200009098
+:105B400000001613000000800200009000007D0895
+:105B500000000010088000920000260F00000010D6
+:105B60000800019200007D0800000010080001926A
+:105B700000007D080000001008400192000016138C
+:105B8000000000800200009000001613000000805A
+:105B90000200009000001613000000800200009038
+:105BA0000000161300000080020000900000161391
+:105BB000000000800200009000001613000000802A
+:105BC0000200009000007D08000000100880009294
+:105BD00000001613000000800200009000007D0805
+:105BE0000000001008400192000016130000008021
+:105BF00002000090000016130000008002000090D8
+:105C00000000161300000080020000900000161330
+:105C100000000080020000900000161300000080C9
+:105C2000020000900000FA0E0000001008800092B0
+:105C30000000FA0E0000001008C000920000FA0EEA
+:105C400000000010080001920000130B000000107B
+:105C5000084001920000161300000080020000902E
+:105C60000000FA0E0000001008C001920000161398
+:105C70000000008002000090000016130000008069
+:105C80000200009000001613000000800200009047
+:105C900000001613000000800200009000001613A0
+:105CA0000000008002000090000016130000008039
+:105CB000020000900000390F00000010080002925E
+:105CC0000000161300000080020000900000161370
+:105CD0000000008002000090000016130000008009
+:105CE00002000090000016130000008002000090E7
+:105CF0000000161300000080020000900000C00A9F
+:105D00000000001008C0019200001613000000807F
+:105D100002000090000016130000008002000090B6
+:105D20000000130B00000010084001920000161341
+:105D300000000080020000900000010B0000001035
+:105D400008C00192000016130000008002000090BD
+:105D500000001613000000800200009000001613DF
+:105D600000000080020000900000C00A0000001047
+:105D700008800092000016130000008002000090CE
+:105D80000000161300000080020000900000130BBA
+:105D9000000000100840019200001613000000806F
+:105DA000020000900000010B0000001008C00192EA
+:105DB000000016130000008002000090000016137F
+:105DC0000000008002000090000016130000008018
+:105DD000020000900000260D0000001008800092D4
+:105DE0000000161300000080020000900000260D45
+:105DF00000000010088000920000220E0000001039
+:105E0000084001920000161300000080020000907C
+:105E10000000260D000000100880009200001613FC
+:105E200000000080020000900000161300000080B7
+:105E30000200009000001613000000800200009095
+:105E40000000260D0000001008000192000016134B
+:105E500000000080020000900000260D00000010ED
+:105E6000080001920000220E00000010084001927C
+:105E70000000161300000080020000900000260DB4
+:105E800000000010080001920000161300000080BE
+:105E90000200009000001613000000800200009035
+:105EA0000000161300000080020000900000260D84
+:105EB000000000100800019200001613000000808E
+:105EC000020000900000260D000000100800019262
+:105ED0000000220E0000001008400192000016137E
+:105EE00000000080020000900000260D000000105D
+:105EF00008000192000016130000008002000090CC
+:105F0000000016130000008002000090000016132D
+:105F100000000080020000900000260D000000102C
+:105F2000088000920000161300000080020000901C
+:105F30000000260D00000010088000920000220ED4
+:105F400000000010084001920000161300000080BD
+:105F5000020000900000260D000000100880009252
+:105F600000001613000000800200009000001613CD
+:105F70000000008002000090000016130000008066
+:105F80000200009000001613000000800200009044
+:105F90000000161300000080020000900000260D93
+:105FA0000000001008C001920000220E0000001046
+:105FB00008400192000016130000008002000090CB
+:105FC0000000260D0000001008C00192000016130A
+:105FD0000000008002000090000016130000008006
+:105FE00002000090000016130000008002000090E4
+:105FF0000000AB0D00000010088000920000161396
+:1060000000000080020000900000161300000080D5
+:106010000200009000007D0800000010084001927E
+:106020000000161300000080020000900000AB0D7D
+:10603000000000100880009200001613000000808D
+:106040000200009000001613000000800200009083
+:106050000000161300000080020000900000AB0D4D
+:10606000000000100880009200001613000000805D
+:106070000200009000001613000000800200009053
+:1060800000007D0800000010084001920000161377
+:1060900000000080020000900000AB0D0000001026
+:1060A00008C001920000161300000080020000905A
+:1060B000000016130000008002000090000016137C
+:1060C0000000008002000090000016130000008015
+:1060D00002000090000016130000008002000090F3
+:1060E00000001613000000800200009000007D08F0
+:1060F000000000100840019200001613000000800C
+:10610000020000900000B50D0000001008C00192D0
+:10611000000016130000008002000090000016131B
+:1061200000000080020000900000161300000080B4
+:106130000200009000001613000000800200009092
+:1061400000001613000000800200009000001613EB
+:10615000000000800200009000007D080000001098
+:106160000840019200001613000000800200009019
+:106170000000B50D0000001008800092000016130A
+:106180000000008002000090000016130000008054
+:106190000200009000001613000000800200009032
+:1061A000000016130000008002000090000016138B
+:1061B0000000008002000090000016130000008024
+:1061C000020000900000B30E000000100840019291
+:1061D000000016130000008002000090000016135B
+:1061E00000000080020000900000161300000080F4
+:1061F0000200009000008608000000100840009295
+:10620000000016130000008002000090000016132A
+:1062100000000080020000900000161300000080C3
+:1062200002000090000016130000008002000090A1
+:106230000000161300000080020000900000DD083E
+:10624000000000100880009200001613000000807B
+:106250000200009000001613000000800200009071
+:106260000000C6090000001008000192000016138B
+:10627000000000800200009000008508000000106F
+:10628000080001920000D0090000001008000192EF
+:106290000000D00900000010080001920000D009A1
+:1062A000000000100800019200001613000000809A
+:1062B0000200009000001613000000800200009011
+:1062C0000000EF0800000010088000920000161384
+:1062D000000000800200009000008508000000100F
+:1062E00008000192000016130000008002000090D8
+:1062F000000016130000008002000090000000095A
+:1063000000000010088000920000C4090000001086
+:10631000088000920000850800000010080001922B
+:106320000000161300000080020000900000E60943
+:1063300000000010084000920000E6090000001074
+:10634000088000920000E6090000001008C00092DA
+:1063500000008508000000100800019200001613DC
+:106360000000008002000090000016130000008072
+:106370000200009000000C0A0000001008C000920B
+:106380000000161300000080020000900000850845
+:1063900000000010080001920000161300000080A9
+:1063A0000200009000001613000000800200009020
+:1063B00000000F0A000000100800019200000F0A00
+:1063C0000000001008000192000085080000001085
+:1063D00008000192000016130000008002000090E7
+:1063E0000000161300000080020000900000110A57
+:1063F00000000010088000920000110A0000001048
+:1064000008C00092000085080000001008000192FA
+:1064100000001613000000800200009000008508B4
+:1064200000000010084000920000DC09000000108D
+:10643000088000920000DC090000001008C00092F3
+:106440000000850800000010080001920000850887
+:1064500000000010080000920000850800000010F5
+:10646000084000920000250A0000001008800092F9
+:106470000000250A0000001008C0009200008508F6
+:1064800000000010080001920000161300000080B8
+:10649000020000900000161300000080020000902F
+:1064A0000000600A000000100880009200008508CB
+:1064B0000000001008C000920000850800000010D5
+:1064C00008000192000016130000008002000090F6
+:1064D00000001613000000800200009000003F0A38
+:1064E00000000010088000920000161300000080D9
+:1064F00002000090000085080000001008000192D2
+:106500000000161300000080020000900000161327
+:1065100000000080020000900000EC080000001065
+:106520000880009200001613000000800200009016
+:1065300000008508000000100800019200001613FA
+:106540000000008002000090000016130000008090
+:10655000020000900000540A000000100880009221
+:106560000000540A0000001008C0009200008508D6
+:1065700000000010080001920000161300000080C7
+:10658000020000900000161300000080020000903E
+:1065900000001C0A000000100880009200001C0A85
+:1065A0000000001008C000920000850800000010E4
+:1065B0000800019200001613000000800200009005
+:1065C00000001613000000800200009000006D0A19
+:1065D000000000100880009200006D0A000000100A
+:1065E00008C0009200008508000000100800019219
+:1065F0000800F303001801E8762081990800EF03F2
+:10660000001801E87620819900004B1200000080FC
+:10661000020000F0080082081D1901E8762081B907
+:106620000000F303000000F862812F950000F303DF
+:106630008000008002812FB62A0016131200002C61
+:1066400082CD2EB20000F303000000F802812F94E7
+:106650000800F303001C01E876208199000016135E
+:10666000800F018002C06EB600000000000000D85C
+:106670000200003200000000000E01EC06C06E3582
+:106680005400000000000000070036320000000047
+:10669000000000BCA8002D37B40401000008000071
+:1066A000C7CD8B3A000000000000007899C02C375D
+:1066B000B400000000000078898D973A000016139E
+:1066C0000210000087BF97BA000000000018000009
+:1066D0000740FE320000161312000040F2C138B429
+:1066E000000000000090007809006E3200001613D0
+:1066F00004A0000009806EB20000950804A5000403
+:1067000009806EB200000000000000040900903211
+:106710000000161302010080026490BC000098087B
+:1067200004010004096490BC0000000000000004A3
+:1067300009400032080000006E3402E81624903947
+:1067400000009908B71002E0068097B200009C088C
+:1067500080000080F280FCB600009D08000000C8A8
+:10676000FF80FC9400009E089F990080821BEEBC75
+:1067700000000000009800E00E006E3200000000F3
+:10678000A70000800200003018003600000000F86A
+:10679000730A03F9000000000010021C09006E32A9
+:1067A0004000A3080601008082CD91BC00C0A4086F
+:1067B000001802E00680369200E00000001802E0B7
+:1067C00006803632000000000000002009800332FD
+:1067D0000000A70880D7018032C06EB6000000001C
+:1067E000000000204900923A0000000000980118C3
+:1067F00009006E3200000000000A022409C06E3257
+:106800000000000000C0012809806E320000B508B9
+:10681000800E018012C06EB602000000003C02EC47
+:106820000600363200000000000000004901923AE4
+:106830000000B10880D6018042C06EB60082000020
+:10684000001002E0A6CD913200A00000002C02E86A
+:10685000060036322800BF08003A02EC06003692E5
+:1068600000000000D301001CD9C191340082000057
+:10687000001002E0A6CD913200A00000002C02E83A
+:10688000060036323400BF08003A02EC06003692A9
+:1068900004000000003C02EC060036322800000034
+:1068A00000000000890D923A0000BB0880D60180EC
+:1068B00042C06EB600860000001002E0A6CD913204
+:1068C00004A00000002C02E8060036321400BF08C5
+:1068D000003A02EC0600369200000000D301001CD2
+:1068E000D9C1913400860000001002E0A6CD91329B
+:1068F00004A00000002C02E8060036322000BF0889
+:10690000003A02EC0600369212000000003802EC59
+:1069100086CD913A08000000002802E886249039CC
+:1069200000000000002002E0962414370000000060
+:10693000004001E0068091320000C508040100809B
+:10694000028092BC0000000000C001E0060000329E
+:1069500000000000003000E00600003200000000EF
+:1069600000B000E00600003220000000000000003F
+:10697000070036320000000000000078A9002D3723
+:106980000005010000080000C78D973A00000000D4
+:106990000000007899C02C3700010000000000784A
+:1069A000898D973A000016130210000087BF97BA2E
+:1069B00000000000001800000740FE32000016131F
+:1069C00012000048F2C138B40000D20880D7012C70
+:1069D00009C06EB200000000DAD701EC06C06E35C7
+:1069E00000000000005A01EC0640ED32AE0000004D
+:1069F000000000781900363AAF0016130401008039
+:106A0000828D97BC00000000005C01E806808B329C
+:106A10000000D7088001008062C092B6000000002C
+:106A2000000000F882812F3418003600000000F8C2
+:106A3000730A03F9000000000004013808C06E3238
+:106A40000000161304C9018002806EBC0000000023
+:106A5000006201EC06808332010085081201002CDF
+:106A600082CD2EB2000016130000008002000090BC
+:106A700000000000005401FC02C06E320000000063
+:106A8000000000D80280013200C0E3081801000CA9
+:106A9000A8CD3EB2208000000000000808803632F9
+:106AA0002D00EF031201002C82CD2EB20000161330
+:106AB0000000008002000090000000000062013829
+:106AC00008C06E320008008000000028090037323C
+:106AD0000060EB1100000008088036F20000161379
+:106AE000870601EC16C06EBC000085080B00008014
+:106AF000020000B0000085088000008072812FB67F
+:106B000000000000000000F872812F343D0085086D
+:106B10001201002C82CD2EB200001613000000805E
+:106B200002000090000016130407018012C06EBC22
+:106B30000000161380000080B2812FB60000EF081D
+:106B4000000000F8B2812F940000161304A0001872
+:106B500008006EB2000016130406018002C06EBC6D
+:106B600000009E1200000080020000F000000013F0
+:106B70000078016008006EF20000F508120100C8FC
+:106B8000020020B20000F80800000080020000901F
+:106B9000000005091201005C088020B20000F8081E
+:106BA0001201006002802CB2000016130000008069
+:106BB000020000900000FA0804000080024080BC3F
+:106BC00000000000000000F81F80FF3A0000FD08F0
+:106BD00080010080A2802FB618003600CA0000F89D
+:106BE000730A03F9000016130401008002802DBC13
+:106BF000000085088000008072812FB63D001613CA
+:106C00001200002C82CD2EB200008508000000F892
+:106C100072812F94000016130406018002C06EBC1E
+:106C20000000000000BC001408806E320000F8086C
+:106C3000120000C8020020B20000F6081200005C3A
+:106C4000088020B20000161304A0001808006EB2DD
+:106C5000000000000000007879613832000016134F
+:106C60001218024CE2256EB20000161304010080D7
+:106C700002402DBC080000000010020078E16E39CF
+:106C8000000000000018002007000032070000008C
+:106C90000000003878CAE939000016130400003CEF
+:106CA000084080B2000000000090006C08006E32C6
+:106CB000000000000098004C08006E32000016131F
+:106CC0000400008032E186B200000000510000D8CC
+:106CD00002000032000000004D00000067E0833E2B
+:106CE00000000000000800000700803200000000E3
+:106CF0000010000007C086320000000000180000ED
+:106D000007C084320000000000000018D8A0813CB9
+:106D10000000840904B000E0D6206EBC0000161309
+:106D200009010080020000B0000043090400003C9B
+:106D3000D8E083BC0000161304010080028081BCEF
+:106D4000000024098000008092802FB600001C09FA
+:106D50001201000009C021B218003600000000F83E
+:106D6000730A03F91D0000000000007809A4173819
+:106D70000000210904010080128097BC0000161356
+:106D800080010080A2802FB600001B09670000F878
+:106D9000A2802FB500001C090000000009C021924C
+:106DA0000000230904000080228097BC0000161315
+:106DB00004010080328097BC00000000C90100D8A7
+:106DC00002408432000027090400008072802DBC3C
+:106DD0000000161312000044E2E038B2000034094B
+:106DE000510000D812802D9A0000000000000078A9
+:106DF000F98183340000161312000044E2E538B232
+:106E000000002C098000008082802FB60000F7115E
+:106E100000A0015008006EF20000000000F801E040
+:106E20000600853200002E09120100E802C021B2DE
+:106E300018003600000000F8730A03F90000320958
+:106E40000401008002802DBC000016138001008028
+:106E5000A2802FB600002D09670000F8A2802FB590
+:106E600000001613120000E802C021B20000161341
+:106E70000401008072802DBC00000000510000D889
+:106E800002000032000039092A010000D82080BA2F
+:106E9000000038091201000009C021B218003600B4
+:106EA000000000F8730A03F900000000000000D899
+:106EB000024084321D0016130400008002A417B89B
+:106EC00000000000CAE0006C08006E320000000004
+:106ED00000E8004C08006E320000161304F00018A1
+:106EE00008006EB2000000000000003818818335F1
+:106EF0000000100904B00080829B81BC00001613C2
+:106F00000D010080020000B0000016139F00001465
+:106F1000184081BC00000000CA0100F842802F35F3
+:106F200008A0100912010040A2CD39B200001613CA
+:106F3000000000800200009000004E09293402B8D1
+:106F400008806EB2000046091201000009C021B29B
+:106F500018003600000000F8730A03F91D00000055
+:106F60000000007809A4173800004B0904010080D4
+:106F7000128097BC0000161380010080A2802FB6FB
+:106F800000004509670000F8A2802FB500004609FF
+:106F90000000000009C0219200004D09040000809B
+:106FA000228097BC0000161304010080328097BC39
+:106FB00000000000C90100D8024084320000000037
+:106FC00000000078F9818334000016131200004499
+:106FD000E2E538B2000056092800006CD8E086BA15
+:106FE0000000F61100A0015008006EF200005609E2
+:106FF0001DF801E0060085B20000560980000080FF
+:1070000002812FB62A0016131200002C82CD2EB258
+:1070100000000000000000F802812F3400005C092D
+:1070200004A000E0068081B20000000000BC00E87F
+:107030000640813200000000009000E006C0863269
+:1070400000000000009800E006C084320000161323
+:107050000400008032E186B2000070090000008068
+:10706000020000900000620980010080A2802FB61B
+:1070700000005F091201000009C021B218003600AB
+:10708000000000F8730A03F91D0062090401008082
+:1070900002A417B80000161380000080E2802FB60B
+:1070A00000005E09000000F8E2802F94000000005C
+:1070B00000E0006C08006E3200000000CAE8004CDE
+:1070C00008006E32000016130400008032E186B220
+:1070D0000000161304F0001808006EB200006B09DF
+:1070E00004B00080829B81BC000016130D0100805B
+:1070F000020000B0000016139F000014184081BC6D
+:1071000000000000CA0100F842802F3508A01613C5
+:1071100012000040A2CD39B20000000000A000E043
+:107120000680813200000000009800E006C0843232
+:1071300000000000009000E006C086320000161338
+:107140000400008032E186B20000000000BC00E8CC
+:1071500006408132000076092A5D01E806808BB284
+:10716000000073091201000009C021B218003600A6
+:10717000000000F8730A03F91D007609040100807D
+:1071800002A417B80000161380000080E2802FB61A
+:1071900000007209000000F8E2802F9410247909A1
+:1071A000370000F8A28D2FB13D0016131200002CFD
+:1071B00082CD2EB200000000000000F872812F3452
+:1071C00008000000CA1C01E8762081390000541034
+:1071D0000000002CF90100F400007F09800000800D
+:1071E000E2802FB600007E091201000009C021B222
+:1071F00018003600000000F8730A03F91D0016138A
+:107200000401008002A417B800001613800100805A
+:1072100082802FB60000161304010080C20003BC58
+:10722000100000000018008067A173393000F603D9
+:107230001201005CA28D2CB2000016130000008029
+:107240000200009000008A098000008092802FB622
+:1072500018003600000000F8730A03F91D00161329
+:107260000400007809A417B8000089090400008010
+:10727000228097BC0000161304010080328097BC66
+:1072800000000000C90100D802408432000016133B
+:1072900004010080D2E083BC000016132A000078AD
+:1072A000F98183B40000161312000044E2E538B2FD
+:1072B0000000641100000030030038F20000920961
+:1072C0001D000038188183B50000920980000080FD
+:1072D00002812FB62A0016131200002C82CD2EB286
+:1072E00000000000000000F802812F340000161397
+:1072F000870601EC16C06EBC000096090B000080EA
+:10730000020000B000000000CA0100F842802F34E3
+:1073100008C0161312000040A2CD39B2000099092E
+:107320008000008082802FB60000F71100A001507D
+:1073300008006EF20000000000F801E0060085324F
+:1073400000009B091201000009C021B2180036009C
+:10735000000000F8730A03F90000BD092A3502B8DD
+:1073600008806EB200009E091201000009C021B21F
+:1073700018003600000000F8730A03F9000000004E
+:10738000000000F8A2802F350000B509040000803D
+:10739000026180BC0000AD0980B8000009C06EB277
+:1073A0004000A50904000080820D90BC80001613E7
+:1073B00004010080820D90BC0000A50902B000808D
+:1073C000821B84BC0000AD09000000F8B2812F943C
+:1073D000000016130407018012C06EBC00001613D3
+:1073E00080000080B2812FB60000161380D6018085
+:1073F00052C06EB60000000000D601EC56C06E34DC
+:1074000000000000000000601800863A0000000044
+:1074100000000080B701783400000000007801E02F
+:10742000060086324000BD0904000080820D90BC39
+:107430000000161304A0001808006EB200009E128F
+:1074400000000000D82080FA000016130600003C5F
+:10745000182084BC0000161304B0003C88DB83BEF7
+:107460000000161380010080C20178B60000000001
+:1074700000000080F720783A00000000587801E012
+:10748000F620863A00000C0900000004F860809A9B
+:107490000000B80980B9000009C06EB22F00BD0914
+:1074A0001201002C82CD2EB20000161300000080C5
+:1074B000020000904000BA0904010080820D90BCD7
+:1074C0003800BC09000000780900369280001613CD
+:1074D00004010080820D90BC39000000000000789B
+:1074E00009003632000016131200002CE2E52EB21D
+:1074F000000016138001008082802FB60000161352
+:1075000004010080C20003BC1000000000180080CD
+:1075100067A1733900000000005C01E806808B322F
+:1075200010240000000000F8A28D2F3130008508E3
+:107530001201005CA28D2CB2000016130000008026
+:10754000020000900000161380010080C2812FB657
+:1075500000000009000000F8C2812F950000000023
+:10756000005401FC02C06E3200000000000000D890
+:107570000280013200C0CC091801000CA8CD3EB237
+:107580002080000000000008088036322D00EF0344
+:107590001201002C82CD2EB20000161300000080D4
+:1075A00002000090000000000062013808C06E3246
+:1075B0000008008000000028090037320060EB114D
+:1075C00000000008088036F20000DA0900000080A0
+:1075D000020000900000D20980000080C2812FB616
+:1075E0000000D50900D001E806000092000000006C
+:1075F000000000F8C2812F350000D50904D10180B8
+:1076000002806EBC0000000000D601EC26C06E3483
+:107610000000D7098000008092812FB60000DA09AF
+:1076200000C801E80600009200000000000000F819
+:1076300092812F350000DA0904C9018002806EBCF6
+:107640000000000000D601EC16C06E341100850861
+:107650001201002C82CD2EB2000016130000008013
+:1076600002000090000085089A0100F842812FB5C1
+:107670000000E309120100C8020020B2000000006F
+:10768000005C01EC0640003200008508370000F87D
+:1076900042812FB400000000000000F872812F34F6
+:1076A0003D0085081201002C82CD2EB20000161379
+:1076B00000000080020000900000EE091201005C52
+:1076C000088020B20000DE091201006002802CB2A6
+:1076D0000000161300000080020000900000EB097B
+:1076E000120100C8020020B200008508370000F82F
+:1076F000D2812FB400000000000000F872812F3406
+:107700003D0085081201002C82CD2EB20000161318
+:1077100000000080020000900000EE091201005CF1
+:10772000088020B20000E7091201006002802CB23C
+:10773000000016130000008002000090000000000E
+:107740000000007879613832000016131218024CDC
+:10775000E2256EB200000000003402B808806E32EC
+:107760000000000000A0015008006E320000000080
+:107770000078016008006E320000F5099D110234A6
+:1077800009006EB20000000000F0018808006E32AF
+:107790000000121200A8010809006EF200000000AB
+:1077A000D4F801E00600853200000000DA5C01E850
+:1077B00006808B3200006411DD000030030038F2D7
+:1077C0000000FB092329020409806EB23E00161353
+:1077D0001200002C82CD2EB20800FF091D1C01E80A
+:1077E000762081B90000FF098000008002812FB659
+:1077F0002A0016131200002C82CD2EB200000000C9
+:10780000000000F802812F34000054100000002C0A
+:10781000F90100F40000030A9D010080074093B2C3
+:107820000000000000300080078088320000000067
+:10783000003800800700EE320000000000080080E1
+:1078400007C0853200000000001000800740903221
+:107850001000000000180080878D853700000000B0
+:107860000020008007008632000000000028008011
+:107870000700853200000A0A1201000009C021B287
+:1078800018003600000000F8730A03F93000F60310
+:107890001201005CA28D2CB20000161300000080C3
+:1078A000020000900012161304010080A28D2FB078
+:1078B0000000000000CC017809806E3200008508CD
+:1078C000DCD101E806809792130085081201002C94
+:1078D00082CD2EB20000161300000080020000903E
+:1078E0000000E30F00000018094081F20000C70FFC
+:1078F00000A8012009006EF20000850880010080C8
+:10790000F2802FB60000190A120100C8020020B24E
+:10791000000085088000008072812FB60000000002
+:10792000000000F872812F343D0085081201002C00
+:1079300082CD2EB2000016130000008002000090DD
+:107940000000EE091201005C088020B20000150A58
+:107950001201006002802CB20000161300000080AB
+:107960000200009000008508350100F812812FB553
+:1079700000000000000000D802800132000000007A
+:10798000005401FC02C06E3200C0230A1801000C32
+:10799000A8CD3EB220800000D10100080880363218
+:1079A0003B00F3031201002C82CD2EB2000016130F
+:1079B00000000080020000900000E2110098012801
+:1079C00009006EF2000085080000008002000090AF
+:1079D00000002F0A80010080A2812FB600002F0A2C
+:1079E0008000008042812FB61F00000000000010C0
+:1079F00009003632000080120000001409802FD2E6
+:107A00003C00000000000010090036320000801227
+:107A10000000001409803CD200002F0A085B01EC32
+:107A200006FB6EBC00000000005A01EC06000032AC
+:107A300000002F0A370000F842812FB43D000000FB
+:107A4000D701002C82CD2E320000360A8001008042
+:107A500092812FB60000161380000080C2812FB6DD
+:107A600000003D0A08C901E806BB6EBC000000002A
+:107A700000C801E806000032330016131200002C83
+:107A800082CD2EB20000F31100000028098001F21F
+:107A900000008508000000800200009000003D0A00
+:107AA00080010080C2812FB6000016138000008084
+:107AB00092812FB600003D0A08D101E806BB6EBCDA
+:107AC0000000000000D001E8060000323300161369
+:107AD0001200002C82CD2EB20000F311000000280D
+:107AE00009C001F20000850800000080020000903B
+:107AF0000000850880010080F2812FB618008508FB
+:107B00000000002C82CD2E92000016130407018085
+:107B100012C06EBC0000430A120000C8020020B26E
+:107B20000000460A1201005C088020B20000161313
+:107B30001200006002802CB200000000000000F87B
+:107B40001F80FF3A0000F3031201002C72E02EB2F6
+:107B500000001613000000800200009000000000EA
+:107B60000000007879613832000016131218024CB8
+:107B7000E2256EB200000000003402B808806E32C8
+:107B800000000000D4A0015008006E320000000088
+:107B9000DB79016008006E320000F711DD0000049F
+:107BA000080000F21000000000180080878D853763
+:107BB0000000000000F801E0060085320000500AD5
+:107BC0001201000009C021B218003600000000F8C0
+:107BD000730A03F9300016131200005CA28D2CB258
+:107BE00000001613040701EC16C06EBC0000000074
+:107BF00000B000E00600003200008508DA5C01E811
+:107C000006808B92000085089F41018052206EBC47
+:107C100000005F0A9F98018052206EBC00000000A7
+:107C2000000000D80280013200000000005401FC76
+:107C300002C06E3200C05D0A1801000CA8CD3EB231
+:107C40002080850831000008088036B2000000005E
+:107C5000000000F812812F343B0085081201002C2F
+:107C600082CD2EB2000016130000008002000090AA
+:107C70000000E2110098012809006EF2000085085A
+:107C8000000000800200009000008508D54101E05E
+:107C9000064081920000850804B0008002006EBC9E
+:107CA000000000000090010008006E320000001388
+:107CB0000078016008006EF2000085080000008076
+:107CC0000200009000000000000C027809806E3273
+:107CD0000000670A04D4018012C06EBC00000000DE
+:107CE000000000781980973700000000009001E044
+:107CF000E6256E3A0000001300000080020000F04C
+:107D000000006B0A0000008002000090000085085F
+:107D1000009001E00600809200000000009001E069
+:107D20000600803200000009000000800200009080
+:107D30000000161380000080F2802FB60000C70FED
+:107D400000A8012009006EF20000140A80000080E3
+:107D5000F2802FB60000850800000080020000902D
+:107D600000000000000000D8028001320000000086
+:107D70000000007809006E320200760A04B9008023
+:107D800082CD6EBC0000780A800000807280FCB654
+:107D900000007B0A000000FC020000920000780A4C
+:107DA000800000808280FCB600007B0A000000FC9E
+:107DB0000200009200001613040000800200F5BCCF
+:107DC00000000000000000A842BD97300000000045
+:107DD000541809FEF2C07C3000C0810A1801000C62
+:107DE000A8CD3EB200000000000E01EC06000034F9
+:107DF00000000000005401EC06C02F32208000007B
+:107E000000000008088036320000F3031201002C45
+:107E100082CD2EB2000016130000008002000090F8
+:107E2000000000000062013808C06E3200080080C7
+:107E300000000028090037320000EB1100000008A4
+:107E4000E80100F400001613040701EC16C06EBC34
+:107E500000000000000000A8A2002D370A0000006A
+:107E6000000000780900363200000000001809E226
+:107E7000070000320000870A04010078198097BCCF
+:107E80000200920A04B9008082CD6EBC0000004856
+:107E9000D6010078C9CD2C3200008B0AB6000080D4
+:107EA000020000B00000161312000064028097B2B6
+:107EB00000008D0A1208006402006EB200008E0AF3
+:107EC0001218006402006EB200008F0A12100064E3
+:107ED00002006EB200000000A65401EC06C02F3272
+:107EE00000007D08000E01EC060000940020004C0C
+:107EF000D6010078C9CD2C320000930AB60000806C
+:107F0000020000B00000161312000064028097B255
+:107F10000000950A1208006402006EB20000960A82
+:107F20001230006402006EB20000970A123800643A
+:107F300002006EB20000980A1240006402006EB2A5
+:107F40000000990A1248006402006EB200009A0A0A
+:107F50001210006402006EB200009B0A1218006446
+:107F600002006EB200009C0A1220006402006EB291
+:107F700000009D0A1228006402006EB2000000009A
+:107F8000A65401EC06C02F3203007D08000E01EC60
+:107F90000600369200000000000000FC02000132E2
+:107FA0000000A30A0000001408803D9200000000B9
+:107FB000000000FC020001320000A60A040000DC00
+:107FC00053603DB318000000000000F8738A0339C5
+:107FD000A20A3600000000C0020036920000000035
+:107FE000005401FC02C06E3200000000000000D806
+:107FF0000280013200C0AC0A1801000CA8CD3EB2CC
+:108000002080000000000008088036321500EF03D1
+:108010001201002C82CD2EB2000016130000008049
+:10802000020000900000000000280000070000325D
+:10803000000000000030000007C02C320010008259
+:108040000038000007003732000016131200004805
+:1080500002C080B200007D08CA010008E801009457
+:10806000000016138001008062812FB62D001613C8
+:108070001200002C82CD2EB20000B50A1D01008036
+:10808000020000B000007D08000000F862812F951A
+:10809000000016138000008002812FB6000000004F
+:1080A000000000F802812F342A007D081201002C04
+:1080B00082CD2EB200001613000000800200009056
+:1080C0000000D7110000002C09C085D20000641107
+:1080D00000000030030038F20000F303230100F831
+:1080E00022812FB43E00F3031201002C82CD2EB268
+:1080F0000000161300000080020000900000D7115D
+:108100000000002C09C085D20000F303000000F835
+:1081100022812F940000C50A380100D8028001B2E4
+:108120000000C30A1E000080020000B00000C50A63
+:108130001A010080020000B0000038120000006840
+:108140001F80F6FA0000F303000000800200009098
+:108150000000C90A12010060084023B2008200003A
+:108160000000000808803632000038120000006469
+:108170001F40F6FA0000F3030000008002000090A8
+:108180000000161312000024080023B2000016138A
+:108190001200002008C023B20000161312000018BD
+:1081A000088023B200C0D40A1801000CA8CD3EB24A
+:1081B0000000CC0A12000038028081B200001613C1
+:1081C0001200003C020082B20000161312000030C0
+:1081D000024082B20000161312000034020086B280
+:1081E00020800000000000080880363200003812AD
+:1081F0000000005C1FC0F5FA0000F30300000080DF
+:108200000200009000000000450000D8020000328B
+:108210000000000000000000074080320000000065
+:10822000001000000740823200000000001800002B
+:10823000070086320000161312000050F2C138B455
+:1082400000007A0F003001E016206EFA0000DD0A0F
+:108250003801002CF8010BB40000DD0A020D028089
+:10826000A25B80BC000000000000002CC8C182346A
+:108270000000DF0A8000008042812FB60000B40FAA
+:1082800000000080020000F0000016139FA801E02B
+:1082900016206EBC0000D40F00000080020000F029
+:1082A0000000E50A270100D8028001B200000000AA
+:1082B000C700002CE8C08234000000000000000865
+:1082C000D801003400000000D54001E006008732EC
+:1082D00008004B12001801E8762081F900006411B3
+:1082E00000000030030038F20000E90A2319000002
+:1082F000078081B23E0016131200002C82CD2EB2F0
+:108300000000EB0A1D210000070082B20000EE0A07
+:10831000000000F862812F950000EE0A80000080C6
+:1083200002812FB62A0016131200002C82CD2EB225
+:1083300000000000000000F802812F340000161336
+:1083400080000080A2802FB6000054100000002C96
+:10835000F90100F4000016130401008062802DBCB6
+:108360001000F40A2C30000017E02CB90000F60AC7
+:108370008E39000007C082B20000F60A0008000033
+:10838000070087920000F60A8E390000B7C182B458
+:108390000000000000080000070087320000F80A13
+:1083A000120100E802C021B218003600000000F8F7
+:1083B000730A03F90000F60A9F010014184081BCFB
+:1083C0000000FE0A0400008002C085BC00001613F5
+:1083D0001200006802C585B00000000000000078AF
+:1083E00009C58530000016130201008082BA97BCCF
+:1083F000000016130601008092BA97BC0000161305
+:108400001200004802C080B2000016130401008070
+:10841000D28180B50000F603CA010008E88180948B
+:10842000000016138001008082812FB60000040B2B
+:108430001E000080020000B00000060B1A01008040
+:10844000020000B000003812000000681F80F6FA39
+:108450000000F303000000800200009000001613EB
+:108460009FA801E016206EBC00007A0F00000014E7
+:10847000080000F200000A0B8000008042812FB645
+:108480000000B40F00000080020000F00000D40FD4
+:1084900000000080020000F000007F08040000805F
+:1084A000024081BC00000E0B120100E802C021B2A4
+:1084B00018003600000000F8730A03F900000000FD
+:1084C0000000007809C58530000016130201008005
+:1084D00082BA97BC000016130601008092BA97BCBE
+:1084E00000007F081201006802C585B00000161365
+:1084F000000000800200009000007D0880000080E5
+:10850000F2C185B60000170B1C41028006C085B27F
+:10851000000000000000006802C585300000000077
+:10852000000000701F00F73A00007D08000000F80E
+:1085300022812F9400007D0880000080F2C185B662
+:108540000000D7110000002C09C085D20000F30301
+:10855000D20100941E40E99A00001613042000186E
+:1085600008006EB20000161380000080F2812FB662
+:1085700000008C1200000080020000F000001613C2
+:1085800004010080028080BC0000161304510180A9
+:1085900002806EBC000016130421018002006EBC34
+:1085A00000000000003C00E8064081320000250B7E
+:1085B0001F000080020000B00000220B9E400278E5
+:1085C000094068B20000161300000080020000900D
+:1085D0000000290B8001008082812FB600007F08F7
+:1085E0002A3101E0060000B218000000CA0000F8BD
+:1085F000730A03397F083600000000C0020036927B
+:1086000000007F0880010080A2802FB618000000C3
+:10861000CA0000F8730A03397F083600000000C062
+:10862000020036920D002F0B000000580800369211
+:1086300000002F0B00000058080000921B000000F3
+:1086400000000058080036320000161304200018FD
+:1086500008006EB20000161380000080F2812FB671
+:1086600000008C1200000080020000F000000000FA
+:108670000030002808006E3200000000545401FC55
+:1086800002C06E320000940B380000A4088082B251
+:108690000000940B0428010408006EB200001613B9
+:1086A0009F500104A85B80BC00000000005001E85E
+:1086B0000600003200005E0B0801007819A082BCA1
+:1086C00000000000002801E0A660803C00003C0B98
+:1086D0002A010014080000B200000000CA000014C3
+:1086E0001840813A0000C70F00A80120A9206EFAA7
+:1086F0000000161306010280821B92BC00000000DD
+:10870000002001E0A6206E3C00000000003000E0E8
+:10871000060000320000000000A801E006009232CE
+:1087200000000000000000D80280013200C0500BA1
+:108730001801000CA8CD3EB20000470B04000080D9
+:10874000024081BC0000000000000014080000325C
+:1087500018000000000000F8730A0339410B3600CE
+:10876000000000C0020036922080000000000028B7
+:108770000980363200008111000000D8020000D2CA
+:1087800000004B0B04000080028092BC18003600F1
+:10879000000000F8730A03F900000000000000D890
+:1087A0000280013200C0500B1801000CA8CD3EB26F
+:1087B00018000000000000F8738A03394B0B00001A
+:1087C000000000C0020036320000360000000080C9
+:1087D0000200009000000000DE000008E801003404
+:1087E00000000000DF00013808C06E320000000009
+:1087F0000010000007000032000000000018000018
+:1088000007808232000000000030000007C02C32D8
+:108810000020008000380000070037320000000010
+:10882000CA3D000C078083320000000000000014E5
+:108830001840813A00005C0B040201EC16C06EBCCB
+:1088400000000000C00100141840813A0000000040
+:10885000000000F892802F3400C016131200004070
+:10886000A28D39B20000D70B1201004802C080B2BD
+:1088700000001613000000800200009000000000BD
+:10888000000000280880973200000000000000A4CB
+:1088900008808232000000000010006C18206E3A40
+:1088A000000000000018004C08006E320000C70FE6
+:1088B00000A8012019206EFA00001613060102809C
+:1088C000821B92BC00000000002001E016206E3CDC
+:1088D0000000000000A801E0060092320000690BD1
+:1088E000003801E006408092000000000060006C4B
+:1088F00018206E3A000000000068004C08006E323C
+:1089000000006B0B9F010004686080BC0000740BCA
+:10891000000000181820009C000016138001008041
+:10892000A2802FB600006E0B120100E802C021B237
+:1089300018003600000000F8730A03F90000000078
+:10894000CA70001808006E320000670B0201008038
+:10895000626080BC000016139F000014184081BCA8
+:1089600000000000CA0100F802802F3500A0690B4A
+:1089700012010040A28D39B20000161300000080E1
+:10898000020000900000790B80000080A2802FB6CA
+:1089900000007C0B04000080A2A081BC0000161324
+:1089A0009F000014184081BC00000000CA0100F8BC
+:1089B00002802F3500A0161312000040A28D39B29C
+:1089C00000000000000000F8A2802F3500007C0BA2
+:1089D000120100E802C021B218003600000000F8C1
+:1089E000730A03F900000000002801E006000032CD
+:1089F00000000000003C00E806408132000000005A
+:108A0000003000E00680823200000000002000E01C
+:108A10000680813200000000001000E006C08632AF
+:108A200000000000001800E006C0843200001613A9
+:108A30000400008032E186B20000860B1F010008AE
+:108A4000090000B20000970B0420018002006EBCF8
+:108A500000001613000000800200009010000000CB
+:108A600000000010790B1638080000000000000C10
+:108A7000790B16380000000000000004A9002D3713
+:108A80000004010000000004C94D903A02000000FB
+:108A9000000000A8820D913700000000000000A82F
+:108AA00012A42A3A00008F0B80400280E2017CB6BB
+:108AB0000000161304400278B93F7CB000000000AB
+:108AC00000000008E9A5903A0000910B9F010010FA
+:108AD000190091BC9F000000000000100900363210
+:108AE00000008A0B0401008042E490BC00001613D1
+:108AF00004210180829B90BC0000970B0000008045
+:108B000002000090000000000010006C08006E32AF
+:108B1000000000000018004C08006E320000161320
+:108B20000400008032E186B200003210510000D80B
+:108B3000020000F200009A0B0050013CA85B809CF0
+:108B400000007F08003001E00600009200009F0B4B
+:108B50003E510100A81B80BA00000000DE0000F8B2
+:108B6000F2812F3400000000005801EC06C0EE3204
+:108B700000009F0B80010080328087B6000000005B
+:108B8000000000F8E2802F340000E310603001E0C4
+:108B9000060000F20000E90B0000008002000090D7
+:108BA0000000000000000014080000320000A90BC3
+:108BB000040201EC16C06EBC00000000C9010014E4
+:108BC0001840813A00000000C001013808C06E3230
+:108BD00000000000DF0000A4A8608A3C000016131B
+:108BE0000F000080020000B000C0AD0B1201004079
+:108BF000A28D39B200001613000000800200009020
+:108C000000000000003000E006000032000000001C
+:108C1000DF0000A4A8608A3C000016130F0000804B
+:108C2000020000B0000000000000013808C06E32F1
+:108C300000000000DEA8012099226E3A0000161301
+:108C400006010280821B92BC000016139F2001E0E7
+:108C500096226EBC0000B20B80000080F2802FB61E
+:108C60000000C70F00000080020000F00000B90BF8
+:108C70001F5001E8060000B20000B50B04000080A0
+:108C800002C083BC0000B90B005001E8F660809C74
+:108C90000800000000400278399AFE3800001613E0
+:108CA0000201008082BA97BC000016130601008002
+:108CB00092BA97BC0800000000400268129AFE3881
+:108CC0000000BE0B2AA901E0060092B2180036008F
+:108CD000CA0000F8730A03F91D00BE0B04000080EF
+:108CE00002A417B80000BA0B04000014184081BC9D
+:108CF00000001613000000800200009000006411C4
+:108D000000000030030038F20000C10B8001008039
+:108D100032802FB63E0016131200002C82CD2EB2E8
+:108D200000000000000000D80280013200C0D20B19
+:108D30001801000CA8CD3EB220800000C30000281E
+:108D40000980363200008111000000D8020000D2F4
+:108D50000000C70B04000080028092BC00000000ED
+:108D6000000000141840813A0000CC0B0400008081
+:108D7000024081BC18003600000000F8730A03F9B5
+:108D80000000D00B04000014184081BC0000C80B88
+:108D90001200000009C021B20000C90B00000080D1
+:108DA0000200009018003600000000F8738A03F9F2
+:108DB0000000641100000030030038F20000D00B06
+:108DC0008001008032802FB63E0016131200002C66
+:108DD00082CD2EB200000000C30000D80280013214
+:108DE00000C0CC0B1800000CA8CD3EB2000016133A
+:108DF0008000008072802FB60020008000000028D4
+:108E0000090037320000661200000008E80100F493
+:108E1000000016131200004802C080B200000000DB
+:108E2000000000141840813A0000161380010080F1
+:108E3000A2802FB618003600CA0000F8730A03F9A2
+:108E40001D0016130400008002A417B800001613BA
+:108E50009F000014184081BC0000D80B0B0100805B
+:108E6000020000B000004B1200000080020000F081
+:108E70000000E00B8001008092802FB62B00E60BF3
+:108E80001201002C82CD2EB20000161300000080CB
+:108E9000020000900000E30B1D010080020000B002
+:108EA0000000E60B8001008062812FB600001613DF
+:108EB00000000080020000900000E60B80000080AF
+:108EC00002812FB62A0016131200002C82CD2EB27A
+:108ED00000000000000000F802812F3400007D082F
+:108EE00004000080028085BC00005D12000000804C
+:108EF000020000F0000069060000001C0880859256
+:108F000000007F0880010080A2802FB600001613A9
+:108F10000000008002000090000016138000008016
+:108F2000E2802FB60000EE0B8001008082812FB618
+:108F3000000016130431018002006EBC00001613FD
+:108F400004310080829B82BC000016130201008065
+:108F500012A082BC00000000CE0100D802800132C5
+:108F600000C0F50B1801000CA8CD3EB22080000017
+:108F70000000000808803632000038120000005C53
+:108F80001FC0F5FA0000F30300000080020000900B
+:108F90000000161380000080A2802FB60000161378
+:108FA0008000008082802FB600001613040000802D
+:108FB000028082BC00000000600000D80200003285
+:108FC0000000FD0B3F00003C084080B20000FD0B9C
+:108FD00080010080E2812FB600000000DE0000F872
+:108FE000F2812F3400000000005801EC06C0EE3280
+:108FF000000000004D00000067E0833E000000001C
+:10900000000800000700803200000000001000008F
+:1090100007C08632000000000018000007C084323C
+:109020000000490C04000028D8A082BC00001613E0
+:1090300009010080020000B00000000000000018DC
+:10904000D8A0813C00001F0C0400003CD8E083BC89
+:109050000000161304010080028081BC0000090C8E
+:109060000400008072802DBC000016131200005016
+:1090700002C038B200001D0C510000D812802D9A99
+:109080000000161312000050F2C138B40000160C94
+:10909000280000D8020000B20000130C80010080FC
+:1090A000F2C185B600000F0C1F400284E60100B437
+:1090B0000000130C1D0100F822812FB40000130CD6
+:1090C000000000F862812F950000110C1D01008046
+:1090D000020000B000000000000000F862812F359F
+:1090E00000000000004002800240683200001613B9
+:1090F0001F010080020000B00000150C343000E0B9
+:1091000016206EBC0000B40F00000080020000F0CA
+:109110000000D50FDA5B01EC0640EDF218003600D6
+:10912000000000F8730A03F900001B0C0400008023
+:1091300072802DBC0000161380010080A2802FB623
+:109140000000160C670000F8A2802FB5000016136F
+:10915000120000E802C021B20000161304010080D2
+:1091600072802DBC00000000510000D802000032C7
+:1091700000003E1000000000D82080FA0000FE0B26
+:109180004D00000067E0839E00001613120000509F
+:10919000F2C138B400002C0C28000080084000B256
+:1091A0000000290C80010080F2C185B60000250C6A
+:1091B0001F400284E60100B40000290C1D0100F8E4
+:1091C00022812FB40000290C000000F862812F9545
+:1091D0000000270C1D010080020000B0000000000C
+:1091E000000000F862812F3500000000004002807E
+:1091F00002406832000016131F010080020000B018
+:1092000000002B0C343000E016206EBC0000B40FC0
+:1092100000000080020000F00000D50FDA5B01ECD6
+:109220000640EDF200004F0C80000080E2802FB677
+:109230000000300C042100E0068081B200003E10E6
+:1092400000000034080000F200000000002000E0F0
+:109250000680813200000000003C00E806408132B8
+:109260000000360C2A1100E0D6E086BA180036005D
+:10927000CA0000F8730A03F91D00360C04010080CF
+:1092800002A417B80000320C9F010080180088BCAF
+:1092900000001613000000800200009000004B1236
+:1092A00000000080020000F00000641100000030A7
+:1092B000030038F208003A0C231901E8762081B93E
+:1092C0003E0016131200002C82CD2EB200003E0C80
+:1092D0001D1800E006C084B200003E0C8000008033
+:1092E00002812FB62A0016131200002C82CD2EB256
+:1092F00000000000000000F802812F34000054102C
+:109300000000002CF90100F40000430C0400008070
+:10931000020088BC0000420C1201000009C021B20A
+:1093200018003600000000F8730A03F91D00161338
+:109330000401008002A417B8000016130401008085
+:10934000028080BC000000000000007809C5853064
+:10935000000016130201008082BA97BC00001613A9
+:109360000601008092BA97BC0000F6031201006863
+:1093700002C585B0000016130000008002000090B6
+:10938000000000000030007819206E3C0000161329
+:1093900004010080E2A582BC00001613800000805A
+:1093A000A2802FB60000161304010080020088BCC2
+:1093B0000000161304010080028080BC0000161318
+:1093C00012000050F2C138B400000000C0010138A2
+:1093D00008C06E320000530C040201EC16C06EBCD3
+:1093E00000C0161312000040A28D39B20000540CC8
+:1093F000C90100140800009200000000453000E0A0
+:10940000060000320000600C28000008E80100B4EB
+:1094100000005D0C80010080F2C185B60000590C8F
+:109420001F400284E60100B400005D0C1D0100F83D
+:1094300022812FB400005D0C000000F862812F959E
+:1094400000005B0C1D010080020000B00000000065
+:10945000000000F862812F3500000000004002800B
+:1094600002406832000016131F010080020000B0A5
+:1094700000005F0C8000008042812FB60000B40F16
+:1094800000000080020000F00000D50FDA5B01EC64
+:109490000640EDF200200080DF000028090037328E
+:1094A00000006612DE0000D8028001F208004B12B4
+:1094B000001801E8762081F90000641100000030F6
+:1094C000030038F20000660C8001008032802FB665
+:1094D0003E0016131200002C82CD2EB200006B0C41
+:1094E000290801E406C02DB20000700C1D000080A8
+:1094F000020000B00000700C8000008002812FB6D6
+:109500002A0016131200002C82CD2EB20000700C1F
+:10951000000000F802812F9400006D0C1201000081
+:1095200009C021B218003600000000F8730A03F9E0
+:109530001D006F0C0401008002A417B800006C0C21
+:10954000000000141840819C2B0016131200002C00
+:1095500082CD2EB2000055100000002CF90100F45D
+:109560000000730C04010080024081BC180036002A
+:10957000000000F8730A03F90000161312000048F7
+:1095800002C080B2000000000000007809C58530EC
+:10959000000016130201008082BA97BC0000161367
+:1095A0000601008092BA97BC0000F6031201006821
+:1095B00002C585B000001613000000800200009074
+:1095C000000016138000008082802FB60000161362
+:1095D00004310080829B82BC0000161302000080D0
+:1095E00012A082BC0000161304000080028082BC1E
+:1095F0002500000000000010090036321000801223
+:1096000000000014A96080D900000000000000D80C
+:109610000280013200C0840C1801000CA8CD3EB2BB
+:109620002080000000000008088036320000381258
+:109630000000005C1FC0F5FA0000F303000000808A
+:109640000200009000C00000000000F8A28D2F3141
+:1096500000000000000000D80200003200000000FE
+:1096600000000000078081320000000000080000B8
+:1096700007008032000000000010000007C08632A2
+:10968000000000000018000007C08432000016131C
+:1096900012000050F2C138B40000900C800000802D
+:1096A00082802FB60000000000000068A860803CA7
+:1096B000000000000000003C084080320000D40F91
+:1096C00000000004088082F20000910C12010000EA
+:1096D00009C021B218003600000000F8730A03F92F
+:1096E0001D00940C0400008002A417B8000016139B
+:1096F00080010080A2802FB60000900C000000F8CE
+:10970000A2802F9500000000000000006820803A31
+:1097100000009A0C0400002868A082BC0000161308
+:109720000C000080020000B00000161380000080D2
+:10973000E2802FB600003E1000000080020000F022
+:109740000000860C000000D80200009200001613F2
+:1097500080000080A2802FB600000000000000D82A
+:10976000028001320020008000000028090037320A
+:109770000000621200000008E80100F41800360042
+:10978000CA0000F8730A03F90000A50C040201ECFA
+:1097900016C06EBC00000000C00100F892802F349B
+:1097A00000C0A30C12010040A28D39B200001613B4
+:1097B00000000080020000902B00A50C1201002C7C
+:1097C00082CD2EB20000161300000080020000902F
+:1097D000000016131F010080020000B00000A80C5A
+:1097E0008001008082812FB60000161304310180B1
+:1097F00002006EBC00000000000000D802800132B0
+:109800000000AB0C12010060084023B20082B40CCF
+:1098100000000008A88D809200001613120000249A
+:10982000080023B2000016131200002008C023B263
+:109830000000161312000018088023B200C0C90CE3
+:109840001801000CA8CD3EB20000AE0C120000388A
+:10985000028081B2000016131200003C020082B2A6
+:109860000000161312000030024082B200001613EE
+:1098700012000034020086B22080000000000008C0
+:10988000A88D80320000BC0C80010080F2C185B63A
+:109890000000B80C1F400284E60100B40000BC0CBC
+:1098A0001D0100F822812FB40000BC0C000000F85C
+:1098B00062812F950000BA0C1D010080020000B0EB
+:1098C00000000000000000F862812F350000000059
+:1098D0000040028002406832000016131F01008021
+:1098E000020000B032000000000000100900363213
+:1098F0000000801200000014090080D2000016133E
+:109900001200006802C585B0000000000000007869
+:1099100009C58530000016130201008082BA97BC89
+:10992000000016130601008092BA97BC0000C40C18
+:109930003400005C1FC0F5BA0000B40F00000080C6
+:10994000020000F00000C60C8000008092802FB65C
+:1099500000007F08003000E00600009200007F0851
+:10996000120100E802C021B218000000000000F857
+:10997000730A03397F083600000000C002003692E7
+:1099800000000000450000D8024000320000000046
+:10999000410000000780863200000000000800003F
+:1099A00007008032000000000010000007408232F3
+:1099B00000000000001800000700863200001613A7
+:1099C00012000050F2C138B400000000000000781E
+:1099D000388087350000161380000080728087B6BB
+:1099E0000000000000A001E016206E3A0000000018
+:1099F0000000007809C585300000000000A801E0E3
+:109A000016206E3C08000000D2010078E9E5833999
+:109A1000180016131F410284E6A197B90000D90C63
+:109A2000365101E816E083BC0000D90C1D0100800E
+:109A3000020000B000000000000000F862812F3535
+:109A4000000016139F2001E0064080B20000DC0CED
+:109A50008001008082812FB600000000003001E00C
+:109A60000640803200000000000000D80280013271
+:109A70000000DF0C34180000078081B20000B40F32
+:109A800000000080020000F010004B1200300000C7
+:109A900017E02CF900100080003800000700373272
+:109AA0000000641100000030030038F20000E40CF4
+:109AB0008001008032802FB63E0016131200002C69
+:109AC00082CD2EB20000E90C29210000070082B2ED
+:109AD0000000E70C1201000009C021B21800360096
+:109AE000000000F8730A03F91D00EF0C0401008068
+:109AF00002A417B80000E50C000000140800009252
+:109B00000000EC0C1D3100E0060000B20000EF0C7C
+:109B10008001008062812FB60000161300000080D3
+:109B2000020000900000EF0C8000008002812FB640
+:109B30002A0016131200002C82CD2EB20000000065
+:109B4000000000F802812F3400005D120000002C9C
+:109B5000F90100F400005410000000F8A2802FF476
+:109B60000000F40C04000080024081BC0000F40CF2
+:109B7000120100E802C021B218003600000000F80F
+:109B8000730A03F90000F6031201004802C080B214
+:109B90000000161300000080020000900000FE0C80
+:109BA00080010080F2C185B60000FA0C1F400284DB
+:109BB000E60100B40000FE0C1D0100F822812FB464
+:109BC0000000FE0C000000F862812F950000FC0CE4
+:109BD0001D010080020000B000000000000000F83D
+:109BE00062812F3500000000004002800240683290
+:109BF000000016131F010080020000B00000000DDD
+:109C000004000080024086BC0000AB1200900108F6
+:109C100009006EF20000DF1200000080020000F078
+:109C20000000070D330100D8028001B20000070DCB
+:109C300080010080B20172B60000070D9FF0018024
+:109C400082DB87BC0000070D9FF8018022216EBCDB
+:109C50000000000000E801E00600EE320000000015
+:109C600000F001E006C0873208000000001801E89B
+:109C70007620813900000D0D80010080D2802FB642
+:109C800000000D0D04B0008002006EBC000000005A
+:109C9000CD0000F872812F343D000D0D1201002C13
+:109CA00082CD2EB20000161300000080020000904A
+:109CB00000001C0D270901E406C02DB200C0140DE0
+:109CC0001801000CA8CD3EB2000000000000007892
+:109CD00009C58530000016130201008082BA97BCC6
+:109CE000000016130601008092BA97BC00001613FC
+:109CF0001200006802C585B020807F0800000008BF
+:109D0000088036922C000000000000100900363256
+:109D1000000080120098011409006ED200000000BB
+:109D2000004001E00640883200000000D508000035
+:109D300007408832000000000030000007C02C32CD
+:109D400000400080CA3900000700373200001613B7
+:109D50001200004802C080B200600000000000084D
+:109D6000088036320000200D1D000080020000B087
+:109D70000000200D8000008002812FB62A001613FB
+:109D80001200002C82CD2EB200000000000000F86E
+:109D900002812F34000055100000002CF90100F45E
+:109DA000000000000000007809C58530000016138F
+:109DB0000201008082BA97BC0000161306010080E1
+:109DC00092BA97BC0000F6031201006802C585B084
+:109DD0000000161300000080020000900000000048
+:109DE000545401FC02C06E3200000000000000D894
+:109DF0000280013200C02C0D1801000CA8CD3EB22B
+:109E00002080000000000008088036320000F303C4
+:109E10001201002C72E02EB2000016130000008028
+:109E200002000090000016138001008082812FB68E
+:109E300000008C120020001808006EF200001613BB
+:109E40001F30002808006EB200000000000000A4CF
+:109E500008808232000000000010006C08006E32A2
+:109E6000000000000018004C08006E3200001613BD
+:109E70000400008032E186B2000032100000008051
+:109E8000020000F00000360D0050013CA85B809CF1
+:109E90000000161300000080020000900000000087
+:109EA00000500100A81B803A000000000000008064
+:109EB0000800003200000000510000D8020000320B
+:109EC000000000004D00000067E0833E000000003D
+:109ED00000080000070080320000000000100000B1
+:109EE00007C08632000000000018000007C084325E
+:109EF00000006D0D04000028D8A082BC00001613DD
+:109F000009010080020000B00000000000000018FD
+:109F1000D8A0813C0000540D0400003CD8E083BC74
+:109F20000000161304010080028081BC0000450D72
+:109F30000400008072802DBC000016131200005037
+:109F400002C038B200004D0D510000D812802D9A89
+:109F50000000161312000050F2C138B41800360089
+:109F6000000000F8730A03F900004B0D04000080A4
+:109F700072802DBC0000161380010080A2802FB6D5
+:109F80000000460D670000F8A2802FB500001613F0
+:109F9000120000E802C021B2000016130401008084
+:109FA00072802DBC00000000510000D80200003279
+:109FB0000000520D2A010000D82080BA0000510D87
+:109FC0001201000009C021B218003600000000F89C
+:109FD000730A03F900000000000000D80240843238
+:109FE0001D0016130400008002A417B800004610DC
+:109FF0000060006C08006EF200003A0D4D00000099
+:10A0000067E0839E0000161312000050F2C138B4BE
+:10A0100018003600000000F8730A03F91D005B0DFC
+:10A020000400008002A417B800001613800100800D
+:10A03000A2802FB60000550D670000F8A2802FB552
+:10A04000000016131200000009C021B21D001613F3
+:10A050000401008002A417B8080000000040027844
+:10A06000399AFE38000016130201008082BA97BCAC
+:10A07000000016130601008092BA97BC0800161360
+:10A0800012400268129AFEB8000016130B000080FE
+:10A09000020000B00000641100000030030038F23C
+:10A0A000000016131F00006CD8E086BA00003210C2
+:10A0B000510000D8020000F20000650D0000003CD5
+:10A0C00008408092000016130000008002000090FB
+:10A0D0000000390D04010080028081BC00006B0D7E
+:10A0E00080010080A2802FB600006A0D12010000DE
+:10A0F00009C021B218003600000000F8730A03F905
+:10A1000000000000000000D8024084321D00161339
+:10A110000400008002A417B8000046100060006C24
+:10A1200008006EF200003A0D4D00000067E0839ECB
+:10A130000000161380000080A2802FB600000000EF
+:10A14000C001013808C06E3200000000453000E058
+:10A15000060000320000161312000050F2C138B49D
+:10A160000000750D040201EC16C06EBC000000007A
+:10A17000C90100141840813A00C0750D1201004059
+:10A18000A28D39B20000161300000080020000907A
+:10A1900000C00000000000F8A28D2F310000000078
+:10A1A00000A8012099226E3A0000161306010280D1
+:10A1B000821B92BC000016139F2001E096226EBC09
+:10A1C00000007B0D80000080F2802FB60000C70FDA
+:10A1D00000000080020000F000007F0D0400003C41
+:10A1E000D8E083BC00007E0D9F3101E096226EBC5A
+:10A1F00000000000003001E0060000320000860D83
+:10A20000005001E8F660809C0800000000400278E1
+:10A21000399AFE38000016130201008082BA97BCFA
+:10A22000000016130601008092BA97BC08000000D7
+:10A2300000400268129AFE380000850D9F3101E04F
+:10A2400096226EBC00000000003001E006000032E3
+:10A2500000000000005001E806000032000000008D
+:10A2600000A801E00600923218003600000000F855
+:10A27000730A03F91D008B0D0400008002A417B8B7
+:10A280000000870D04000014184081BC0000161364
+:10A290000000008002000090000016138000008083
+:10A2A00072802FB600000000000000D8028001324A
+:10A2B00000200080000000280900373200006612EC
+:10A2C00000000008E80100F4000016131200004826
+:10A2D00002C080B20000641100000030030038F2B8
+:10A2E0000000930D23010014184081BA3E0016139C
+:10A2F0001200002C82CD2EB20000161380010080C7
+:10A30000A2802FB618003600CA0000F8730A03F9BD
+:10A310001D0016130400008002A417B800001613D5
+:10A320009F000014184081BC0000940D0B010080B8
+:10A33000020000B000004B1200000080020000F09C
+:10A3400000009C0D2931010C09006EB22B007D0824
+:10A350001201002C82CD2EB20000161300000080E6
+:10A36000020000900000BE0F000C020009806EF297
+:10A370000000A50D000000800200009000005D12AA
+:10A3800000000080020000F0000000000000001C3F
+:10A39000080090320000A40D04000028098080B25B
+:10A3A00000008111000000D8020000D20000A40DBE
+:10A3B00004000080028092BC18003600000000F803
+:10A3C000730A03F900006806000000080800009204
+:10A3D0000000A80D1D010080020000B000007D08F3
+:10A3E0008001008062812FB60000161300000080FB
+:10A3F0000200009000007D088000008002812FB6DE
+:10A400002A0016131200002C82CD2EB200007D0807
+:10A41000000000F802812F940000161380010080D4
+:10A4200082812FB60000161304000018094081B283
+:10A430000000E30F00000080020000F00000C70FE2
+:10A4400000A8012009006EF2000000000030010C9D
+:10A4500009006E320000BE0F000C020009806EF28F
+:10A4600000007F08000000800200009000004B12F6
+:10A4700000000080020000F000005D12000000807B
+:10A48000020000F0000068060000001C0800909226
+:10A4900000000000545401FC02C06E32000016138C
+:10A4A0008001008082812FB6000016131F000080FB
+:10A4B000020000B010000000000000A8780B163861
+:10A4C00008000000000000AC780B16380000000007
+:10A4D000000000B0A8002D3700040100000000B00B
+:10A4E000C80D8B3A00000000005001B408806E32A5
+:10A4F0000000C70D0431019008006EB20200000098
+:10A50000000000C8828D8A3700000000000000C8EB
+:10A51000C2A22C3A1800C50D86410278880D78B683
+:10A520000000161304000080A2E28ABC000016138B
+:10A5300004410280B23F78B00000BE0D9F0100A828
+:10A5400018808ABC9F00BE0D000000A8080036924B
+:10A550000000000000400204B83F78300000DA0D2F
+:10A5600000000004D862809C00001613020C0280D8
+:10A57000A21B89BC000016138000008082802FB6C9
+:10A5800002000000000000C8828D8A370000000031
+:10A59000000000C8C2A22C3A1800D00D86410278F3
+:10A5A000880D78B60000161304000080A2E28ABC71
+:10A5B0000000161304410280B23F78B00000C90DBC
+:10A5C0009F0100A818808ABC9F00C90D000000A848
+:10A5D000080036920000D30D28400204B83F78B03E
+:10A5E00000000000C8010004D862803C000016137F
+:10A5F0009F000080024080B20000D70D0201009051
+:10A60000182089BC00000000000000B408000032DF
+:10A610000000C90D9F0100A818808ABC9F00C90DC9
+:10A62000000000A8080036920000DA0D0400009037
+:10A63000182089BA000016139F000004486280BCED
+:10A6400000001613900000B448628BBA0300161382
+:10A6500004400200081EFFB80000E20D00000000E8
+:10A66000D822809A0000090E04000080A2E28ABC71
+:10A6700002000000000000C8828D8A370000000040
+:10A68000000000C8C2A22C3A1800070E86400278CB
+:10A69000880D78B60000161304400204B83F78B065
+:10A6A0000300161304400200081EFFB83800000023
+:10A6B0000000001009003632000080120000001473
+:10A6C000090080D20000E80D12010060084023B2AA
+:10A6D0000082000000000008088036320000F3030A
+:10A6E0001201002C72E02EB2000016130000008050
+:10A6F000020000900000161312000024080023B28C
+:10A70000000016131200002008C023B20000161328
+:10A7100012000018088023B200000000000000D8DA
+:10A720000280013200C0F20D1801000CA8CD3EB22B
+:10A730000000EC0D12000038028081B200001613F8
+:10A740001200003C020082B200001613120000301A
+:10A75000024082B20000161312000034020086B2DA
+:10A760002080E60D000000080880369200000000FE
+:10A77000000000D802000032000000000038020093
+:10A78000B81B803A00000000643001E016206E3AE9
+:10A7900000000000000000000740803200000000C0
+:10A7A00000080000070080320000000000100000D8
+:10A7B00007408232000000000018000007008632C7
+:10A7C0000000161312000050F2C138B4000000005F
+:10A7D000000000D8028001320000000000180000D4
+:10A7E0000780813200000000002000000700823254
+:10A7F000100000000030000017E02C3900000000BD
+:10A8000000380000F7010B340000010E80010080C9
+:10A81000328087B60000000000380000B7017034B5
+:10A820000000000000000008E80100340000130EE2
+:10A83000020C0280A21B89BC18003600000000F840
+:10A84000730A03F90000641100000030030038F2BD
+:10A85000000016131200004802C080B21800360033
+:10A86000000000F8730A03F90000DC0D9F0100A846
+:10A8700018808ABC9F00DC0D000000A808003692FA
+:10A8800028000C0E0401008082CD81BC0000000075
+:10A890000020017809006E320000161304010080C8
+:10A8A00042A297BC00000E0E8001008032802FB6BD
+:10A8B0003E0016131200002C82CD2EB20000100EA6
+:10A8C0001D010080020000B000007D08000000F8BB
+:10A8D00062812F9500007D088000008002812FB6E4
+:10A8E0002A0016131200002C82CD2EB200007D0823
+:10A8F000000000F802812F940000000000380000E2
+:10A90000C70170340000641100000030030038F209
+:10A910000800170E231901E8762081B93E001613AE
+:10A920001200002C82CD2EB20000190E1D010080F5
+:10A93000020000B000001C0E000000F862812F959C
+:10A9400000001C0E8000008002812FB62A00161322
+:10A950001200002C82CD2EB200000000000000F892
+:10A9600002812F340000161380000080A2802FB6D1
+:10A97000000054100000002CF90100F40000200E2B
+:10A98000120100E802C021B218003600000000F8F1
+:10A99000730A03F9000016131200004802C080B2C7
+:10A9A0000000F603000000F8A2802F9400000000D1
+:10A9B000000000D8028001320000000000300028B2
+:10A9C00008006E3200000000545401FC02C06E32D8
+:10A9D00000C02E0E1801000CA8CD3EB22080000051
+:10A9E000000000280980363200008111000000D8E4
+:10A9F000020000D200002B0E04000080028092BCF6
+:10AA000018000000000000F8730A03392C0E36000D
+:10AA1000000000C00200369218003600000000F866
+:10AA2000738A03F900000000000000D802800132A0
+:10AA300000C02B0E1800000CA8CD3EB200200084F0
+:10AA400000000028090037320000621200000008F0
+:10AA5000E80100F400007D08000000800200009082
+:10AA600000000000000000D8028001320000000059
+:10AA7000545401FC02C06E3200C0370E1801000CA5
+:10AA8000A8CD3EB2208000000000000808803632C9
+:10AA90000000EF031201002C72E02EB2000016132A
+:10AAA00000000080020000900000F3110000002868
+:10AAB000090002F200003F0E0000005C0800009256
+:10AAC00000000000000000D80280013200000000F9
+:10AAD000545401FC02C06E3200C03F0E1801000C3D
+:10AAE000A8CD3EB220800000000000080880363269
+:10AAF000000038120000005C1FC0F5FA0000F303EC
+:10AB000000000080020000900000000000300028DB
+:10AB100008006E320020008400000028090037324F
+:10AB20000000621200000008E80100F40000440E7A
+:10AB300000000080020000900000000000000008FB
+:10AB40000800003200004A0E0400008002C085B2F6
+:10AB500000004A0E80000080F2C185B60000490E58
+:10AB60001C41028006C085B20000000000000068A1
+:10AB700002C5853000000000000000701F00F73A99
+:10AB800000000000000000F822812F340000D00EE9
+:10AB900080010080A2802FB618000000000000F89D
+:10ABA000730A0339D00E3600CA0000C00200369284
+:10ABB0000000990E8001008082812FB60000A10E56
+:10ABC0001F20010809006EB20000990E0430010830
+:10ABD000899B90BC0000560E0431018002006EBCBF
+:10ABE0000000321000000080020000F00000540E4F
+:10ABF0000050014808806E9200001613000000808B
+:10AC00000200009000000000000000042861803C69
+:10AC100000006B0E000000002821809A000016132F
+:10AC20009F000080028090B2000032100030014886
+:10AC300008006EF200005A0E00500104A85B809CD0
+:10AC400000001613000000800200009000000000C9
+:10AC500000500100A81B803A0000680E0700004861
+:10AC600018A084BC0800000000400200189AFE38BA
+:10AC70000000161302010080823A80BC0000161307
+:10AC800006010080923A80BC0000000000000068CD
+:10AC9000020080320000321000000080020000F04C
+:10ACA0000000630E000000800200009000001613F8
+:10ACB00000000080020000900000680E07000048BD
+:10ACC00018A084BC0800000000400200189AFE385A
+:10ACD0000000161302010080823A80BC00001613A7
+:10ACE00006010080923A80BC0000600E00000068FF
+:10ACF0000200809200006B0E0400004818A084BA85
+:10AD0000000016139F000004286180BC00000000B2
+:10AD1000000000002821803A00000000005401FCDF
+:10AD200002C06E320000740E12010060084023B2AF
+:10AD300000820000D6010008088036320300161396
+:10AD400004400200381AFFB8030000000000007839
+:10AD50000960803918000000D241028CE6A19739C1
+:10AD600000000000005001E8068084322900F3034F
+:10AD70001201002C82CD2EB20000161300000080BC
+:10AD8000020000900000161312000024080023B2F5
+:10AD9000000016131200002008C023B20000161392
+:10ADA00012000018088023B200000000000000D844
+:10ADB0000280013200C07F0E1801000CA8CD3EB207
+:10ADC00020800000D6010008088036320000790E8D
+:10ADD00012000038028081B2000016131200003CFD
+:10ADE000020082B20000161312000030024082B24C
+:10ADF00000006E0E12010034020086B2000016132D
+:10AE00000000008002000090080000000040025C8A
+:10AE1000189AFE38000000000000004808000032C8
+:10AE200000000000000000D8020000320000000016
+:10AE30000000000007408032000000000008000011
+:10AE4000070080320000000000100000074082323E
+:10AE500000000000001800000700863200001613F2
+:10AE600012000050F2C138B400000000D60100D832
+:10AE700002800132000000000018000007808132CB
+:10AE800000000000002000000700823210000000D7
+:10AE90000030000017E02C3900008E0E800000808A
+:10AEA000328087B60010008000380000070037327B
+:10AEB00000008F0E0000008002000090001000884B
+:10AEC000003800000700373218003600000000F894
+:10AED000730A03F9000000000000006802C0853218
+:10AEE000000016130201008082FA85BC00001613D0
+:10AEF0000601008092FA85BC0000000000000008F6
+:10AF0000E8010034000016131200004802C080B2AD
+:10AF100018003600000000F8730A03F90000321030
+:10AF200000000080020000F000006B0E00000080B6
+:10AF3000020000900000A10E0000008002000090BE
+:10AF40000000321000000080020000F000009C0EA3
+:10AF500000380200B81B809C0000A10E0000008099
+:10AF600002000090050000000000006802A0FE380A
+:10AF7000050000000000007809A0FE38000016134C
+:10AF80000201008082BA97BC0000161306010080FF
+:10AF900092BA97BC0000990E00400280024068926D
+:10AFA00000000000CA0100D8020000320000A50E17
+:10AFB00004B8018002006EBC000016139FB801782F
+:10AFC000891BEEBC0000000000B801E0861BEE3CCF
+:10AFD0004C000000000000000700363200000000B6
+:10AFE00000000078A9002D37B4040100000800001B
+:10AFF000C78D973A000000000000007899C02C37F8
+:10B00000B400000000000078898D973A0000161304
+:10B010000210000087BF97BA00000000001800006F
+:10B020000740FE320000161312000048F2C138B487
+:10B030000000AD0EB6000080020000B00020161324
+:10B0400012000064A2CD2CB200000000A600008017
+:10B05000020000300000B20E80010080A2802FB6F6
+:10B0600018003600CA0000F8730A03F900007D08D2
+:10B07000005401FC02C06E92000016138001008093
+:10B0800062812FB6000016138001008082812FB6E6
+:10B09000000016131F000080020000B00000000036
+:10B0A000005401FC02C06E320000BB0E12010060B1
+:10B0B000084023B2008200000000000808803632F9
+:10B0C0002900F3031201002C82CD2EB200001613CA
+:10B0D00000000080020000900000161312000024FF
+:10B0E000080023B2000016131200002008C023B28B
+:10B0F0000000161312000018088023B200000000A0
+:10B10000000000D80280013200C0C60E1801000CF9
+:10B11000A8CD3EB220800000000000080880363232
+:10B120000000C00E12000038028081B20000161329
+:10B130001200003C020082B2000016131200003020
+:10B14000024082B20000B90E12010034020086B241
+:10B150000000161300000080020000900000321072
+:10B1600000000048080000F20800C90E0040025C20
+:10B17000189AFE980000161300000080020000904C
+:10B180000000000000500100A81B803A0000810E62
+:10B190000000004808000092000016131F01008004
+:10B1A000020000B000000000005401FC02C06E323A
+:10B1B0000000F31100000028098002F20000AD0E2B
+:10B1C00000000080020000900000F3110000002841
+:10B1D000090002F20000D30E9A0100F862812FB438
+:10B1E00010240000000000F8A28D2F3100000000A4
+:10B1F00000D601EC06C06E342E007D081201002C32
+:10B2000082CD2EB2000016130000008002000090D4
+:10B210000000161304A9018002006EB20000DE0EC9
+:10B2200080010080F2C185B60000DA0E1F40028462
+:10B23000E60100B40000DE0E1D0100F822812FB4EB
+:10B240000000DE0E000000F862812F950000DC0E89
+:10B250001D010080020000B000000000000000F8A6
+:10B2600062812F35000000000040028002406832F9
+:10B27000000016131F010080020000B00000E00E65
+:10B2800004980164881B87BC0000AB120090010881
+:10B2900009006EF20000DF1200000080020000F0E2
+:10B2A000000000000000007809C58530000016137A
+:10B2B0000201008082BA97BC0000161306010080CC
+:10B2C00092BA97BC000016131200006802C585B040
+:10B2D00000000000000000F8D2802F3500007F0839
+:10B2E000370000F8D2812FB400000000000000F801
+:10B2F00072812F343D007F081201002C82CD2EB2C6
+:10B300000000161300000080020000900000F20E02
+:10B3100080010080F2C185B60000EE0E1F4002845D
+:10B32000E60100B40000F20E1D0100F822812FB4E6
+:10B330000000F20E000000F862812F950000F00E70
+:10B340001D010080020000B000000000000000F8B5
+:10B3500062812F3500000000004002800240683208
+:10B36000000016131F010080020000B00000000062
+:10B3700000D401EC16C06E3A000000000000007816
+:10B3800009C58530000016130201008082BA97BCFF
+:10B39000000016130601008092BA97BC0000161335
+:10B3A0001200006802C585B000007F0804B000806C
+:10B3B00002006EBC37007F081201002C82CD2EB235
+:10B3C0000000161300000080020000900000020F31
+:10B3D00080010080F2C185B60000FE0E1F4002848D
+:10B3E000E60100B40000020F1D0100F822812FB415
+:10B3F0000000020F000000F862812F950000000F8E
+:10B400001D010080020000B000000000000000F8F4
+:10B4100062812F3500000000004002800240683247
+:10B42000000016131F010080020000B000000F0F83
+:10B43000000000800200009000000B0F80010080DF
+:10B44000F2C185B60000070F1F400284E60100B478
+:10B4500000000B0F1D0100F822812FB400000B0F1C
+:10B46000000000F862812F950000090F1D01008087
+:10B47000020000B000000000000000F862812F35DB
+:10B4800000000000004002800240683200001613F5
+:10B490001F010080020000B000000F0F370000F80D
+:10B4A000D2812FB400000000000000F872812F3418
+:10B4B0003D000F0F1201002C82CD2EB2000016139A
+:10B4C00000000080020000900000000000D401ECA9
+:10B4D00006000032000000000000007809C5853039
+:10B4E000000016130201008082BA97BC00001613F8
+:10B4F0000601008092BA97BC00007F081201006824
+:10B5000002C585B000001613000000800200009004
+:10B5100000007D0880010080F2812FB600007D08C8
+:10B5200080000080E2812FB60000190F80000080AB
+:10B5300002812FB6000016131D010080020000B02A
+:10B54000000016130458018002C06EBC00007D0884
+:10B55000085901EC06FB6EBC00000000000000D89A
+:10B560000280013200000000545401FC02C06E321F
+:10B5700000C0220F1801000CA8CD3EB20000000050
+:10B58000005801EC06FB6E3A208000000000000825
+:10B59000088036320000EF031201002C72E02EB258
+:10B5A00000001613000000800200009000005D12F1
+:10B5B000000000F8E2812FF40000250F060301804F
+:10B5C00012C06EBC190068060000001C080036920C
+:10B5D0001A0068060000001C0800369200001613CE
+:10B5E00080010080F2812FB60000161380010080D8
+:10B5F000E2812FB60000161304550180B2DB2FBC88
+:10B6000000C00000000000F8A28D2F3100000000F3
+:10B61000000000D802800132002000C00000002895
+:10B6200009003732000000000030002808006E32A8
+:10B6300000000000453000E0060000320000621209
+:10B6400000000008E80100F40000340F040201ECDF
+:10B6500016C06EBC00000000C90100141840813AF9
+:10B6600000000000000000F802802F3400C0340FFA
+:10B6700012010040A28D39B20000161300000080B4
+:10B680000200009018003600CA0000F8730A03F99F
+:10B690000000340F9F010014184081BC00007F0897
+:10B6A0008001008092802FB62B007F081201002CB1
+:10B6B00082CD2EB200001613000000800200009020
+:10B6C000000016131F0100D8028001B20000000024
+:10B6D000005401FC02C06E3200C0440F1801000C7F
+:10B6E000A8CD3EB22080000000000028098036323C
+:10B6F00000008111000000D8020000D20000410FBC
+:10B7000004000080028092BC18000000000000F8D5
+:10B71000730A0339420F3600000000C0020036925F
+:10B7200018003600000000F8738A03F900000000DA
+:10B73000000000D80280013200C0410F1800000C48
+:10B74000A8CD3EB200005D12000000D8024000F219
+:10B7500000F04C0F1D400200A80D68B10000161348
+:10B760000B000080020000B0000016131E4002848F
+:10B77000060000B200004A0F12000028020580B047
+:10B780000800450F000000F8234001990000450F14
+:10B7900012010068020580B000001613000000804E
+:10B7A0000200009000004C0FB5000080020000B0C5
+:10B7B00000000000A50080A0360B6A3500000000E4
+:10B7C0000000005009C02932000000000056012886
+:10B7D00008C06E320000000000000078390B2E32E5
+:10B7E0000000000000000020F38197340000560F95
+:10B7F00004000078D90130B600001613040100805F
+:10B80000328097BC0000000000000000B905303015
+:10B8100018000000000000F803A403390000000035
+:10B8200000000034330B2F3200006F0F040000784B
+:10B83000D90130B60000161304010080328097BC95
+:10B840000000000000000078B905303000005D0FF6
+:10B850000400008042E529BC00000000000000F860
+:10B860000200003218000000000000F8738A02395C
+:10B87000000000000000009C028097320A000000D7
+:10B880000000001009003632000080120000001491
+:10B8900009C029D20000690F25010008080000B284
+:10B8A0000000161380000080F20180B60000000046
+:10B8B0000000002C090580300000161302010080F2
+:10B8C00082FA92BC000016130601008092FA92BC24
+:10B8D0000000670F12000028020580B00800690F01
+:10B8E000000000F8234001990000690F1201006870
+:10B8F000020580B0000016130000008002000090D6
+:10B9000000006D0F0400008002402FBC000000000A
+:10B910000000007809002C32210316130400008077
+:10B92000828D97BC9603161304000080828D97BC0D
+:10B930000000161380000080A2802FB60000560F72
+:10B94000000000F4020000920000730F0400008069
+:10B9500042E529BC00000000000000F802000032AF
+:10B9600018000000000000F8738A0239000000008F
+:10B970000000009C0200953200000000CA0100D8BF
+:10B9800002800132000000000030000007C02C32AD
+:10B99000001000A00038000007003732000000004F
+:10B9A000002000000700EE32000000000038000C0C
+:10B9B00007808232000016131200004802C080B2D5
+:10B9C0000000F60300000008E80100940000930F57
+:10B9D00002000080A24280BC0000930F8000008023
+:10B9E000F2C185B60000930F1F400208B9BF68B0CE
+:10B9F0000000830F80410280E28168B608000000E9
+:10BA00000000001079618039000016139F2001E0CA
+:10BA100016206EBA00000000000000F822812F34CA
+:10BA20001800000000400288E62191390000000063
+:10BA30000001005C08000072000000000000000C23
+:10BA400019A0903A0000930F06010080D2FF90BC2D
+:10BA50000000870F2C410278F98168B400000000D3
+:10BA600000000078B9819734010000000000001048
+:10BA700009003632000080120000001459C085D73A
+:10BA80000300000000400200291AFF3800000000F7
+:10BA900000380200B91B903A00000000D241028831
+:10BAA00016A0973A00000000450000D8024000327E
+:10BAB000000016139F2001E016206EBA000000005F
+:10BAC0000000000007408032000000000008000075
+:10BAD0002724903A000000000010000007008A327E
+:10BAE0000000000012010058F2C138740000161363
+:10BAF00000000080020000900800A20F1A0000342D
+:10BB0000796180B90000AE0F1E010080020000B014
+:10BB10000000AE0F1F400200094068B20000950F00
+:10BB200080000080E20190B6000016133800005437
+:10BB30001F40F5BA0000000000000008B93F903037
+:10BB400000000000002801E026246E3A08001613C9
+:10BB50001E00000009A4FEB83D0000000000001017
+:10BB6000090036320000801200000014090090D253
+:10BB70000000000000000078090590300000161356
+:10BB80000201008082BA97BC0000161306010080F3
+:10BB900092BA97BC0000AE0F12010068020590B087
+:10BBA0000000161300000080020000900000AE0F9D
+:10BBB0008000008082812FB60000AC0F1F41020080
+:10BBC000094068B200000000002801E016206E3A2B
+:10BBD0000000A80F80010080F2C185B600000000BF
+:10BBE00000400284E60100340000000000000080F4
+:10BBF0000200003000000000004002800240683275
+:10BC000000001613380000541F40F5BA0000161348
+:10BC10009F2001E016206EBA0000000000010080A5
+:10BC2000020000700000A30F80000080E20190B6C7
+:10BC30000000970F000000541F40F59A000000001C
+:10BC40000000005C08000032000016139F2001E095
+:10BC500016206EBA00000000000000F822812F3488
+:10BC6000180000001E410284E6619379000016135B
+:10BC700000000080020000900000FFFF0000008034
+:10BC8000020000900000B90F1D5D01EC16C06EBCF3
+:10BC9000000000000F010080020000700000161379
+:10BCA000045D018002C06EBC00001613800000809D
+:10BCB00042812FB600000000000100F8B2802F740E
+:10BCC000000000000F010080020000700000B70FAC
+:10BCD000045E01EC16C06EBC00000000005C01ECCC
+:10BCE00006400032000000000001008002000070E9
+:10BCF0000000FFFF00000080020000900000000034
+:10BD00000420018082DB907C000016130420018057
+:10BD100002006EBC000016131F000080020000B07D
+:10BD200000000000020C0280A2DB907C0000C40F27
+:10BD300006210180821B90BC2700C50F0000000077
+:10BD40000900369228000000000000000900363289
+:10BD5000000000000000008812002C3A0000FFFFE5
+:10BD600000000080020000900600000000000010AB
+:10BD7000090036320000801200000014090092D23F
+:10BD80000000161304000080020092BC00000000B6
+:10BD90002FA00178891B927A0000000006880178A4
+:10BDA000899B977C000000000034020409C06E3DAE
+:10BDB00000000000000C020019A46E370000D20F32
+:10BDC0000200008002A497BC0000D20F0200008095
+:10BDD000020000B00100000000000078898D973754
+:10BDE0000000000002010280829B977C000000009E
+:10BDF000000100F8F2802F740000FFFF00000080B7
+:10BE00000200009000000000DA5B01EC0640ED3219
+:10BE10002D000000000000100900363200008012E2
+:10BE2000005C011409806ED20000DA0F040100806A
+:10BE3000024086BC0000000000A001E016206E3A1F
+:10BE40000000DC0F00D401EC060000920000AB12F1
+:10BE50000090010809006EF20000000000A001E05F
+:10BE600016206E3A0000DF12330100F882802FB4F2
+:10BE70000000DF129FF0018082DB87BC0000DF1230
+:10BE80009FF8018022216EBC0000000000E801E064
+:10BE90000600EE320000000000F001E006C087322C
+:10BEA0000000DF1200000080020000900000FFFF91
+:10BEB00000000080020000900000161308000080BF
+:10BEC000028091BC11000000000000100900363211
+:10BED0001000801200500114A99B91D91500000098
+:10BEE000000000100900363210000000002001148C
+:10BEF000890D6E370000801200300114895B91D2E9
+:10BF00001A00000000000010090036320000801204
+:10BF10000000001409C02DD2000016130621018074
+:10BF2000829B91BC0000000000A8017809006E32DD
+:10BF30000000161306010280829B97BC00000110CE
+:10BF40000421013069246EBC000000000050010093
+:10BF5000A99B913A0000F90F1F400224094068B2E2
+:10BF60000000F00F80000080E24192B60000000067
+:10BF700000000008B97F92300000000000000000BF
+:10BF80002924903C080000000000007899A4FE38A5
+:10BF9000000016130201008082BA97BC000016133D
+:10BFA0000601008092BA97BC0800F00F12010068E9
+:10BFB00092A4FEB80000161300000080020000905A
+:10BFC0000000161304290180821B90BC00000000B1
+:10BFD00000A801E066246E3A000016139F2001E0DD
+:10BFE000060093B20000FE0F8000008082812FB611
+:10BFF0000000FF0F002801E0060000920000000092
+:10C00000003001E00600003200000000005001E8AE
+:10C0100006000032000000000001008002000070F5
+:10C020000000071038510100A99B91BA00000510CB
+:10C0300004410208B9FF68B0000016138041028075
+:10C04000E2C168B60000021000400280024068921F
+:10C05000000014109F3101E066246EBC0000141033
+:10C06000003001E0060000920000111004280104D5
+:10C0700009006EB20000161306500180A25B90BC4E
+:10C0800000000F109F010000192490BC0000000068
+:10C0900000A801E066246E3A00000000002801E0DC
+:10C0A0000624003C00000000005001E806000032B9
+:10C0B000000016139F2001E0060093B2000000006C
+:10C0C000000100800200007000000000002801E074
+:10C0D0000600003200001D1004000080020090BC29
+:10C0E0000000141004410208B9FF68B000001613E4
+:10C0F00080410280E2C168B6000011100040028059
+:10C10000024068920000181002000080222490BCB7
+:10C1100000001D1080400280F2C168B600000000DF
+:10C120000040028CB6C1683500001D10000000F808
+:10C1300022812F940800000000400278399AFE38CE
+:10C14000000016130201008082BA97BC000016138B
+:10C150000601008092BA97BC0800161312400268CC
+:10C16000129AFEB80000111004010000292490BCAE
+:10C17000000000000000000809000032100000006C
+:10C1800000000010790B1638080000000000000CB9
+:10C19000790B1638000016130400008042E490BCAE
+:10C1A0000000000000000004A9002D370004010079
+:10C1B00000000004C94D903A02000000000000A8F1
+:10C1C000820D913700000000000000A812A42A3A56
+:10C1D0000000281080400280E2017CB600001613A7
+:10C1E00004400278B93F7CB0000000000000000865
+:10C1F000E9A5903A00002A109F010010190091BC97
+:10C200009F000000000000100900363200002310DB
+:10C210000401008042E490BC0000000000000078AF
+:10C22000C924903A000016130401008022A497BC90
+:10C230000000000000A801E066246E3A0000000043
+:10C24000005001E806009032000016139F2001E024
+:10C25000060093B2000000000001008002000070A0
+:10C260000000FFFF00000080020000901800341062
+:10C270001F41027888CD68B60000000000000088E9
+:10C2800012002C3A0000371080010080628087B6CF
+:10C290000000161304410280B2FF68B000003210A3
+:10C2A000004002800240689203001613044002001E
+:10C2B000381AFFB8000016131F400204B8FF68B018
+:10C2C0000000000000380200B81B803A2E00000079
+:10C2D0000000001009003632000080120000001437
+:10C2E000090080D200000000000100800200007000
+:10C2F0000000FFFF000000800200009000004510D9
+:10C3000080010080A2802FB60000421012010000C0
+:10C3100009C021B218003600000000F8730A03F9C2
+:10C3200000000000000000D8024084321D004510CB
+:10C330000401008002A417B800003F109F01008094
+:10C34000180088BC00001613000000800200009056
+:10C35000000000000060006C08006E320000000069
+:10C36000CA68004C08006E320000161304700018F2
+:10C3700008006EB2200000000000001009003632F4
+:10C380001000801200000014A96081D90000000094
+:10C3900004000080A2A0817C000016130D01008023
+:10C3A000020000B000004F1080010080E2802FB634
+:10C3B00000004F101B000080020000B000000000D1
+:10C3C0000600008062E0837C000016139F000014CA
+:10C3D000184081BC00000000CA0100F802802F351F
+:10C3E00000A0000012010040A28D39720000161357
+:10C3F00000000080020000900000FFFF00000080AD
+:10C400000200009000000000000801E406C02D3288
+:10C41000EEFF0000001001E0868D2F3100000000CB
+:10C420000000001CB3E4393200005B100400007807
+:10C43000D90130B60000161304010080328097BC89
+:10C440000000000000000078B9053030180000003E
+:10C45000000000F8E3A503390000000000000034EC
+:10C46000330B2F320000000004000078D901307631
+:10C470000000161304010080328097BC0000000009
+:10C4800000000078B905303018000000000100F805
+:10C49000E3A503790000FFFF000000800200009088
+:10C4A000000016130401008002002DBC00001613CA
+:10C4B0000401008002802DBC00000000000000CCC0
+:10C4C00002000032000066102000012C09C06EB28C
+:10C4D00000006710001686CC06C092920000000093
+:10C4E000001486CC06C09232000000001201004009
+:10C4F000628E92520000161300000080020000902D
+:10C500000000FFFF000000800200009000006D109E
+:10C5100004000078D90130B6000016130401008031
+:10C52000428097BC6D103600000000C002003692B9
+:10C530006000161304010080828D2FB100000000FE
+:10C54000000000140300383200000000000000E08A
+:10C55000020030320000B91004000024D80130B6C7
+:10C560007210000000000088824D823A000016130D
+:10C570000000008002000090000016130000008000
+:10C5800002000090000016130000008002000090DE
+:10C590000000161300000080020000906D103600AD
+:10C5A000000000C00200369200009E1000000080D3
+:10C5B0000200009000007A10000000204805309032
+:10C5C000000016130000008002000090000086109A
+:10C5D000921101BC08006EB200000000000801DCEE
+:10C5E00002406E3200007E101F1101E026C18BB5A3
+:10C5F000000086101D000080020000B00000000056
+:10C60000000000D80200003280020000000000009C
+:10C61000070036320000000000000078A9002D3726
+:10C620002005010000080000C78D973A0A000000AD
+:10C6300000000078890D8237000000000010000023
+:10C64000A7BA973A000000000018000007C0EA32BD
+:10C65000000016131200004802C038B200008A1011
+:10C66000800E01BC08C06EB2000000000000000097
+:10C67000190E823200E0921012010048A20D90B211
+:10C68000000016130000008002000090000000006F
+:10C69000000000D802400032B4000000000000009A
+:10C6A000070036320000000000000078A9002D3796
+:10C6B0000004010000080000C78D973A0000000048
+:10C6C0000000007899008237000016130210000065
+:10C6D00087BF97BA00000000001800000740FE3234
+:10C6E0000000161312000048F2C138B418003600DA
+:10C6F000000000F8730A03F90000000000000004C5
+:10C70000896038321D0000000000007809A4173845
+:10C71000000098108000008002C08BB600009910C5
+:10C7200004000080328097BC0000161300000080D7
+:10C73000020000900000161304010080028097BCE4
+:10C740000000000000000018F341903400009E102B
+:10C7500004000078D90130B60000161304010080EF
+:10C76000328097BC0000000000000000B9053030A6
+:10C7700018000000000000F803A4033900000000C6
+:10C780000000000019CE2C32006016131200004089
+:10C79000A20D90B200000000000000D8020000329C
+:10C7A00060000000000000000700363200000000BA
+:10C7B000000000BCA8002D37A00701000008000001
+:10C7C000C7CD8B3A0A0000000000007889CD2C37D5
+:10C7D0008002000000000078898D973A0000000078
+:10C7E00000100000A7BA973A0000000000180000EF
+:10C7F00007C0EA320000161312000040F2C138B43C
+:10C8000018003600000000F8730A03F90000000069
+:10C81000000801DC02406E321D00000000000078BC
+:10C8200009A417380000161304010080028097BC89
+:10C83000000016138010018022016EB60A00B010AD
+:10C840001F01007889CD2CB70000B7101D1001F82A
+:10C8500002006EB2800200000000000007003632C5
+:10C860002005010000080000C7CD8B3A0000000041
+:10C8700000100000A7BA973A00000000001800005E
+:10C8800007C0EA320000161312000040F2C138B4AB
+:10C8900018003600000000F8730A03F900000000D9
+:10C8A000001001F802006E32EEFF16130401008042
+:10C8B000828D2FB000000000000100800200007097
+:10C8C000EEFF161304110180820D6EB0000000000F
+:10C8D000001001F802006E3200000000000901DCC7
+:10C8E00002406E720000FFFF000000800200009016
+:10C8F0000000000000000000090000320E000000EF
+:10C9000000000004894D0D3600000000000000000A
+:10C9100007800B3200000000000800000700903282
+:10C920000000000000100000070036320000C210B6
+:10C930001200004CF2C138B400000000000000807A
+:10C94000020000300000C3101200008002C021B2BB
+:10C950000000000000000000E902903A0000BF1053
+:10C9600004010004194090BC000000000001008098
+:10C97000020000500000FFFF000000800200009055
+:10C980000000D21080010080A2802FB60000CC10E1
+:10C99000120100E802C021B218003600000000F8C1
+:10C9A000730A03F90000D1100400008002802DBC3E
+:10C9B000000016130401008022802DBC0000161315
+:10C9C0009F000080180088BC0000CC10120100E815
+:10C9D00002C021B20000CB100000008002000090D5
+:10C9E00000000000CA0000D8024084320000161384
+:10C9F0000401008002402DBC0000161304000080DA
+:10CA000002802DBC000000000040006C881C833AAE
+:10CA1000000000000048004C0800723200001613AD
+:10CA200008500018C82072BC0000000004000080FC
+:10CA30000240817C00000000000000141840813C8E
+:10CA40000000161302000020880182BA00000000D6
+:10CA5000000000D8020000320000000000000000CA
+:10CA6000070006320000161304010080020036BCE5
+:10CA70000700000000080000774A093900000000A4
+:10CA8000001000000700823200000000CA190000F8
+:10CA9000074082320000161312000040F2C138B481
+:10CAA00000000000000100D8024084720000FFFF77
+:10CAB0000000008002000090000000004D00000017
+:10CAC00067E0833E0000000000080000070080329D
+:10CAD000000000000010000007C0863200000000C7
+:10CAE0000018000007C0843200003C110400002838
+:10CAF000D8A082BC0000161309010080020000B01B
+:10CB00000000000000000018D8A0813C0000FE10CA
+:10CB10000400003CD8E083BC000016130401008030
+:10CB2000028081BC0000EF100400008072802DBCE8
+:10CB3000000016131200005002C038B20000F710B7
+:10CB4000510000D812802D9A0000161312000050D8
+:10CB5000F2C138B418003600000000F8730A03F977
+:10CB60000000F5100400008072802DBC0000161338
+:10CB700080010080A2802FB60000F010670000F84E
+:10CB8000A2802FB500001613120000E802C021B2E7
+:10CB9000000016130401008072802DBC000000000C
+:10CBA000510000D8020000320000FC102A010000F1
+:10CBB000D82080BA0000FB101201000009C021B289
+:10CBC00018003600000000F8730A03F900000000A6
+:10CBD000000000D8024084321D00161304000080BB
+:10CBE00002A417B8000046100060006C08006EF246
+:10CBF0000000E4104D00000067E0839E0000161363
+:10CC000012000050F2C138B418003600000000F8DD
+:10CC1000730A03F91D0005110400008002A417B86F
+:10CC20000000161380010080A2802FB60000FF10C4
+:10CC3000670000F8A2802FB5000016131200000054
+:10CC400009C021B21D0016130401008002A417B808
+:10CC50000800000000400278399AFE3800001613E0
+:10CC60000201008082BA97BC000016130601008002
+:10CC700092BA97BC0800161312400268129AFEB8C6
+:10CC8000000016130B000080020000B000006411C9
+:10CC900000000030030038F200001B111F00006C80
+:10CCA000D8E086BA00003210510000D8020000F22D
+:10CCB00000000F110000003C0840809200001B1192
+:10CCC000000000800200009000001311800100802D
+:10CCD000F2812FB60000131180000080E2802FB691
+:10CCE0000000131180010080328087B60000000030
+:10CCF000000000F8E2802F340000E31004010080FF
+:10CD0000028081BC0000191180010080A2802FB632
+:10CD1000000018111201000009C021B218003600ED
+:10CD2000000000F8730A03F900000000000000D8BA
+:10CD3000024084321D0016130400008002A417B8BC
+:10CD4000000046100060006C08006EF20000E41065
+:10CD50004D00000067E0839E0000201180010080EC
+:10CD6000E2802FB60000401180010080A2802FB623
+:10CD700018003600CA0000F8730A03F91D004011BC
+:10CD80000401008002A417B8000016130000008000
+:10CD90000200009000000000000000A4A8608A3C8F
+:10CDA0000000161304210180825B8ABC000024115C
+:10CDB0002FA8012099226EBA0000C70F0000008042
+:10CDC000020000F00000161306010280821B92BCD4
+:10CDD0000000000000A801E0060092320000000000
+:10CDE000005001E80600003200002911232101E073
+:10CDF000060000B23E0016131200002C82CD2EB2A7
+:10CE000000001613043000E0068082B200003311E7
+:10CE1000042100E0068081B200001613800000802B
+:10CE2000E2802FB60000311180010080A2802FB671
+:10CE3000000030111201000009C021B218003600B4
+:10CE4000000000F8730A03F900000000000000D899
+:10CE5000024084321D0016130400008002A417B89B
+:10CE6000000046100060006C08006EF20000000038
+:10CE7000002000E00680813200000000003C00E855
+:10CE80000640813200000000001000E006C086323B
+:10CE900000000000001800E006C0843200001613F5
+:10CEA0000400008032E186B2000000002A01008008
+:10CEB0000200007000003A111201000009C021B206
+:10CEC00018003600000000F8730A03F91D0016135D
+:10CED0000400008002A417B800000000000100F860
+:10CEE000A2802F75000000000000003CD8E0833CC9
+:10CEF0000000161312000050F2C138B400001613DF
+:10CF000080000080A2802FB600000000000000F822
+:10CF1000A2802F34000000000000008812002C3A8C
+:10CF20000000FFFF000000800200009000000000F1
+:10CF3000000000000900003200000000000000783E
+:10CF40000900003200000000000000A802000032CA
+:10CF5000EE05481104010080820DF6BC00060000B9
+:10CF6000000000080900363200004A1100000004E9
+:10CF700009C00992002800000000000809003632AC
+:10CF80000000000000000004098009321E000000BB
+:10CF9000000060C087CD003700000000000860C0BE
+:10CFA000078097320030000000000078898D2A3A0F
+:10CFB000000016131200005C528197B400000000BC
+:10CFC000000000002924903A0800000000000078CA
+:10CFD000890D903600000000000000041940903CCC
+:10CFE00000000000000000A852822A3A00084A11FE
+:10CFF00002010080828D2ABC00005B1106000080C7
+:10D00000024090BC00001613120000A8020020B2DB
+:10D010001E000000000000C087CD003700000000A7
+:10D02000000800C007809732000016131200005C51
+:10D0300052812AB400000000000000002924903A28
+:10D040000800000000000078890D9036000054119F
+:10D0500004010004194090BC0500000000000078A5
+:10D06000890D903600000000000000A00E8097326D
+:10D070000000161312000068028097B20000000042
+:10D08000000000A40E8097320000000000000000A5
+:10D090002924903A000000000000007859009036E2
+:10D0A00000005D1195010080222490BA000000006C
+:10D0B00000010080020000500000FFFF000000801F
+:10D0C0000200009000007E1104010078D90130B602
+:10D0D000000000000000002809C029320000000004
+:10D0E0000000009CB2452830000070118601000845
+:10D0F00009802FB2000000000000002C094081329E
+:10D1000000000000000000F80200003200000000F3
+:10D11000000000F40200003218000000000000F8D7
+:10D12000738A0239000000000000009C02809232E5
+:10D1300000006F110407018002C06EBC000079116D
+:10D14000C30701ECB6E46E9A00007911000601EC09
+:10D15000B6E46E9A0000161380010080528090B6EB
+:10D16000000000000000002C0905803000000000D5
+:10D17000000000F80200003200000000000000F48F
+:10D180000200003218000000000000F8738A023923
+:10D19000000000000000009C028092320000161384
+:10D1A0000201008082FA92BC000016130601008082
+:10D1B00092FA92BC0000D71100000080020000D05B
+:10D1C000210000000000001009003632000080122B
+:10D1D0000000001409C092D20000000000000030DE
+:10D1E0000300383200007E1104010078D90130B606
+:10D1F000000067110000009CB2452890000000006C
+:10D20000040000802280977C00001613000000803C
+:10D21000020000900000FFFF00000080020000906C
+:10D22000000016130400008002C0E8BC00001613C2
+:10D230000200008002C12FBC000000000000008836
+:10D2400002C0E83202008411B00000A0F20B00B965
+:10D25000000000000000000CABE4B03200008911B7
+:10D2600080010080F24BD0B600000000A000002832
+:10D2700009000032000000000001008002000050A0
+:10D2800000008B1104010080123EF8BA00009611D4
+:10D29000A0000004FD4BD09400009211800100809A
+:10D2A000D28192B600009211800100802281FCB6EA
+:10D2B00000000000A0000004FD4BD034000000007E
+:10D2C0000000008401C02F32000000000000008038
+:10D2D000F1010034000000000000009401C02F3272
+:10D2E0000000961100000090F10100940000000081
+:10D2F000A000008401C02F32000000000000008068
+:10D30000F101F83400000000000000900140F83204
+:10D310000000000000010028090000520000161360
+:10D3200080010080F24BD0B600009C11040100285F
+:10D330000934B0BA0000161380010080F24BD0B659
+:10D3400000009911B0000080020000B00000000051
+:10D35000A0000004FD4BD0350000000000010028B3
+:10D360000900005200009C11B00000A822C02FB795
+:10D3700000001613040084C037ACB0B200000000F7
+:10D38000A000000C0B000032FFFF0000000000783E
+:10D39000A94DB0300000A411800000800240B0B65A
+:10D3A00000001613800000801240B0B6000000009C
+:10D3B00000000078698197350000000000008408B3
+:10D3C0000B007C320000000000000000E725013265
+:10D3D0000042000000080000878D2A3A000000008B
+:10D3E000001000000700B03200000000001800002C
+:10D3F0000700D0320000000012010048F2C138548A
+:10D400000000161300000080020000900000AA1126
+:10D41000B00000A0020000B2000000000000000CFC
+:10D42000ABE4B0320000AF11800100800240D0B602
+:10D4300000000000A00000280900003200000000E9
+:10D4400000010080020000500000B11104010080C2
+:10D45000123EF8BA0000C211A00000040D40D094A2
+:10D460000000BB1180010080D28192B60000BB1188
+:10D47000800100802281FCB600000000A0000004B2
+:10D480000D40D034000000000000007809C02F32A9
+:10D4900000000000000000FC02000032000000005C
+:10D4A0000000008401C02F32000000000000008056
+:10D4B000F1010034000000000000009401C02F3290
+:10D4C0000000000000000090F10100340000C211D3
+:10D4D000000000FC0280979200000000A00000788D
+:10D4E00009C02F3200000000000000FC02000032E2
+:10D4F000000000000000008401C02F320000000086
+:10D5000000000080F101F8340000000000000090ED
+:10D510000140F83200000000000000FC0280973259
+:10D52000000000000001002809000052000016134E
+:10D53000800100800240D0B60000C811040100281C
+:10D540000934B0BA00001613800100800240D0B642
+:10D550000000C511B0000080020000B00000000013
+:10D56000A00000040D40D03500000000000100289C
+:10D57000090000520000C811B00000A8020000B26B
+:10D5800000001613040084C037ACB0B200000000E5
+:10D59000A000000C0B000032FFFF0000000000782C
+:10D5A000A94DB0300000D011800000800240B0B61C
+:10D5B00000001613800000801240B0B6000000008A
+:10D5C00000000078698197350000000000008408A1
+:10D5D0000B007C320000000000000000E725013253
+:10D5E0000042000000080000878D2A3A0000000079
+:10D5F000001000000700B03200000000001800001A
+:10D600000700D0320000000012010048F2C1385477
+:10D610000000161300000080020000900000FFFFD1
+:10D6200000000080020000900000D9111C40028020
+:10D6300006C092B244000000000100F8A28D2F52F3
+:10D64000000000000000007809C5923000001613A9
+:10D650000201008082BA97BC000016130601008008
+:10D6600092BA97BC000016131200006802C592B06F
+:10D67000000016130B000080020000B02400000020
+:10D680000000001009003632000080120000001473
+:10D6900009C092D200000000000100701F00F75A7C
+:10D6A0000000FFFF00000080020000902C0000003E
+:10D6B0000000001009003632000080120000001443
+:10D6C000098092D200000000D50800000780923245
+:10D6D000000000000030000007C02C320040008035
+:10D6E000003800000700373200000000CA4101E0A6
+:10D6F00006809232000016131200004802C080B269
+:10D700000060000000010008088036720000FFFF82
+:10D7100000000080020000900000161380000080CE
+:10D72000A2802FB6000016130401008062802DBC79
+:10D730000000000000380000078092320000000066
+:10D740000030000007C02C3200000000CA3D000C71
+:10D7500007808332000000001201004802C080727E
+:10D760000000161300000080020000900000FFFF80
+:10D7700000000080020000900000000004570180BB
+:10D7800002C06E7C00000000005701EC068092721F
+:10D790000000FFFF00000080020000900000641104
+:10D7A00000000030030038F23300000000000010D9
+:10D7B00009003632100080120000001419A02CD984
+:10D7C0000000FB119D11020C09006EB20000FC115B
+:10D7D00000F0011C09006E920000000000B8011C5E
+:10D7E00009006E320000FE112CCD011809806EB2C6
+:10D7F000000000000000000CC9C1903400000212BB
+:10D800003B29020409806EB20000161380D6018005
+:10D8100052C06EB60000000000D601EC56C06E3457
+:10D82000000000000000000CB9C19034000012128A
+:10D8300000A8010809006EF2000006129D01008098
+:10D8400017E090BA000000000030008007C091325D
+:10D8500000000912003800800700EE920000091253
+:10D860000401008002C091BC0000000000B801E08B
+:10D870000600EE3200000000007001E00600863273
+:10D8800000000C123908008007C085B20000161392
+:10D8900080000080C2812FB600000000D9C901E8D5
+:10D8A0000680913200000000C811008007409032CD
+:10D8B00000000F123B210080070086B2000000002C
+:10D8C000DB0000601800863A00000000587801E094
+:10D8D0001620863A000000000029008007008572AB
+:10D8E0000000FFFF00000080020000900000161200
+:10D8F000020C0280A29B90BC000000000000027895
+:10D9000029006E360000161202000080E2A590BCCD
+:10D91000000000000000000809000032000018129A
+:10D920009F89017849216EBC00000000000000784A
+:10D93000090000320000000000000008E9A5903F47
+:10D9400000001E1204200208899B90BE0000000007
+:10D95000000A0258B89B90360000000000000078D2
+:10D9600049A1903A000000009F880180829B977C2B
+:10D9700000000000008901E00680977200000000AE
+:10D98000000B0258B89B90760000FFFF000000805B
+:10D99000020000900000271280010080A2802FB6B4
+:10D9A000000025121201007809C021B218003600CB
+:10D9B000000000F8730A03F9000016130401008048
+:10D9C00002802DBC00002712CA0000D802408492B9
+:10D9D0001500161304010078E96517B8000000006F
+:10D9E000000000F8A2802F3500001613040100800B
+:10D9F00002402DBC000016130400008002802DBCE4
+:10DA0000000000000040006C881C833A0000000009
+:10DA10000048004C0800723200001613085000182D
+:10DA2000C82072BC000000000600008062A0827C5A
+:10DA3000000016139F000014184081BC000016134C
+:10DA400002000020880182BA00000000000000D817
+:10DA50000200003200000000000000000700063253
+:10DA60000000161304010080020036BC070000000D
+:10DA700000080000774A093900000000001000008B
+:10DA80000700823200000000CA19000007408232FD
+:10DA90000000161312000040F2C138B4000000006C
+:10DAA000000100D8024084720000FFFF00000080E7
+:10DAB000020000902B000000000000100900363228
+:10DAC000000080120000001409C085D2000042123C
+:10DAD00080010080F2C185B600003E121F40028422
+:10DAE000E60100B4000042121D0100F822812FB4AB
+:10DAF00000004212000000F862812F9500004012E1
+:10DB00001D010080020000B000000000000000F8CD
+:10DB100062812F3500000000004002800240683220
+:10DB2000000016131F010080020000B00000161351
+:10DB30001200006802C585B00000000000000078F7
+:10DB400009C58530000016130201008082BA97BC17
+:10DB5000000016130601008092BA97BC0000000076
+:10DB60001D00008002000070010000000401008020
+:10DB7000A28D2F702A0016131200002C82CD2EB217
+:10DB800000000000000100F802812F740000FFFF78
+:10DB9000000000800200009080A8000004000080C7
+:10DBA000828D2F700000521280010080D2802FB62B
+:10DBB000000016138000008072812FB60000521200
+:10DBC00004B0008002006EBC00000000000000F8FD
+:10DBD00072812F343D0055121201002C82CD2EB2DD
+:10DBE0000000161300000080020000900000551293
+:10DBF00080010080F2802FB63C0058121201002CE8
+:10DC000082CD2EB2000016130000008002000090AA
+:10DC10000000581280010080B2802FB63500161324
+:10DC20001200002C82CD2EB200000000000000F88F
+:10DC300042812F348000000004000080828D2F700C
+:10DC40000200000004010080A28D2F703B0016131B
+:10DC50001200002C82CD2EB200000000000100F85E
+:10DC600012812F740000FFFF00000080020000906E
+:10DC70000000161380000080A2802FB6000016134B
+:10DC800004310280A2DB2CBC08000000001801E86F
+:10DC900076208139EEFF0000000100F8828D2F719F
+:10DCA0000000FFFF000000800200009000006612EC
+:10DCB0000000013808C06EF20000000012010048A8
+:10DCC00002C0807200001613000000800200009065
+:10DCD0000000FFFF00000080020000900E00000026
+:10DCE00000000010090036320000801200380114D4
+:10DCF00009006ED200006A120438017809006EB281
+:10DD000000000000003801E0060000320000161399
+:10DD100080000080A2802FB600000000CA11000021
+:10DD20000780823200006E122E190000078097B221
+:10DD30000000000000000028E98192340000731206
+:10DD40002731000007C02CB200000000D5080000F9
+:10DD50000700873200000000C7000028E9809234E5
+:10DD600000000000004001E00600873200000000D3
+:10DD700000000008D8818034100000000039000045
+:10DD8000E7A092790000FFFF0000008002000090F1
+:10DD9000140000000000001009003632000080125C
+:10DDA00000000014094090D2000016131200004435
+:10DDB00012E438B218003600000000F8730A03F9C4
+:10DDC00000007D120401008002802DBC00001613AB
+:10DDD00080010080A2802FB600007812670000F852
+:10DDE000A2802FB500001613120000E802C021B275
+:10DDF000000016130401008072802DBC000000009A
+:10DE0000000100D8024000720000FFFF0000008007
+:10DE1000020000901B00000000000010790A9139F8
+:10DE20000F00000000000010390B91390C000000B9
+:10DE300000000010590A913909008312F101001005
+:10DE4000690B91B903000000002486A8828D6C370D
+:10DE500000000000000088E0070091320000000090
+:10DE6000000088E00740913200C089120201008062
+:10DE7000828D2ABC00008A12E12486C80600009226
+:10DE800003000000E12486C8868D2A3600000000C9
+:10DE900000010080020000500000FFFF0000008031
+:10DEA000020000900000921204300080829B81BC2E
+:10DEB000000016130D010080020000B000001613D0
+:10DEC0009F3C001428806EBC000016138000008068
+:10DED000A2802FB600000000CA0100F802802F3592
+:10DEE00000A0161312000040A28D39B20000941257
+:10DEF00080390080E2806EB6000016138038008002
+:10DF0000F2806EB600C0161304010080A28D2FB0FF
+:10DF100000C09A1204380078898D6EB010009A12F1
+:10DF20009F0100F8E2A52FB900001613040000803D
+:10DF300002C0EEBC00000000005801EC06C0EE324A
+:10DF4000000000000000008002000030000000001F
+:10DF50000428001809006E720000E30F0000008022
+:10DF6000020000F00000C70F00A8012009006E9217
+:10DF70000000FFFF00000080020000900000A712D8
+:10DF800004B00080829B81BC000016130D0100804C
+:10DF9000020000B0000016139FBC001428806EBC65
+:10DFA0000000161380000080A2802FB60000161318
+:10DFB00080B8008082806EB60000000000B800E8E3
+:10DFC00086806E3400000000CA0100F842802F35C0
+:10DFD00008A0000012010040A2CD39720000161303
+:10DFE00000000080020000900000161380B800803E
+:10DFF00082806EB60000000000B800E886806E34B3
+:10E000000000000000010080020000700000FFFF1F
+:10E0100000000080020000902800000000000010B6
+:10E02000090036320000801200000014098090D2EE
+:10E030000000B01233CD01BC08806EB20000EE12B9
+:10E04000000000282922EEDC0000B512000000804C
+:10E05000020000900000B51204B8012809006EB259
+:10E060000000B5129F710180C2216EBC0000161322
+:10E070009F000028A924EEBC0000EE12000000283A
+:10E08000198092DF000000000000008002000030D4
+:10E090000000C91202810180829B90BC000016130F
+:10E0A00004000080028090BCEE05C112060C0280C4
+:10E0B000828D6EBC00904C0000000084020037325C
+:10E0C0000000BB12B8010080020000B00000B912CD
+:10E0D000000000800200009000000000000000C46A
+:10E0E000038090320000000000B001E096216E3CF9
+:10E0F00000000000619801E0060087320000000087
+:10E1000000D401EC0600003200000000A8000078F6
+:10E1100049403C370000CE1200000008E9A5909A63
+:10E120006089200000000084020037320000C41221
+:10E13000B8010080020000B00000C21200000080A0
+:10E140000200009000000000000000C40380903234
+:10E150000000000000B001E096216E3C00000000CD
+:10E16000619801E0060087320000000000D401EC55
+:10E17000060000320000CE12A8000008198F909A05
+:10E18000000000000000007899A1893E0000000016
+:10E1900000000008E9A5903A0000000000B001E08E
+:10E1A00096216E3C00000000619801E00600873275
+:10E1B0000000000000D401EC060000320000D11283
+:10E1C0000600008072A290BC00C0FF3F008001E00A
+:10E1D00006003732000000000000000809C0893244
+:10E1E0000000D61204790180821B87BC0000D41283
+:10E1F00004B0008002006EBC0000D912D99001E08A
+:10E20000068090920000DC128000008052812FB6C0
+:10E210000000DC12D54101E0060087920000D9120F
+:10E220003C9001E0068090B200001613800100804F
+:10E2300092812FB60000000000C801E806C08B32B2
+:10E24000000000009501008002802F720000DD12A6
+:10E250009F410180821B87BC0000000000010080FC
+:10E260000200007000000000D99001E006809032AA
+:10E2700000000000000100F872802F740000FFFF12
+:10E280000000008002000090270000000000001045
+:10E29000090036320000801200000014094087D2C5
+:10E2A0000000E7129FD8018022216EBC0000000010
+:10E2B0000B010080020000700000E7129FE0018067
+:10E2C000C2216EBC000000000B0100800200007043
+:10E2D0000000E7129FB00180D2216EBC0000000058
+:10E2E00000010080020000700000E9120668018051
+:10E2F000825B87BC00000000006801E006408732B6
+:10E300000000EB1237B001E0064087B200000000C9
+:10E31000000000F8D2802F340000000000D801E097
+:10E32000068084320000000000E101E006008772F0
+:10E330000000FFFF000000800200009000001613A4
+:10E3400008000080028092BC0000FB1204C101841E
+:10E3500002006EB20500000000C001E8868D923711
+:10E360000300000000C401E8868D92370000000021
+:10E3700000000080020000300300000000C0012CFB
+:10E38000898D6E360000000000C4012CA9DB923A92
+:10E39000000000000000002C29C0923600000000A0
+:10E3A0000000002C19FB923F000000000000002834
+:10E3B0002980923A000000000000002CA9E4923F5E
+:10E3C000000000006FCC01E826FB923E0000000038
+:10E3D00000B901E0060000520000000000000094B7
+:10E3E000028092320000000000C001E006402832A6
+:10E3F000100000006FCC01E886CD2A360000000036
+:10E4000000B901E0060000520000FFFF000000809C
+:10E41000020000900000161304B0008002006EB2EB
+:10E4200000000000009001BC08006E3200000000F7
+:10E4300000B001BC88DB8B3E00000000009801BCEE
+:10E4400088DB8B3A00000C139F0000BC88E18BBC7A
+:10E4500000000C13040C0240A8DB8BBE000000007F
+:10E4600000B00004881B843E0000091304B1008042
+:10E47000825B80BC00000000000100F8C2802F74A5
+:10E4800000000000040C0280A25B807C00000C13E2
+:10E490000468017819006EB60000000002000080D8
+:10E4A000E265807C2900000000000010090036327F
+:10E4B000000080120000001409C08BD20000000090
+:10E4C0000000008812002C3A0000FFFF00000080CE
+:10E4D000020000900000161304310280A2DB2CBC65
+:10E4E0000000161380000080A2802FB608000000F4
+:10E4F000001C01E876208139EEFF0000000100F8E1
+:10E50000828D2F710000FFFF00000080020000904C
+:10E5100000001613000000B40F40FB940000000040
+:10E52000000000880F402B32000000000000009027
+:10E530000F00283200000000000000940F00293274
+:10E5400010000000000000B85F461839FF0000000E
+:10E550000000009C0F003632000000000000009C0C
+:10E560005FCAF935000000000000004403C0F93222
+:10E5700000000000000000E4030000324100001031
+:10E58000000000E00300373200000000000000E45B
+:10E590000300003240000010000000E003003732AA
+:10E5A00000002513670000980F802AB200000000C9
+:10E5B000000000A8020000320000231312C186E010
+:10E5C00007C021B20000000000B886C006802A32D1
+:10E5D0004C420000000000A8020036322713381415
+:10E5E000000000B00F003692000000000000009C08
+:10E5F0000200003200012414000000AC0F0036D2EB
+:10E6000000000000000000AC0F802A320020000053
+:10E61000000000A802003632000000000000009C4C
+:10E620000F007E3200000000000000A00F007E32CC
+:10E6300000000000000000A40F007E320000000077
+:10E64000000000A80F007E3200000000000000A8BB
+:10E6500002C0FA3200000000000000E007C0F932FA
+:10E6600000000000000000E00700FA320000000097
+:10E67000000000E00740FA3200003B13000000E019
+:10E680000780FAD200000000000000E00780FB32A3
+:10E6900001006213040100B48F4DFBB002000000C2
+:10E6A000000000A002000039408000000000000CC3
+:10E6B000ABCDB032100000000000000C5BCAB039D6
+:10E6C000000000000000000C2BFEB03200006114BE
+:10E6D000000000800200009000000000000000F830
+:10E6E0000300013200000000000100E007803F52FB
+:10E6F00018000000000000F8738A023900000000D2
+:10E7000000000044530A1635000000000000009C81
+:10E710000F80963200000000000000A00FC096326B
+:10E7200000000000000000A40F009732A260030068
+:10E730000000005803003732481300000000005C5E
+:10E74000030036320000000000000050830D00344A
+:10E750000000000000000048830D003400000000AD
+:10E7600000000044530A003400003600000000801E
+:10E7700002000090000000000000006809C0F932AB
+:10E78000000000000000006C0900FA3200000000E8
+:10E79000000000700940FA3200005A1300000080A7
+:10E7A0000200009002000000000000A0F20B0039FF
+:10E7B00000004F13800100801240B0B6000000003E
+:10E7C000000000043B40B0330000000000000004E3
+:10E7D000FD4BD035000053130000000C0B00979246
+:10E7E00002000000000000A0F20B003900005313EB
+:10E7F000000000046B01979400005313120000689E
+:10E80000094020B2000054131200006C094020B2ED
+:10E810000D000000000000FCA2E5163800005913AE
+:10E820009F000080028096B200000000000000708F
+:10E8300009C0963200005A130000006C09C0FD9216
+:10E840000000591312000070094020B200000000BF
+:10E850000000009C0200003200000000000000D810
+:10E860000200003202005313040100BCAF2517B8A8
+:10E8700006005113040000BCAF6516B800004C132D
+:10E880000400008022C0FBBC00006A13040000806A
+:10E8900012C1FBBC200053130401008082CDFBBCDD
+:10E8A00002000000000000A0F20B003900006B1312
+:10E8B00000000080020000D0641300000000008807
+:10E8C00082CDF93A00005A14000000800200009046
+:10E8D00000009313000000800200009000009413D9
+:10E8E00000000080020000900000981300000080EB
+:10E8F000020000900000A0130000008002000090C1
+:10E900000000F91300000080020000900000531383
+:10E91000000000DC0F0097920000000000000000E3
+:10E920000700033240420000000000A80200363217
+:10E93000000000000008000007802A3200000000EC
+:10E9400000100000070097320000000000180000CF
+:10E9500007C096320880701312000040028036B261
+:10E960000000000000000080020000300000721370
+:10E970001200009C0FC021B21D007513040000801E
+:10E9800072BE17B800007213000000F81E80EF9AE4
+:10E99000130000000000009C7FBE173800007813B1
+:10E9A0000400008012C0F9BC00007213000000F8DF
+:10E9B0001E80EF9A000000000000009C0F007E32D5
+:10E9C00000000000000000A00F007E3200000000E8
+:10E9D000000000A40F007E320000000000010000D3
+:10E9E0000700FA52000000000000009C0200003204
+:10E9F0004C420000000000A8020036320000000077
+:10EA00000008000007802A3200004E140000008039
+:10EA1000020000D00000521400000080020000D06C
+:10EA2000000000000000000CCBC1B034000000006A
+:10EA30000000009C0200003200000000000000D82E
+:10EA400002000032000081110000002809C0B0D28D
+:10EA50000000821304000080028092B2000086133E
+:10EA60001200009C0FC021B21D0089130400008019
+:10EA700072BE17B800008613000000F81E80EF9ADF
+:10EA8000130000000000009C7FBE173800008C13AC
+:10EA90000400008012C0F9BC00008613000000F8DA
+:10EAA0001E80EF9A02008E13040100B48F4DFBB05C
+:10EAB00000005313000000800200009008000000D6
+:10EAC000000000F89340013900000000000000B48D
+:10EAD0001F40FB35FE0000000000004803003632F6
+:10EAE0000000000000000044030000340000821316
+:10EAF0000000000C8BC1B09400005E140008000000
+:10EB00000740FA9200004E14000800000740FAD2B5
+:10EB10000880951312000050028036B20000531492
+:10EB200000000080020000D000006014000000809F
+:10EB300002000090000800000000009C0F00363228
+:10EB400000040100000000A80200373200000000AD
+:10EB5000000000A00200003200000000000000E001
+:10EB60000700B03200000000000000A012002A3AA6
+:10EB700000009B130401009C1FC0F9BC00040100AD
+:10EB8000000000A80200373202005D14000000A05F
+:10EB9000F20B00990000A813040100800240FAB2B1
+:10EBA00000040100000000A8020037320000AA1390
+:10EBB00000000080020000D00000B71300000084B5
+:10EBC000020000D200000000000000E007C03C325C
+:10EBD0000000A4138E010080024028B2000401004E
+:10EBE000000000A40F0037320000931300000080E3
+:10EBF0000200009000040100000000A4CF4DFA3A8A
+:10EC0000000093130000008002000090000000004C
+:10EC10000000009C0F00003210000001000000AC5A
+:10EC20000F0037320000BC1300000080020000D04B
+:10EC30000800AC130401008082CDF9BC0000000084
+:10EC40000000009C0F0000320E000001000000AC2C
+:10EC50000F0037320000BC1300000080020000D01B
+:10EC60000B00B0130401008082CDF9BC200000002D
+:10EC70000000009C0F0036320F000001000000ACC5
+:10EC80000F0037320000BC1300000080020000D0EB
+:10EC90002700B4130401008082CDF9BC00000000FD
+:10ECA0000001008002000050000000000000009CF5
+:10ECB0000F0000320F000001000000AC0F003732DF
+:10ECC0000000BC1300000080020000D02000B91337
+:10ECD0000401008082CDF9BC00000000000100802A
+:10ECE0000200005000000000000000E403C0F93200
+:10ECF0000D000001000000E00300373200000000BA
+:10ED0000000000E003C0FA3200000000000000E054
+:10ED100007403E32000000000001009C1FC0F95A6D
+:10ED200000000000000000E003C0F9320000000015
+:10ED3000000000E007403E32000000000000009CA0
+:10ED40001FC0F93AFF000000000100AC8FCDF95060
+:10ED5000000000000000009C0FC02F3200000000E7
+:10ED6000000000FC0200003200000000000000E093
+:10ED700007803E3200000000000000FC12C02F3A65
+:10ED80000F00C7130401008082CD2FBC00000000DB
+:10ED9000000000E007803E3200000000000100FC9F
+:10EDA00002C0F95200000000000000E007003A3203
+:10EDB00000000000000000E007403A3200000000C0
+:10EDC000000000E007803A3200000000000000E090
+:10EDD00007C03A32000000000000009C0FC02F3234
+:10EDE00000000000000000FC0200003200000000F3
+:10EDF000000000E007003D3200000000000000E0DD
+:10EE000007403D320000D213830100FC12C02FBA2C
+:10EE100000000000000100FC02C0F95200000000E8
+:10EE20000000009C0F0000320C00000000000008F1
+:10EE3000733E003900000000000000E0070030329F
+:10EE4000000000000000009C1FC0F93A7000D713BA
+:10EE50000401008082CDF9BC000000000000000C1D
+:10EE60000300003200000000000000E00700303224
+:10EE7000000000000000001003000032000000004D
+:10EE8000000000E007003032000000000000009C9D
+:10EE90000F00003200000000000000A00FC0293267
+:10EEA000000000000000009C02C0F93200000000D9
+:10EEB000000000A40FC02C32000000000000009CE5
+:10EEC0000200FA32180000000000002C737EFA39AC
+:10EED00000000000000000E0070030320000E013F6
+:10EEE0008501009C1FC0F9BA0000000000010080ED
+:10EEF00002000050010000010000009C0F003732AA
+:10EF00000000C11300000080020000D00E00EF13CB
+:10EF10000401008082CDFABC00000000000000E087
+:10EF20000700003200000000000000E0070000328F
+:10EF300000000000000000E0070000320000E913BC
+:10EF40000000009C3FC0F99A1C00E91304010080F6
+:10EF500082CDFABC0200C1130000009C8FCDF9DA0B
+:10EF600000000000000100800200005001000002CB
+:10EF70000000009C0F0037320000C1130000008029
+:10EF8000020000D00E00F7130401008082CDFABC0D
+:10EF900000000000000000E0070000320000F31352
+:10EFA0000000009C1FC0F99A2600F31304010080A2
+:10EFB00082CDFABC00000000000100800200005079
+:10EFC00000000000000000A80F40293200040100EA
+:10EFD000000000A8020037320000E81300000080A3
+:10EFE000020000D00000F21300000080020000D0F8
+:10EFF0000000C51300000080020000D000000000E7
+:10F00000000000E00780183200000000000000E06F
+:10F0100007401A3200000000000000E007001A322A
+:10F0200000000000000000E007801A32000000002D
+:10F03000000000E007C01A3200000000000000A03D
+:10F040000F000032A26003000000005803003732B6
+:10F050000B1400000000005C0300363200000000CA
+:10F060000000009C0F802A3200000B140400008076
+:10F07000024029B20000000000000050833E00342E
+:10F080000000000000000048833E00340000000043
+:10F0900000000044530A003400000C1400000088F3
+:10F0A0000F402B9200000000000000900F0028325B
+:10F0B00000000000000000940F0029320000000052
+:10F0C000000000980F802A3200000000000000A815
+:10F0D00002C0F93211143814000000B00F0036924B
+:10F0E0000700141404000080824D29BC00000000B9
+:10F0F000000000A01F00FA3A000008140000009C65
+:10F100000F802A92C0010000000000AC0F003632D0
+:10F11000010000000000009C0200363200002414B0
+:10F1200000000080020000D01F001A1404000080BC
+:10F1300082CD29BCC0000000000000AC8FCDFA3A9F
+:10F14000000016140000009C12C0299A0000D6137B
+:10F1500000000080020000D00000CC1300000080FE
+:10F16000020000D00000221404000080528AFABC81
+:10F17000A260030000000058030037322214000090
+:10F180000000005C03003632000000000000005068
+:10F19000A33E00340000000000000048A33E0034FD
+:10F1A0000000000000000044530A00340004010085
+:10F1B000000000A40F00373200009313000000800D
+:10F1C0000200009000000000000000C402C0FA32FB
+:10F1D000030000000000009C0F0036320000000019
+:10F1E000000000BC0F402F3200002B140400009CD4
+:10F1F0001FC0F9BC00002A140400008002402FB296
+:10F2000000002714000000E007002C9200002714E3
+:10F21000000000E00700369200000000000000E05F
+:10F2200007402C3200000000000000E007802C3274
+:10F2300000000000000000E007C02C3200000000C9
+:10F24000000000E007002D3200000000000000E098
+:10F2500007402D3200000000000000E007802D3242
+:10F2600000000000000000E007C02D320000000098
+:10F27000000000E007C0FB3200000000000000E0DA
+:10F2800007802F3200000000000000E007C02F328E
+:10F2900018000000000000F8730A023900000000A6
+:10F2A000000100E007803F52FF0000000000004422
+:10F2B0000300363200000000000000E00700F932D1
+:10F2C00000000000000000E00740283200000000BD
+:10F2D000000000E00780F832030000000000009CFE
+:10F2E0000F00363200000000000000BC0FC02B32BF
+:10F2F000000041140400009C1FC0F9BC0000401431
+:10F300000400008002C02BB200003D14000000E0A9
+:10F3100007C0289200003D14000000E0070036926C
+:10F3200000000000000000E00740F932000000008B
+:10F33000000000E00740293200000000000000E06B
+:10F340000780293200000000000000E007C02932D9
+:10F3500000000000000000E007002A32000000006A
+:10F36000000000E007402A3200000000000000E03A
+:10F370000780F93200000000000000E007C02A32D8
+:10F3800000000000000000E007C02F320000000075
+:10F39000000000E007402B3200000000000000E009
+:10F3A00007802B3200000000000000E007C0FB32A5
+:10F3B00000000000000000880200FB320000000096
+:10F3C0000000009C0200003200000000000000D895
+:10F3D0000200003200000000001000000700973219
+:10F3E000000000000019000007C096520880521467
+:10F3F00012000048028036B20000000000000080C9
+:10F4000002000030000054141200009C0FC021B212
+:10F410001D0057140400008072BE17B80000541479
+:10F42000000000F81E80EF9A130000000000009C0E
+:10F430007FBE1738000000000400008012C0F95C95
+:10F4400000005414000000F81E80EF9A0000000035
+:10F45000000000B40F40FB35000000000000009CDD
+:10F46000020000324C420000000000A802003632C8
+:10F47000000000000008000007802A3200004E143F
+:10F4800000000080020000D0000052140000008044
+:10F49000020000D0000000000000000CCBC1B0341E
+:10F4A000000000000000009C02000032000000008C
+:10F4B000000000D80200003200006B140000002899
+:10F4C00009C0B0D20000611404000080028092B232
+:10F4D000000065141200009C0FC021B21D006814CA
+:10F4E0000400008072BE17B800006514000000F828
+:10F4F0001E80EF9A130000000000009C7FBE1738AA
+:10F50000000053130400008012C0F9BC0000651411
+:10F51000000000F81E80EF9A00000000000000FCD0
+:10F520000200003202000000000000A0F20B0039CF
+:10F5300000006F14040100280934B0BA0000000074
+:10F54000000100280900005200000000000000A88F
+:10F5500022C02F3700000000000084C037ACB0325A
+:10F56000000000000000000C0B000032FFFF000054
+:10F57000000000C0AF4DB030000075148000008066
+:10F580000240B0B600000000000000C06F01FC3572
+:10F590000000000000000000073F013200420000B0
+:10F5A00000080000878D2A3A0000000000100000CB
+:10F5B0000700B03200000000001800000700D03241
+:10F5C00000000000000000C03FC13834000000000F
+:10F5D00012010048F201FC5400007A14000000807F
+:10F5E000020000900000FFFF000000800200009079
+:0CF5F000000036000000008002000090C7
+:00000001FF
diff --git a/firmware/sxg/saharadownloadB.sys.ihex b/firmware/sxg/saharadownloadB.sys.ihex
new file mode 100644
index 0000000..0309852
--- /dev/null
+++ b/firmware/sxg/saharadownloadB.sys.ihex
@@ -0,0 +1,3385 @@
+:10000000020000005CD300000C00000000000000B3
+:10001000FF1F00000100000000000088824D293A07
+:100020000000400300000080020000900000090072
+:100030000000008002000090000009000000008025
+:100040000200009000000900000000800200009003
+:10005000000009000000008002000090000009007C
+:1000600000000080020000900000090000000080F5
+:1000700002000090000009000000008002000090D3
+:10008000FEFF0000000000AC020036320000360027
+:10009000000000A80200009200003610000000805E
+:1000A0000200009000003610000000800200009066
+:1000B00000003610000000800200009000003610A2
+:1000C0000000008002000090000036100000008058
+:1000D0000200009000002000000000D80F8028924D
+:1000E00000002100000000D80F80289200002200AC
+:1000F000000000D80F80289200002300000000D8E4
+:100100000F402B9200002400000000D80F8028929E
+:1001100000002500000000D80F8028920000260073
+:10012000000000D80F80289200002700000000D8AF
+:100130000F80289200002800000000D80F8028922D
+:1001400000002900000000D80F80289200002A003B
+:10015000000000D80F8028920000360000000098B0
+:100160001E80E99A00002C00000000D80F80289221
+:1001700000002D00000000D80F80289200002E0003
+:10018000000000D80F80289200002F00000000D847
+:100190000F80289200003000000000D40F00009271
+:1001A00000003000000000D40F400092000030003A
+:1001B000000000D40F80009200003400000000D442
+:1001C0000FC0009200003000000000D40F00019228
+:1001D00000003000000000D40F4001920000300009
+:1001E000000000D40F80019200003000000000D415
+:1001F0000FC0019200003000000000D40F000292F6
+:1002000000003000000000D40F40029200003000D7
+:10021000000000D40F800292000036100000008021
+:100220000200009000003000000000D40F00039294
+:1002300000003000000000D40F40039200003000A6
+:10024000000000D40F80039200003000000000D4B2
+:100250000FC0039200000000000000D05F3F003498
+:10026000000036100400008042FFFCB000000000D7
+:10027000000000881280FD3A000036100000008067
+:10028000020000903610361002010080828DFDBC05
+:1002900000000000000000881280FD3A000000000D
+:1002A000000000F803C001323800000000010084A3
+:1002B000824D281A000036000000007409400092A8
+:1002C00000004F00000000FC020000920000480007
+:1002D000000000800200009000004D00000000902F
+:1002E0000E80189200008F020000000008C02092CB
+:1002F00000007F00000000000800219200008D0235
+:10030000000000000840219200007C000000000076
+:10031000088521900000F202000000EC02C0229249
+:100320000000CE0300000080020000900000560094
+:10033000000000FC0240189D00005100000000D0A9
+:1003400002000092000020030000008002000090E4
+:100350000000361000000080020000900000000045
+:10036000000100800200007000004C00000000004E
+:1003700009C0219200004A0012010000088522B045
+:1003800018003600000000F8738A0299000084000B
+:100390006A000080020000B008008400000000F83D
+:1003A0002340019900000000000100E80200907263
+:1003B0000000361080010080B200E9B600003E0364
+:1003C0000000007C1EC0E79A08000000000000F852
+:1003D000134001390000320300000008B801009406
+:1003E000000036100300007809401ABD000000002C
+:1003F000000000A0E125003408000000000000F823
+:10040000B340013900003E03B20000D8020000B240
+:1004100000004005001001F802006E920000590033
+:100420000A0100CC020000B200006A00030100FCD7
+:10043000024019BD08003E03000000F8A3400199E6
+:10044000000000000000008401C02F320000000006
+:1004500000000090F1010034000000000000009452
+:1004600001C02F3202005C00B00000A0F20B00B906
+:1004700000005F000401008002C0B0BC0000680002
+:10048000A000008002000090000061008001008058
+:10049000F24BD0B600006800A0000080020000907F
+:1004A00000000000A0000004FD4BD03400006600F6
+:1004B000800100801281FCB600002D0F000000D8E2
+:1004C000020000D218000000000000F8730A03398F
+:1004D00068003600000000C0020036920000040FE1
+:1004E000000000D8020000D218003600000000F81A
+:1004F000730A03F900005900030100FC024018BD13
+:1005000000007B00030000FC024019BD0000000059
+:100510000000009401C02F320000000000000080A5
+:10052000F1010034000000000000008401C02F32FF
+:1005300002006D00B00000A0F20B00B900007000D6
+:100540000401008002C0B0BC00007900A00000805F
+:10055000020000900000720080010080F24BD0B6D3
+:1005600000007900A0000080020000900000000060
+:10057000A0000004FD4BD034000077008001008013
+:100580001281FCB600002D0F000000D8020000D23E
+:1005900018000000000000F8730A033979003600E3
+:1005A000000000C0020036920000040F000000D8D6
+:1005B000020000D218003600000000F8730A03F9A8
+:1005C00000006A00030100FC024019BD0000590050
+:1005D000030100FC024018BD08003E03000000F8C3
+:1005E000A340019908000000000000F873400139A1
+:1005F0000000840080010080E20180B600008100DC
+:1006000000000080020000900800ED020C0000F8DD
+:10061000534001B90000830080010080E20180B6F0
+:100620000000361012000068020580B0000032039E
+:100630000000006C1FC0F69A0000000000000000DF
+:100640000805803000000000000000FC02000132BC
+:10065000000000000000001008803D320000000093
+:10066000000000D40200003202A0000000000000E0
+:10067000A90D8032000088001200005402A438B294
+:10068000000200800000002C0800373218003600FD
+:10069000000000F8730A03F90000000000080004DD
+:1006A00008807232000090009F00005C080072B267
+:1006B00087008F008001008082CD85B00000A100FE
+:1006C0000000002CD8C182940000A1000000002C82
+:1006D00088C18294000F99000401008082CD85B00A
+:1006E00000009900800000804281FCB600003610B6
+:1006F00012000068020580B0000000000000006CDD
+:100700001FC0F63A00000000000000FC02000132A9
+:1007100000009700040100DC43603DB30000320399
+:10072000000000FC0200009218000000000000F829
+:10073000738A033994003600000000C0020036922C
+:1007400010009F0087000078792116B801009F00F3
+:1007500004010080828D97BC8700A8008700007884
+:1007600089CD85B000009E0004010080128097BCF6
+:100770000000A1000000002CD8C182940000A1005C
+:100780000000002C88C182940000A8008001008035
+:10079000F2C085B60000A8000000002C98C1829429
+:1007A0000000A70080010080D2C182B60000A8002E
+:1007B000800100807280FCB600000000001800A8D4
+:1007C000423D723000000000541889FCF2C07C30B9
+:1007D0000000CB0080010080F2C185B60000A900B6
+:1007E00000000080020000900000A3008000008054
+:1007F0008280FCB600000000540000FC02000032C1
+:100800008000802000000080C2CD85300000BE0046
+:100810000B000080020000B018000000000000780B
+:1008200079A116382000CB0004000080828D97BC8F
+:100830000000B500800100806280FCB68700B50032
+:100840008700007889CD85B00000B10004000080E9
+:10085000128097BC0000B50004010080228097BC84
+:100860000000B5008001008072C185B61000000054
+:1008700000000078796116380000BC000401008097
+:10088000328097BC0000CB000000002CB8C18294DD
+:100890000000BC00800100805280FCB60000BC005B
+:1008A0008000008072C185B60000BC00800100801D
+:1008B00002C185B60000BC0080010080D2C185B6AF
+:1008C000180000000000007879E116380000BC0034
+:1008D00004010080328097BC0000CB000000002C97
+:1008E000C8C1829400000000000000040800043227
+:1008F0000000CB000000002CA8C18294080000007A
+:1009000000000078792117380000CB000400008037
+:10091000328097BC0000CB0004010080228097BC8D
+:100920001F0000000012000889CD72300500000091
+:1009300000120000B9DC173800000000000000A819
+:10094000220090370000CB008000868022247CB6F5
+:100950000000361012000068020580B000000000A0
+:10096000000000FC020001320000C900040100DCAC
+:1009700043603DB300003203000000FC020000921F
+:1009800018000000000000F8738A0339C600360022
+:10099000000000C0020036920000CE00120100608C
+:1009A000084023B2008200000000000808803632B0
+:1009B0000000C500000000641F40F69A00003610D9
+:1009C00012000024080023B200003610120000209C
+:1009D00008C023B20000361012000018088023B2AD
+:1009E00000000000000000FC020001320000D50001
+:1009F000040000DC43603DB318000000000000F874
+:100A0000738A0339D1003600000000C0020036921C
+:100A100000000000000000FC020085320000000021
+:100A2000000000D80280013200000000000000D069
+:100A30000200003200C0E1001801000CA8CD3EB257
+:100A40000000D50012000038028081B200000000D2
+:100A50000000003C02008232000000000000003074
+:100A600002408232000000000000003402008632A2
+:100A700020800000000000080880363200000000DE
+:100A80000000005C1FC0F53A00003203120100684C
+:100A9000020580B0000036100000008002000090C7
+:100AA0000000000000180078090072320023E40002
+:100AB00004010080A2CD82B00000E500000000002B
+:100AC00009000092000036109F16000029C172BC78
+:100AD00000000000001800000780813200000000C4
+:100AE0000020000007008232000000000028000003
+:100AF0000780973210000000003000001720903966
+:100B0000000000000038000007C082320000000032
+:100B1000000000D8020000320000000000000000C9
+:100B2000074080320000EE0080010080A2C182B642
+:100B30000000EF000008000057008097050000004B
+:100B40000008000007A0043900003610041000005F
+:100B5000074082B200000000001800000700863243
+:100B60000000F10012000050F2C138B41800360045
+:100B7000000000F8730A03F9000036101200006844
+:100B8000020580B00000F4001200004802C080B2EC
+:100B900000003203CA010008E881809408000000C8
+:100BA000000000F89340013910000000540000FCE0
+:100BB000824D90360000F800F00100D8020000B22B
+:100BC00000000000620401A802C06E3200000000B4
+:100BD0000004010059C06E370000000000040178D5
+:100BE00019C06E3A000000004E0401EC06BD9730BB
+:100BF00000000000E00000F41E40EF3A000000009A
+:100C000000188BCC074000320000000000000000FC
+:100C100007400932000000000008000077C02937B3
+:100C20000000361004100000173D90BA00000000CC
+:100C3000001800000780F432000003011200004099
+:100C4000F2C138B400000000000000FC32C02F30B8
+:100C5000000000000000001008803D32180036003F
+:100C6000000000F8730A03F900000000000000D43F
+:100C700002000032000090018038008022C072B66D
+:100C800000000C01120000C8020020B20000130195
+:100C90001201005C088020B20000361012000060D3
+:100CA00002802CB218000000000000F8738A03399B
+:100CB00009013600000000C002003692000000006A
+:100CC000000000F81F80FF3A00000000000000FC58
+:100CD00032008530000068010400008042603DB3AE
+:100CE00018000000000000F8738A03390F01360075
+:100CF000000000C002003692080000000000000062
+:100D000088CD853700000000000000200800723206
+:100D100000000000000800240800723200003610B5
+:100D20000410006C080072B2000000000018004CB3
+:100D3000080072320000361004200018080072B259
+:100D4000000000000030002808007232000000009F
+:100D5000002800300800723200000000000000602F
+:100D600008808232000022010600008062A082BC5E
+:100D7000000000000000000007000632070000002D
+:100D800000080000774A09390000361004100000FE
+:100D9000070082B200000000CA190000074082323A
+:100DA0000000210112000040F2C138B40000000030
+:100DB000000000D8024000320000470104380078EB
+:100DC000D9C572B00000260180010080028097B66C
+:100DD00000000000000000F882802F34000028018D
+:100DE00080010080128097B600000000000000F82B
+:100DF00092802F34040000000038003CB81C1738E3
+:100E0000000000000000003C28C083370000000004
+:100E1000003A002C08C07232000000000000001CE4
+:100E2000B8E0833A00000000CB2900200700003220
+:100E3000000046010400008002C081BC00000000E8
+:100E40000000003478A0813E000000000000001C7B
+:100E5000D8E0813C00003501063A0080B25C83BCDA
+:100E600000000000003A000089C172370700340119
+:100E70002B010004790A04B900000000CB00000433
+:100E80001941903400003801003A002C070000920C
+:100E900000000000003A002CD7E0723C0000000087
+:100EA0000000000009000032000000000000000403
+:100EB00009000032000000000000000007648332D7
+:100EC000000000000008000007008032000036101B
+:100ED0000410000007C086B20000000000180000E7
+:100EE00007C084320000550104000028D8A082BC4D
+:100EF0000000000000000000D820803A00004101FE
+:100F00000400008072802DBC00003F0112000044EC
+:100F100012E438B200004201000000D812802D9A7D
+:100F20000000BD0F00000004F94190F400004401EE
+:100F300004000018D8A081BC00002D010000006C46
+:100F4000D8E0869A00007A0F0000004408802DF255
+:100F500000002D0100000030080000920000000099
+:100F6000CB19002007000032070049012B010004C3
+:100F7000790A02B900000000CB0000041941903446
+:100F8000000000004D000000A7A0813E000000000E
+:100F90000008000007008032000036100410000036
+:100FA00007C086B2000000000018000007C08432AD
+:100FB0000000550104000028D8A082BC00000000F9
+:100FC00000000000D820803A000052010400008098
+:100FD00072802DBC000050011200004412E438B2AF
+:100FE00000005301000000D812802D9A0000BD0FB0
+:100FF00000000004F94190F400007A0F0000004462
+:1010000008802DF200004701000000300800009227
+:101010000000000000000004F94190340000560177
+:101020001200004412E438B218003600000000F844
+:10103000730A03F9000000000018000409807332ED
+:1010400000000000002800088980733700000000BD
+:101050000000008007008632410000000006008C7E
+:101060000700363200005F012908008007C085B202
+:10107000000062012810008C070000B2000063012C
+:101080000012008407000092000000000010008C95
+:10109000F7E0823A0000620128180080074090B211
+:1010A00000006301001200840700009200000000AD
+:1010B0000012008427E482320000660104000080F0
+:1010C00042603DB318000000000000F8738A033945
+:1010D00063013600000000C00200369200000000EC
+:1010E000000000FC02008532000036101200005C97
+:1010F00052812CB400000000000000D802800132B0
+:10110000000000000000008002003B3208406A013D
+:10111000F0010008088036B2000000000004013829
+:1011200008C06E3200000000E00000F41E40EF3CFA
+:10113000000071010B01008C080000B200006E017C
+:10114000F2010080020000B000000000000000F08A
+:101150000E003A3200008201E20000800E8083928D
+:1011600000007101F2010078C93B3ABC00007B012C
+:1011700002010080828097BC00000000000000A8EF
+:101180000200E832000076010400008022A22ABC9E
+:1011900000007A0104198B8002C07CBC00000000B2
+:1011A0000000008C18C0883A00000000000000A871
+:1011B00012802A3A00000000000000A802BD2A3078
+:1011C0000000740104010080E2A02ABC00007F013D
+:1011D0000200008082C088BC00000000E20000081D
+:1011E0000800003200000000000000A802808832E1
+:1011F0000000000000188BCC070000320000320312
+:10120000000000DC03000092000000000000003835
+:1012100008802A3200000000000000F00E003A3280
+:1012200000000000E20000800E802A320000000072
+:10123000000000A8028088320000000000188BCC5B
+:101240000700003200000000000000DC0300003254
+:101250000000000000000000078083320000000052
+:101260000000000079C02937602000000000000065
+:10127000890D903A00000000CA0100D812802D3A72
+:101280000000000000000000070001320000000024
+:10129000000800000700903200000000001000006D
+:1012A0000740E83200000000001800000780E83224
+:1012B00000000000000000FC0200003200003203C9
+:1012C00012010048F2C138B400008E010000008015
+:1012D00002000090000000000030007808807232A8
+:1012E0000400000000380054A85C16380B00000011
+:1012F0000038002CA8DC1638140000000000001C88
+:10130000884D853A0000000000000020080072327D
+:1013100000000000000800240800723200000000F5
+:101320000010006C08007232000000000018004C31
+:10133000080072320000361004200018080072B253
+:101340000000000000280030080072320000A101F7
+:10135000083C0014188072BC00000000000000145B
+:101360001840813C00000000000000000700063229
+:101370000700000000080000774A09390000361015
+:1013800004100000070082B200000000CA1900002B
+:10139000074082320000A00112000040F2C138B4C0
+:1013A00000000000000000D80240003200000000F1
+:1013B0000000006478C029370210000000000064BB
+:1013C000884D863A000000000000008008000032CE
+:1013D0000000000000000040080000320000000093
+:1013E0004D00000077A0813E0000000000080000D2
+:1013F00007408632000036100410000007C086B295
+:10140000000000000018000007C084320000B9018D
+:101410000400001CD8E081BC000000000000006453
+:10142000D860863A0000AF010400008072802DBCB5
+:101430000000AD011200004002C038B20000B5014A
+:10144000000000D812802D9A0000AF011200004069
+:10145000F2C138B418003600000000F8730A03F92E
+:101460000000B4010401008002802DBC0000B00126
+:10147000670000F8A2802FB500003610120000E8C7
+:1014800002C021B200000000000000D8024000327B
+:101490000000B70104000018D8A081BC0000A6011C
+:1014A0000000006CD8E0869A00005D0E0000004449
+:1014B00008802DF20000A601000000300800009214
+:1014C0000000B90112000040F2C138B41800360023
+:1014D000000000F8730A03F90000BE010401008057
+:1014E00002802DBC0000BA01670000F8A2802FB571
+:1014F00000003610120000E802C021B20000C9014D
+:1015000004010080020084BC00000000000000D440
+:101510000240003200000000000000A42240853A92
+:10152000040000000018004088CD74360000000060
+:10153000000000402800843700000000000000D4B4
+:10154000020000321400C9010400001C880D84BC94
+:1015500000000000000000780961853A8000361024
+:1015600006010080828D97BC00000000000000642E
+:10157000D860863A0000B501000000D80240009211
+:101580000000CB0104000018D8A081BC0000CD01F0
+:101590000000006CD8E0869A00005D0E0000004458
+:1015A00008802DF20000000000000030080000322A
+:1015B00000000000000000D40240003200000000E3
+:1015C000000000A422C0823A000000000000003C9D
+:1015D000B860853C0400D3018100006088CD74B6FA
+:1015E0000000000000040028F8A0753C0000D401B1
+:1015F00000080074088075920000000000080028B0
+:10160000F8A0753C000000000000002808A1823C02
+:1016100000000000000000A4F2602A3A0000000070
+:101620000008004808007532000000000020007C1F
+:10163000088075320900DA01041A007088CD74B090
+:1016400009000000001A004C87CD74317F000000B3
+:1016500000000064884D8631000000000000006436
+:101660002840863A00000000000000D80240003206
+:10167000000000000010000007408632000000005B
+:10168000000000D8028000320000000000100000BE
+:101690005761863A0000E301120000C8020020B240
+:1016A0000000E6011201005C088020B20000361044
+:1016B0001200006002802CB200000E012A0100D44A
+:1016C000020000B218003600CA0000F8730A03F9DD
+:1016D00000000F01000000F81F80FF9A00000000CA
+:1016E000000000D4024000320800000000000000AA
+:1016F00088CD8537000000000000001CE8A1823E74
+:1017000000000000000000A42240853A0000000014
+:1017100000080050078084320000ED0104010080C1
+:1017200072A082BC00000000001A004CC7E17432B5
+:10173000000000000000006808E1813A0000F001AC
+:1017400090010078F9A186BA00000000000000783E
+:101750001980973A00000000002000580780973257
+:1017600000000000000000D80280003200000000ED
+:101770000000000007008432000000004008000064
+:101780005721803A0000F4011200004CF2C138B435
+:1017900000000000000000000821803A0000000066
+:1017A0000000000408C0813200000000510000D891
+:1017B00002C0003200000000000000D4020000322D
+:1017C00000000000CB190020070000320700FC01D8
+:1017D0002B010084780A02B900000000CB000084CD
+:1017E00018418834000000004D00000077A0813EC1
+:1017F00000000000000800000700803200003610E2
+:101800000410000007C086B20000000000180000AD
+:1018100007C08432000036109F000028D8A082BC88
+:10182000000014020400001CD8E081BC0000080283
+:101830002D000000D82080BA00000502120100E847
+:1018400002C021B218003600000000F8730A03F944
+:10185000000007020401008022802DBC0000080265
+:10186000CD0100D80240849200000402000000F87C
+:10187000A2802F9500000B020400008072802DBC16
+:10188000000009021200004412E238B20000120205
+:10189000000000D812802D9A000000000000008493
+:1018A000F841883400000C021200004412E238B201
+:1018B00018003600000000F8730A03F90000110256
+:1018C0000601008022802DBC00000D02670000F898
+:1018D000A2802FB500000E02000000E802C0219295
+:1018E00000000000000000D802C0003200005D0EC1
+:1018F0000000004408802DF20000FA0100000030D2
+:101900000800009200001A0280000080D2802FB6EA
+:1019100000001702120100E802C021B218003600D0
+:10192000000000F8730A03F90000190204010080A6
+:1019300022802DBC00001A02000000D802408492D0
+:1019400000001602000000F8A2802F9500000000A1
+:10195000CD000084F841883400001B0212000044CE
+:1019600012E238B200000000000000D40240003251
+:1019700000000000000000A422C0823A0000230200
+:1019800004010080420086BC0000000000080058EE
+:1019900007408732000022028F010074184087BA86
+:1019A0000000000000000074080000320000250262
+:1019B00000040058F7A0869A00000000000000789C
+:1019C000F9A0863A2800000000080058878D973C4F
+:1019D00000000000000000D80240003218000000A3
+:1019E00000000000B7608539080000000008000012
+:1019F00087CD8537000028021200004CF2C138B4B0
+:101A0000000000000000004818A0843A0000000018
+:101A1000000000D40200003200000000000000803E
+:101A200057A1863A410000000006008C07003632BC
+:101A3000000000000008008007C0853200000000A0
+:101A40000010008C0740853200000000000000D824
+:101A5000028000320000361004000058088071B285
+:101A600000000000000000800880003218003600EE
+:101A7000000000F8730A03F9000035020401008039
+:101A800002802DBC00003202000000F8A2802F95D9
+:101A90000000320204010080180088BC00003802F7
+:101AA00090190058E89C85BA00000000000000581A
+:101AB0001880853A000000000018008007858530F6
+:101AC00000003D0204010080420086BC00000000CE
+:101AD000000000D8024000320000000000000008B2
+:101AE0008980713700003E020012008427E4829250
+:101AF00000000000001200840700003200004202D3
+:101B0000270000FC020085B20000420204000080B1
+:101B100042603DB318000000000000F8738A0339EA
+:101B20003E023600000000C002003692000036106F
+:101B30001200005C52812CB40000450204010080B8
+:101B4000028082BC00006801000000D40200009204
+:101B50000000480204010018D8A081BC00005D0EFE
+:101B60000000004408802DF20000E001C7010030B1
+:101B7000080000920000E001C701006CD8E0869ADE
+:101B800008000000C60100F893400139000032034C
+:101B900080018080320B6AB600000C0E0000003C11
+:101BA000030038F200004E020406018002C06EBC41
+:101BB00000003103000601EC56E06E9A00000000C0
+:101BC000C40701EC56E06E3A08C04F021200004014
+:101BD000A2CD39B218003600000000F8730A03F9EC
+:101BE0000000361003B8000009C06EBD53020000AB
+:101BF00000000088820D903A2F007C050000001C38
+:101C000008003692000036100000008002000090AC
+:101C10002C007C050000001C0800369200003610E5
+:101C200000000080020000900000361000000080DC
+:101C300002000090000036100000008002000090BA
+:101C400038007C050000001C0800369239007C0535
+:101C50000000001C0800369208000000000000F898
+:101C60009340013900000C0E0000003C030038F2E4
+:101C700000000000000000F842802F3408C05E021F
+:101C800012000040A2CD39B218003600000000F862
+:101C9000730A03F9000000000004017809C06E32E5
+:101CA00000000000006201EC068097320000000096
+:101CB000000601EC0640003200006302B50000D8C7
+:101CC000020000B200000000A50080A0360B6A34BC
+:101CD00000000000003002E806C02C3200000000C6
+:101CE000001801E00600003200000000000000F8CB
+:101CF00082852F3000007D050000001C0800369210
+:101D000008000000000000F89340013900006C0258
+:101D100080008080320B6AB6000032030000008031
+:101D20000200009000000C0E00000038030038F2A2
+:101D300000006F020402018002C06EBC000031038B
+:101D4000000201EC56E06E9A00000000C00301ECB6
+:101D500056E06E3A00C0700212000040A28D39B207
+:101D600018003600000000F8730A03F900007C0236
+:101D70003828001809006EB200007502042101081D
+:101D800069246EBC03007D050000001C080036922B
+:101D90000000790202300080829B90BC0000780233
+:101DA0000603018012C06EBC04007D050000001C0B
+:101DB0000800369205007D050000001C08003692E0
+:101DC00000007B020603018012C06EBC0B007D0583
+:101DD0000000001C080036920C007D050000001C6D
+:101DE0000800369200007E020421010869246EBCBE
+:101DF00003007D050000001C0800369200008202EE
+:101E000002300080829B90BC0000810206030180AA
+:101E100012C06EBC04007D050000001C0800369254
+:101E200005007D050000001C0800369200008402B9
+:101E30009F31010C69246EBC000000000000000C02
+:101E4000090000320000880204310004899B90BC24
+:101E5000000087020603018012C06EBC20007D05D1
+:101E60000000001C0800369221007D050000001CC7
+:101E70000800369200008A020402018012C06EBC83
+:101E800022007D050000001C0800369200008C0234
+:101E90000401000039A490BC23007D050000001C53
+:101EA0000800369224007D050000001C08003692D0
+:101EB000080036100C0000F8634001B910009102D0
+:101EC000C50100CC022015980800ED020C0000F8B6
+:101ED000434001B910000000C50100CC02201538B4
+:101EE00000000C0E0000003C030038F200009402D9
+:101EF0003601005C080580B00F007D050000001C65
+:101F00000800369210000000002C0200A9DB853981
+:101F1000000095021200005402A438B20000000034
+:101F20000008028C08C06E3200000000000C02980D
+:101F300028806E37000000000000009C3822143713
+:101F400000009E020430002808006EB20000361027
+:101F50000410006C08006EB2000000000018004C75
+:101F600008006E32000036100420001808006EB21F
+:101F70000500A1020038020078E16E99000000001F
+:101F8000510000D802000032000000000038027842
+:101F900009C06E32050000006808000077A197397B
+:101FA0000000A3021201000009C021B2180036008F
+:101FB000000000F8730A03F900000000545401FC0B
+:101FC00002C06E321410A70204000080A20D72B08D
+:101FD0000000510F0000002809C002F20E007D052C
+:101FE0000000001C080036920000B602331500A461
+:101FF00002C072B20000EA0280010080B20172B633
+:102000000101AD0204290080828D74BC080AEA0235
+:10201000042D0080828D74BC000000000030007C24
+:10202000080075320000B402003800881800759C62
+:10203000080AEA0204290080828D74BC10000000A6
+:10204000002C007C888D7537000000000030007C7B
+:1020500068DD87320000B3029F390088188075BCA4
+:102060001000000000340088888D75370000B4022D
+:10207000000000881880889C100000000034008850
+:10208000689D88390000B7029FF1018082DB87BC20
+:102090000000EA0200000080020000900000EA0256
+:1020A00080000080B20172B6000000000008004805
+:1020B0000800753200000000001000700800753242
+:1020C00000000000001C007438A275370000BC023C
+:1020D000831B007808C074B200000000000000F804
+:1020E000C2802F340000CC029F780180C2216EBCD8
+:1020F0000000C0029F990164881B87BC0000CD02CC
+:102100009F680164885B86BA0000000000000064DC
+:102110000800003200000000001600A402C0723265
+:1021200000000000003C02A4B25B2A3A000000005C
+:10213000003A027809C06E320000CE0208010004A5
+:10214000E8A575BC1000EA020B01001C080036B2BD
+:102150000000CC0204A10180829B84BC00007D05AC
+:102160009F980180C2216EBC00007D0506B10180F0
+:10217000825B87BC0000E9020B010080020000B016
+:102180000000CD0204990180C2216EBC0000E7026C
+:1021900002D4018092FB6EBC16007D050000001C7D
+:1021A0000800369217007D050000001C08003692DA
+:1021B0001C007D050000001C080036920000D002C3
+:1021C00004A10180829B84BC0000D70206A8018084
+:1021D000825B80BC0000D40204A9018002006EBCB6
+:1021E0000000E80204A10180829B84BC0000E80298
+:1021F00004010080124080BC14007D050000001C1A
+:10220000080036920000E8029FA0017829216EBCE8
+:102210000000E8020201008012A097BC0000CC027E
+:1022200000000080020000900000E3020400008033
+:10223000028082BC0000DC0202000080A26080BC40
+:1022400006007D052C01001C080036B200C0E0022B
+:1022500004010080A28D2FB006007D050000001C47
+:10226000080036920000E00204000080A26080BCFA
+:102270000000DF020603018012C06EBC09007D056C
+:102280000000001C080036920A007D050000001CBA
+:10229000080036920000E2020603018012C06EBC04
+:1022A00007007D050000001C0800369208007D052F
+:1022B0000000001C0800369202007D053801001C59
+:1022C000080036B20000E602020C0280A25B80BC6D
+:1022D0001F007D050000001C080036921E007D05D1
+:1022E0000000001C080036920000EB0200000028ED
+:1022F000094000920000EB020000002809800092D3
+:102300000000EB020000002809C000920000EB0270
+:1023100000000028090001920E00510F0000001C6F
+:10232000080036F200007D050000008002000090E9
+:10233000100036102A0000CC022015B800000C0E48
+:102340000000003C030038F21D00F102800100781B
+:1023500009E000B81D007D050000001C0800369251
+:1023600015007D050000001C0800369200000000EA
+:102370000000001CA805283008000000000000F83C
+:102380008340013900003E0380018080320B6AB631
+:1023900000000C0E00000038030038F27E0500003B
+:1023A0000000008882CD813A0000F9021D41025CE4
+:1023B000F80168B441003103000000F8A28D2F91AC
+:1023C00010000000D02C0200A9DB85390000960225
+:1023D0001201005402A438B20000FA02000000808A
+:1023E000020000900000000304B0008002006EBCF8
+:1023F0000000000380B9008082806EB600002510C6
+:102400000078016008006EF230007C05D700001CE7
+:10241000080036920000020380010080D2812FB6AE
+:1024200031007C05D700001C080036920000040330
+:102430008001008042812FB635007C05D700001C4A
+:10244000080036920000110304A8010809006EB2CA
+:102450000000000000200208899B903E0000000060
+:1024600000A00108899B903A000011039F88010891
+:10247000899B90BC000000000034020009C06E3D42
+:1024800000000000000C020409A46E3700000D03D8
+:102490000200008012A490BC0000000000000008B0
+:1024A000198090370000110302010280829B90BCCA
+:1024B00031007C05D700001C080036920000110393
+:1024C00004B0008002006EBC001211030401008001
+:1024D000A28D2FB032007C05D700001C0800369278
+:1024E00000003103000000F872812F950000000009
+:1024F000000000F842802F3408C050021201004052
+:10250000A2CD39B200001303000000800200009049
+:1025100008000000000000F89340013900003E036D
+:1025200080018080320B6AB60000000000000014B9
+:102530000840903200000C0E00000038030038F212
+:102540007E0500000000008882CD813A080000006E
+:10255000000000F89340013900003E0380018080B4
+:10256000320B6AB600000C0E00000038030038F28F
+:1025700000001F030420018052206EBC26007D0550
+:102580000000001C0800369225007D050000001C9C
+:102590000800369200002503040100D81E80EDBC1F
+:1025A00000002103B70000D80EC0EDB200002403E4
+:1025B00004010080423BEEBC00000000000000E08F
+:1025C0001E00EE3A00000000A70000D00E00EE3220
+:1025D00000000000007486CC02806C320000000015
+:1025E000000000000940E7320000290380018080DC
+:1025F000320B6AB6360028031200002C82CD2EB2B0
+:1026000000002B030401008042C52CBC00002C03F9
+:10261000000000CC0200009200000000000000CC8E
+:1026200012C02C3A0000270304010000190090BCDE
+:1026300000000000007486C806C02C3208003E036B
+:10264000000000F8C34001990000FA0D0000002CC2
+:10265000090000F200003203000000800200009038
+:102660000000FA0D0000002CF90100F400003B030B
+:1026700004000028098080B200000000000000D89B
+:10268000020000320000F10E00000008080000D235
+:1026900000003B0304000080028092BC180036005A
+:1026A000000000F8730A03F900003E038001008077
+:1026B000A2802FB600003E031201000009C021B223
+:1026C00018000000000000F8730A03393E033600CA
+:1026D000000000C00200369200003E03800100802E
+:1026E000A2802FB600003E031201000009C021B2F3
+:1026F00018003600000000F8730A03F9000000001B
+:10270000000000F80200003218003600000000F857
+:10271000738A029910000000000000E403003632C2
+:1027200002000001000000E003003732000000005A
+:10273000000000E40300363204000001000000E065
+:1027400003003732AA040000000000E40300363220
+:1027500009000001000000E0030037320000000023
+:10276000000000CC0F00003200070000000000E471
+:102770000300363206000001000000E0030037329B
+:1027800020000000000000E40300363208000001D1
+:10279000000000E00300373200010000000000E408
+:1027A0000300363205000001000000E0030037326C
+:1027B00030000000000000E4030036320700000192
+:1027C000000000E00300373200A00000000000E439
+:1027D0000300363208000008000000E00300373232
+:1027E00000000000000000A0020000320000000015
+:1027F000000000000B000032000052038B0100A01B
+:1028000012002ABA00000000000000A802000032F6
+:1028100000000000000000E0070000320000550347
+:102820000601008002802ABC000000000000009C1D
+:102830000200003200000000000000D4020000325C
+:1028400000000000000000CC020000320000000088
+:10285000000000D80200003200000000000000D09C
+:102860000200003200000000000000DC0200003224
+:1028700000000000000000F802000032000000002C
+:10288000000000C80200003200000000000000C488
+:1028900002000032000058038501009C12C029BAD2
+:1028A00000000000000000E4030036320B000004CA
+:1028B000000000E00300373280000000000000E468
+:1028C0000300363213000004000000E0030037323A
+:1028D00000200000000000E4030036320C00000479
+:1028E000000000E00300373200000000000000E4B8
+:1028F000030006320F000004000000E0030037323E
+:1029000000440000000000E4030036320D00000423
+:10291000000000E00300373200040000000000E483
+:102920000300363214000004000000E003003732D8
+:102930009F000000000000E4030036321500000490
+:10294000000000E00300373200000000000000E457
+:102950000300363218000004000000E003003732A4
+:1029600060000000000000E4030036321D00000497
+:10297000000000E00300373200000000000000E427
+:10298000030004321E000004000000E003003732A0
+:1029900070000000000000E4030036321F00000455
+:1029A000000000E00300373200000000000000E4F7
+:1029B0000300003220000004000000E00300373272
+:1029C000A0030000000000E40300363217000004FA
+:1029D000000000E00300373240000000000000E487
+:1029E000030036321B000004000000E00300373211
+:1029F00060000000000000E4030036321C00000408
+:102A0000000000E00300373200000000000000E496
+:102A10000340003216000004000000E003003732DB
+:102A200000010000000000E4030036321A00000438
+:102A3000000000E00300373220010000000000E445
+:102A40000300363219000004000000E003003732B2
+:102A500080000000000000E4030036320B0000019B
+:102A6000000000E00300373200010000000000E435
+:102A7000030036320C000001000000E00300373292
+:102A8000FEFF0000000000AC020036320000000033
+:102A9000000000000900003218000000000000F8EB
+:102AA0000364023900008B0385010000190090BA0D
+:102AB00025260000000000E403003632010000017A
+:102AC000000000E00300373200000000000000803A
+:102AD0000F00003200000000000000840F000032F0
+:102AE00008000000000000F8F34001390800000071
+:102AF000000000F8E340013908000000000000F881
+:102B0000C340013908000000000000F8B34001395B
+:102B100008000000000000F8A34001390800000090
+:102B2000000000F89340013908000000000000F8A0
+:102B30008340013908000000000000F873400139AB
+:102B400008000000000000F86340013908000000A0
+:102B5000000000F85340013908000000000000F8B0
+:102B60004340013908000000000000F833400139FB
+:102B700008000000000000F81340013900000000C8
+:102B8000000000F80380003200000000000000C8D0
+:102B90003F80FC35000000000000009C0200003275
+:102BA0000000000000000000030000326E00000082
+:102BB000000000D0020036320000000000000028B3
+:102BC000034038320000361004010080D20130B6D4
+:102BD0000000A303040100D012002DBCE00300009C
+:102BE000000000E40300363203000001000000E0B2
+:102BF0000300373200000000170000D0020000324E
+:102C000000000000000000ACE10000340000000003
+:102C1000000001E00600003200000000000801E4AE
+:102C20000600003200000000000E01EC0600003239
+:102C300000000000001001E006000032000000006B
+:102C4000000000D012002D3A6E00AB03020100809C
+:102C5000820D2DBC020000000000009CAE0D02326F
+:102C600000000000000000A8020000320000000088
+:102C7000008886CC0700363200000000008A86CC2F
+:102C80000700003A002400000000000409803632EA
+:102C90000000361012000064024090B200000000F4
+:102CA000000000042940903A0000B70312000078A9
+:102CB00009C020B2000000000000007809459030F3
+:102CC0000000B50302010080C28297BC0000000032
+:102CD000000000840200003200000000000000CC70
+:102CE000030000320000BB038E010080024028B2C6
+:102CF0000000510E000000D8020000D2150F0000A5
+:102D00000000008C0E0036325200000000000074FB
+:102D10000E00363218000000000000E403003632D6
+:102D200009000002000000E003003732FECA000084
+:102D3000000000E4030036320A000002000000E058
+:102D4000030037320000C60312010000094020B220
+:102D50000000C40300000080020000900000C603D1
+:102D600012000004094020B20000C9039F01008046
+:102D7000020090B20000C80312000008094020B20F
+:102D80000200C40304010078092417B806000000FB
+:102D900000000078096416380000C40304010080B4
+:102DA000028197BCFE0000000000004403003632A0
+:102DB000FE00360000000048030036920000361086
+:102DC00012000000094020B20000CF0312000004EE
+:102DD000094020B20000D2039F010080020090B29F
+:102DE0000000D10312000008094020B200000000DA
+:102DF000000000B402009032000036100000008095
+:102E000002000090000036100000008002000090D8
+:102E10000000361000000080020000900000361014
+:102E200000000080020000900000361000000080CA
+:102E300002000090000036100000008002000090A8
+:102E400000003610000000800200009000003610E4
+:102E5000000000800200009000003610000000809A
+:102E60000200009000003610000000800200009078
+:102E700000003610000000800200009000003610B4
+:102E8000000000800200009000003610000000806A
+:102E9000020000900600EA030000000C0964169886
+:102EA00000004902000000140840909200006902EE
+:102EB0000000001408409092340015030000001C2C
+:102EC00008003692120015030000001C080036921C
+:102ED0003A0015030000001C08003692000036106E
+:102EE000000000800200009000005B02000000145F
+:102EF0000840909200001D04000000800200009035
+:102F000000001A030000001408409092EB03000038
+:102F10000000008882CD903A0D000D04000000FCF6
+:102F200002E416980D001E04000000FC02E416984E
+:102F30000D002704000000FC02E416980000340491
+:102F4000000000800200009000003D04000000002E
+:102F50000940909D000040040000008002000090A5
+:102F600000004904000000800200009000005204AC
+:102F7000000000800200009000005B0400000000E0
+:102F80000940909D00006004000000800200009055
+:102F900000006804000000000940909D00006D04DE
+:102FA00000000080020000900000DC04000000002F
+:102FB000090000920000DC040000000009400092BB
+:102FC0001D07DE04000000A0020036920000EC04A1
+:102FD0000000008002000090000036100000008019
+:102FE0000200009000001D04000000DC0F409092E1
+:102FF0000000B00400000080020000900000B50452
+:10300000000000D4020000921000CA0400000084F6
+:103010001F64149800001D04000000EC0E40909204
+:103020000000D604000000800200009000001D0493
+:10303000000000D40E4090920000D90400000080EF
+:103040000200009000006D05000000DC0E40909230
+:103050000000FB0400000080020000900800000552
+:10306000000000501F24169800000F05000000D833
+:10307000020000920D001905000000FC02E4169801
+:1030800000001A05000000D0020000920000F600C7
+:10309000000000D002000092000035100000008007
+:1030A0000200009000003610000000800200009036
+:1030B00008000000000000F8934001390000000003
+:1030C000000000780945903000003E0306010080B2
+:1030D000228097BC02001004B00000A0F20B00B9DF
+:1030E00000000000A00000046B41903400003E038B
+:1030F000800100800240B0B600003E030400008062
+:103100000280B0BC00000000000000D802000032C5
+:1031100000000000000000A822C02F3700000000BF
+:1031200000000000670100340042000000080000B9
+:10313000878D2A3A00003610041000000700B0B254
+:1031400000000000001800000700D03200001A0440
+:1031500012000048F2C138B418000000000000F866
+:10316000730A03393E033600000000C002003692A5
+:1031700008003E03000000F893400199000021047C
+:103180009F000080020090B20000000000000008D4
+:1031900009409032000000000000000409C0FD3228
+:1031A00002002104B00000A0F20B00B900000000F2
+:1031B000000000000B8090320000000000000000C2
+:1031C0000D40903200000000A00000043B40B031F0
+:1031D00000001D040400008002C02FBCF20E1D047C
+:1031E0000000008C0E00369208000000000000F87D
+:1031F0009340013902002804B00000A0F20B00B98E
+:1032000000002B04800100801240B0B600000000D6
+:10321000000000043B40B033000000000000000448
+:10322000FD4BD03500000000000000080B0000320C
+:1032300000000000A000000C1BE4B03200003E03C0
+:103240000B000080020000B0000031040400008088
+:10325000024090B21F003E03000000801140009920
+:103260000000300404000080123EF8BA00000000A4
+:10327000000000800100F83200003E0300000090D2
+:103280000140F89200003610800000800281FCB6F8
+:10329000000038049F000080020090B2000000008F
+:1032A0000000000809409032000000000000000407
+:1032B00009C0FD3200000000000000E403809032ED
+:1032C00009000004000000E00300373200000000A5
+:1032D000000000E4034090320A000004000000E017
+:1032E0000300373200001D04000000C80F81FC9469
+:1032F00000000000000000E47300903C1000000497
+:10330000000000E00300373200001D0400000080D0
+:1033100002000090000043049F000080020090B271
+:10332000000000000000000809409032000000008A
+:103330000000000409C0FD3200000000000000E4AD
+:103340000380903201000004000000E003003732E7
+:1033500000000000000000E00F809032000000003C
+:10336000000000E40340903202000004000000E08E
+:103370000300373200001D04000000E40F4090926B
+:1033800000004C049F000080020090B2000000008A
+:103390000000000809409032000000000000000416
+:1033A00009C0FD3200000000000000E403809032FC
+:1033B00003000004000000E00300373200000000BA
+:1033C000000000A80E80903200000000000000E421
+:1033D0000340903204000004000000E00300373294
+:1033E00000001D04000000AC0E4090920000550447
+:1033F0009F000080020090B2000000000000000862
+:1034000009409032000000000000000409C0FD32B5
+:1034100000000000000000E403809032050000047A
+:10342000000000E00300373200000000000000E46C
+:103430000340903206000004000000E00300373231
+:1034400000000000000000440F80903200001D04C6
+:10345000000000480F40909200005D0404010080CD
+:10346000824290BC00000000000000000900003211
+:1034700000000000000000E403009032120000048D
+:10348000000000E00300373200001D04000000408F
+:103490001F40909C000063049F000080020090B2D7
+:1034A0000000000000000008094090320000000009
+:1034B0000000000409C0FD3200000000000000E42C
+:1034C0000380903207000004000000E00300373260
+:1034D00000000000000000E40340903208000004F7
+:1034E000000000E00300373200001D0400000080EF
+:1034F0000200009000006A0404010080824290BC37
+:103500000000000000000000090000320000000080
+:10351000000000E40300903211000004000000E00D
+:103520000300373200001D04000000FC1F40909C87
+:10353000000070049F000080020090B200000000B4
+:103540000000000809409032000000000000000464
+:1035500009C0FD32030900000000002808003632CF
+:103560000000890400000030080036D200009304F7
+:1035700000000044088000D20000790404010080AB
+:10358000020084B2030E000000000028080036325A
+:103590008000890400000030080036D20000930447
+:1035A0000000004408C000D200007904040100803B
+:1035B000020084B200008004000000440800019270
+:1035C0008002000000000000070036328C45000039
+:1035D000000800000700363200003610041000001A
+:1035E000078090B2000000000018000007409032F1
+:1035F0000000000000000048F2C1383400007E04E2
+:1036000012000080020000B018003600000000F830
+:10361000730A03F920000000000000E403003632C2
+:1036200009000002000000E0030037320000000043
+:10363000000000E4034084320A000002000000E0C1
+:10364000030037328C450000000000A8020036322B
+:10365000A000000000000000090036320000000059
+:10366000000000E0070000320000860406010000B0
+:10367000190090BC00001D040000008002000090B2
+:103680008C450000000000C80200363280020000B5
+:103690000000003C0800363200000000000000344A
+:1036A0000800013200008E0402000080D2E083BCDA
+:1036B000000000000000003408C083320000A404B1
+:1036C00000000080020000F000000000000000A0E8
+:1036D000078083320000000000000030D820833AC9
+:1036E00000008C040401003CD8E083BC0000000012
+:1036F00000010080020000500000000000000040B7
+:1037000008000032000000000000004808000032FD
+:103710008C450000000000C80200363200020000A4
+:10372000000000C8828D2C3A800000000000003CA0
+:10373000080036320000000000000078098078326E
+:103740005A5A000004010080828D975C00009C049E
+:1037500002010048A89E84BA000000000000004852
+:103760001880843A00009A040601003C28C083BCFB
+:10377000000000000000007809858430100000007F
+:1037800000000048888D84360000A10490010048A4
+:10379000E8A584BA00000000000000481880843AC0
+:1037A0000000000000000048088584300000000090
+:1037B000040100800285845C0000000000010040DC
+:1037C0000840005200000000000000E403008332C3
+:1037D00001000002000000E0030037320C00AA04E0
+:1037E0000000002CD8A082F905000002000000E0D3
+:1037F00003003732000000000000008002000030AB
+:10380000000000000001003808403E720000000087
+:10381000000000E403C0823202000002000000E069
+:103820000300373202000002000000E003003732DC
+:103830000000000000000080020000300000AC0426
+:1038400080000080F2403EB60000000000010080D1
+:10385000020000700000B3049F000080020090B2DC
+:103860000000000000000008094090320000000045
+:103870000000000409C0FD320000000000000084C8
+:103880000E80903200001D04000000880E409092CF
+:1038900008000000000000F8934001390000B9045E
+:1038A0009F000080020090B20000000000000008AD
+:1038B00009409032000000000000000409C0FD3201
+:1038C00000000000000000200740F532000000006A
+:1038D0000008002007000032000000000010002057
+:1038E00007C0F53200000000001800200740F63243
+:1038F00000000000002000200780F63200000000D9
+:103900000028002007C0F632000000000030002030
+:103910000700F73200000000003800200780FF3267
+:1039200000000000000000D802000032000000008B
+:1039300000000000074009320000000000080000FD
+:1039400077C0293700000000001000000780903287
+:103950000000000000180000074090320000C6047C
+:1039600012000048F2C138B418003600000000F818
+:10397000730A03F90000000000000008C8010034C9
+:1039800000003203000000FC020000920000CC04A2
+:1039900080010080F24190B60000CD04000000C814
+:1039A0002F81FC9400000000000000C82F81FC352E
+:1039B00000000000000000800F4590300000D0049F
+:1039C00002000080027EF8BC0000000000000084BD
+:1039D0000F00F83200000000000000001940F83726
+:1039E00000000000000000843F40F83700000000A5
+:1039F000000000840F64F83A00000000000000009E
+:103A00001900F83700000000000000803F00F83780
+:103A100000001D04000000800F24F89A0000D80464
+:103A200080010080F24190B600001D04000000C833
+:103A30004F81FC9400001D04000000C84F81FC95DC
+:103A40000000DB0404010080024090BC0000000084
+:103A50000000000409C0003200001D04000000E462
+:103A60001E40909C00000000000000A8220090373B
+:103A700000001D04000086C007409092080000006E
+:103A8000000000F8934001390D000000000000FC28
+:103A900002E41638000000000000000009000232B5
+:103AA0000000E604040000800200B0B20000000044
+:103AB000000000000B00003220000000000000A009
+:103AC000820D2A3A0000E10404010000190090BCB4
+:103AD0000000E804000000287901009400000000C4
+:103AE000000000C83F80FC34408000000000002837
+:103AF000098036320000F10E000000D8020000D22A
+:103B000000003E0304000080028092BC1800000008
+:103B1000000000F8730A03393E033600000000C0BD
+:103B200002003692EA05F20404010080824D90BC46
+:103B300000000000000000EC0F00153200FE1F0026
+:103B4000000000F00F003732F0FF0000000000E836
+:103B50000F00363298050000000000F40F003632E6
+:103B60000000F804000000C84F80FC953623361092
+:103B700004010080824D90BC00000000000000ECB9
+:103B80000F80143200F81F00000000F00F003732E1
+:103B9000C0FF0000000000E80F0036329827000048
+:103BA000000000F40F00363200000000000000C8E2
+:103BB0004F80FC3404000000000000608F4D903AFC
+:103BC0000000BC0E00000080020000D000001D04B8
+:103BD00000000080020000900000FD0480010080D1
+:103BE000024090B600000000000000C86F80FC3466
+:103BF0000000FF0480010080124090B60000000029
+:103C0000000000C85F80FC3400001D04000000803C
+:103C1000020000900000020504010080324090B0D4
+:103C200080011D04000000C88F8DFC910000040578
+:103C300080000080124090B600000505000000C81A
+:103C40007F80FC9500000000000000C87F80FC34ED
+:103C50000000070580000080024090B600000805C3
+:103C6000000000C88F80FC9500000000000000C824
+:103C70008F80FC3400000B0580000080224090B64D
+:103C8000F20E00000000008C0E00363200000D0520
+:103C9000000000C81F81FC95150F00000000008C7B
+:103CA0000E00363200000000000000C81F81FC3406
+:103CB000100000000000004C1F24163800001D04F6
+:103CC000000000501F00F59C000012059F000080BE
+:103CD000020090B20000000000000008094090328D
+:103CE000000000000000000409C0FD3200000000D8
+:103CF000000000001700F53A8C44000000080000A6
+:103D0000070036320000361004100000078090B221
+:103D10000000000000180000074090320000160567
+:103D200012000040F2C138B418003600000000F85C
+:103D3000730A03F900001D040000008002000090D7
+:103D400000001D04000000EC0340909200001A05E2
+:103D5000B20000D8020000B200000000000201EC36
+:103D600016E46E3A08000000000000F893400139A4
+:103D700000004005171001F802006EB2060025058C
+:103D800004010080828D2FB003000000000000F8C5
+:103D9000828D2F3200C0050E00000028098036D227
+:103DA00000000000000201EC16C06E3C00000000A4
+:103DB000001886C80600003218003600000000F81F
+:103DC000730A03F900002605000000D002000092EB
+:103DD00000002B050419868002806CBC00000000E6
+:103DE0000000000009006E3200000000C10800045D
+:103DF00009006E3200000000C01586780FC06C32DA
+:103E0000000030058001008022802FB600003005C0
+:103E1000001886C806400092000000000040000024
+:103E200009006E3200000000C248000409006E3232
+:103E300000000000C01686780FC06C32000030050C
+:103E40008001008012802FB600000000001886C894
+:103E500006000032004000000000002809803632D1
+:103E6000000038050402018002C06EBC0000050E8F
+:103E7000000201EC16C06EDC0000360580000080F8
+:103E800002802FB600003805810000F822802FB490
+:103E900000003805001886C806400092000038056A
+:103EA000820000F812802FB400000000001886C8BD
+:103EB0000600003200000000001086C80600003234
+:103EC000000000000000000007C00A3200380000B7
+:103ED0000008000007003632000036100410000011
+:103EE000070090B200000000001800000740903268
+:103EF00000003D0512000040F2C138B41800360041
+:103F0000000000F8730A03F900000000170100F830
+:103F1000A2802F3400000000001086A842806C3779
+:103F200000004A051200703802007EB20000361010
+:103F30001200703C02007EB2000036101200703099
+:103F400002007EB2000036101200703402007EB211
+:103F50000000410502010080B2822ABC000000007E
+:103F6000170000D002000032060025050401008081
+:103F7000828D2FB000001F050403018002C06EBCBB
+:103F800000005505000000800200009000004C0574
+:103F90000403018002C06EBC00005505001086C8F5
+:103FA00046802A9600000000001086C846802A3607
+:103FB000000050058000008012802FB603005205DB
+:103FC000220000F8828D2FB200005205001886C82A
+:103FD00006000092000055058000008022802FB668
+:103FE00000000000C20100F802802F3500C0050E5D
+:103FF00000000028098036D200000000000201EC19
+:1040000016C06E3C18003600000000F8730A03F971
+:1040100000000000001001E006802F3200000000C8
+:10402000000000A8E100003400000000A20000FC35
+:10403000020000320000320380010080A2802FB60F
+:1040400000005B05B90100D8028001B20000320314
+:10405000000000F80200009200000000000000389C
+:104060001880F73A0000000000000038F8BF8330E5
+:1040700000005F0504010080F2BD83BC0000320334
+:10408000A90000F80200009200C066051801000CAB
+:10409000A8CD3EB200006205840000741F40F7BA4C
+:1040A00000003203A90000F80200009200000000A6
+:1040B000000000740F00003200C066051801000CFB
+:1040C000A8CD3EB218003600000000F8738A03F94C
+:1040D00000006305000000B0020000920000000034
+:1040E0000000007C0F8083320000000000280000E8
+:1040F000070000320000000000300000070000321E
+:104100000001008000380000070037320000000086
+:10411000003C000C0780833200006B051200004851
+:1041200002C080B200003203A9000008E801009438
+:104130000000730504010080A2C0EDBC5200000025
+:10414000000000740E00363200000000000000C0C5
+:104150000E400132407E0500000000B40E003732F0
+:1041600000000000000000C40E80073264007805E3
+:10417000000000CC0E003692290000000000007400
+:104180000E00363200000000000000C00E40003279
+:10419000A08C0000000000B40E00363200000000C9
+:1041A000000000C40EC0003200000000000000CC7F
+:1041B0000E80023210000000000000E4337BEC3976
+:1041C0001E000001000000E0030037320000000084
+:1041D000000000C86EC0EC3700001D04000000D8CD
+:1041E0000EC0ED927E0500000000008882CD813A6D
+:1041F0007E0500000000008882CD813ABD050000E8
+:104200000018018882CD6E3AC605000000180188AA
+:1042100082CD6E3ACF0500000018018882CD6E3A3B
+:10422000D80500000018018882CD6E3AE105000033
+:104230000018018882CD6E3AEA0500000018018856
+:1042400082CD6E3AF30500000018018882CD6E3AE7
+:10425000FC0500000018018882CD6E3A05060000BA
+:104260000018018882CD6E3A0E0600000018018801
+:1042700082CD6E3A170600000018018882CD6E3A92
+:10428000200600000018018882CD6E3A2906000041
+:104290000018018882CD6E3A3206000000180188AD
+:1042A00082CD6E3A3B0600000018018882CD6E3A3E
+:1042B000440600000018018882CD6E3A4D060000C9
+:1042C0000018018882CD6E3A560600000018018859
+:1042D00082CD6E3A5F0600000018018882CD6E3AEA
+:1042E000680600000018018882CD6E3A7106000051
+:1042F0000018018882CD6E3A7A0600000018018805
+:1043000082CD6E3A830600000018018882CD6E3A95
+:104310008C0600000018018882CD6E3A95060000D8
+:104320000018018882CD6E3A9E06000000180188B0
+:1043300082CD6E3AA70600000018018882CD6E3A41
+:10434000B00600000018018882CD6E3AB906000060
+:104350000018018882CD6E3AC2060000001801885C
+:1043600082CD6E3ACB0600000018018882CD6E3AED
+:10437000D40600000018018882CD6E3ADD060000E8
+:104380000018018882CD6E3AE60600000018018808
+:1043900082CD6E3AEF0600000018018882CD6E3A99
+:1043A000F80600000018018882CD6E3A010700006F
+:1043B0000018018882CD6E3A0A07000000180188B3
+:1043C00082CD6E3A130700000018018882CD6E3A44
+:1043D0001C0700000018018882CD6E3A25070000F6
+:1043E0000018018882CD6E3A2E070000001801885F
+:1043F00082CD6E3A0000F702000000D40200009265
+:1044000000007202000000800200009037070000E8
+:10441000001C018882CD6E3A3C070000001C018818
+:1044200082CD6E3A41070000001C018882CD6E3AB1
+:1044300046070000001C018882CD6E3A4B07000041
+:10444000001C018882CD6E3A50070000001C0188D4
+:1044500082CD6E3A55070000001C018882CD6E3A6D
+:104460005A070000001C018882CD6E3A5F070000E9
+:10447000001C018882CD6E3A64070000001C018890
+:1044800082CD6E3A69070000001C018882CD6E3A29
+:104490006E070000001C018882CD6E3A7307000091
+:1044A000001C018882CD6E3A78070000001C01884C
+:1044B00082CD6E3A7D070000001C018882CD6E3AE5
+:1044C00082070000001C018882CD6E3A8707000039
+:1044D000001C018882CD6E3A0000FC02000000D46E
+:1044E0000200009200001203000000D402000092BB
+:1044F00000003C0900000010088001920000361006
+:1045000000000080020000900000361000000080D3
+:1045100002000090000036100000008002000090B1
+:1045200000003610000000800200009000003610ED
+:1045300000000080020000900000361000000080A3
+:104540000200009000003610000000800200009081
+:1045500000003610000000800200009000003610BD
+:10456000000000800200009000007B0900000010A5
+:1045700008800092000036100000008002000090C9
+:10458000000036100000008002000090000036108D
+:104590000000008002000090000036100000008043
+:1045A0000200009000003610000000800200009021
+:1045B000000036100000008002000090000036105D
+:1045C0000000008002000090000036100000008013
+:1045D00002000090000036100000008002000090F1
+:1045E00000008809000000100880009200003610CA
+:1045F00000000080020000900000361000000080E3
+:10460000020000900000CF09000000100840019255
+:1046100000003610000000800200009000003610FC
+:1046200000000080020000900000361000000080B2
+:104630000200009000003610000000800200009090
+:104640000000361000000080020000900000D70932
+:104650000000001008C0009200003610000000802A
+:10466000020000900000D7090000001008C000926E
+:1046700000003D0C000000100840019200003610C0
+:1046800000000080020000900000D7090000001028
+:1046900008C0009200003610000000800200009068
+:1046A000000036100000008002000090000036106C
+:1046B00000000080020000900000E40900000010EB
+:1046C00008C0009200003610000000800200009038
+:1046D0000000E4090000001008C0009200003D0C3A
+:1046E0000000001008400192000036100000008019
+:1046F000020000900000E4090000001008C00092D1
+:10470000000036100000008002000090000036100B
+:1047100000000080020000900000361000000080C1
+:10472000020000900000E2090000001008C00092A2
+:104730000000361000000080020000900000E20936
+:104740000000001008C0009200003D0C00000010A6
+:104750000840019200003610000000800200009026
+:104760000000E2090000001008C0009200003610AE
+:104770000000008002000090000036100000008061
+:10478000020000900000361000000080020000903F
+:1047900000003610000000800200009000007A0A3D
+:1047A0000000001008C000920000D40900000010B2
+:1047B000080001920000CF0900000010084001929B
+:1047C000000036100000008002000090000036104B
+:1047D0000000008002000090000036100000008001
+:1047E00002000090000036100000008002000090DF
+:1047F000000036100000008002000090000036101B
+:1048000000000080020000900000750A0000001007
+:10481000088000920000D4090000001008000192F6
+:104820000000CF090000001008400192000036107F
+:1048300000000080020000900000361000000080A0
+:10484000020000900000361000000080020000907E
+:1048500000003610000000800200009000003610BA
+:104860000000008002000090000036100000008070
+:10487000020000900000750A00000010080001927C
+:104880000000D40900000010080001920000CF09C8
+:104890000000001008400192000036100000008067
+:1048A000020000900000361000000080020000901E
+:1048B000000036100000008002000090000036105A
+:1048C0000000008002000090000036100000008010
+:1048D00002000090000036100000008002000090EE
+:1048E0000000E40A00000010088000920000D409D3
+:1048F00000000010080001920000CF090000001025
+:104900000840019200003610000000800200009074
+:1049100000003610000000800200009000003610F9
+:1049200000000080020000900000361000000080AF
+:10493000020000900000361000000080020000908D
+:104940000000361000000080020000900000E40A21
+:1049500000000010080001920000D40900000010BF
+:10496000080001920000CF090000001008400192E9
+:104970000000361000000080020000900000361099
+:10498000000000800200009000003610000000804F
+:10499000020000900000361000000080020000902D
+:1049A0000000361000000080020000900000E309C3
+:1049B0000000001008800092000036100000008007
+:1049C000020000900000E30900000010088000923F
+:1049D00000003D0C0000001008400192000036105D
+:1049E00000000080020000900000361000000080EF
+:1049F00002000090000036100000008002000090CD
+:104A00000000361000000080020000900000361008
+:104A100000000080020000900000E3090000001088
+:104A20000800019200003610000000800200009093
+:104A30000000E309000000100800019200003D0C96
+:104A400000000010084001920000361000000080B5
+:104A5000020000900000361000000080020000906C
+:104A600000003610000000800200009000003610A8
+:104A7000000000800200009000003610000000805E
+:104A8000020000900000361000000080020000903C
+:104A900000008C0700000010080001920000361092
+:104AA000000000800200009000008C070000001051
+:104AB00008400192000036100000008002000090C3
+:104AC0000000361000000080020000900000361048
+:104AD00000000080020000900000361000000080FE
+:104AE00002000090000036100000008002000090DC
+:104AF00000005E0C00000010084001920000540C01
+:104B0000000000100840019200005E0C0000001040
+:104B1000084001920000CF090000001008400192F7
+:104B200000003610000000800200009000005E0CC3
+:104B300000000010084001920000361000000080C4
+:104B4000020000900000361000000080020000907B
+:104B50000000810900000010084000920000810957
+:104B60000000001008800092000081090000001081
+:104B700008C00092000081090000001008000192A6
+:104B80000000860900000010084001920000810921
+:104B90000000001008800192000081090000001050
+:104BA00008C0019200003610000000800200009052
+:104BB0000000361000000080020000900000361057
+:104BC00000000080020000900000490B000000106F
+:104BD000088000920000490B0000001008C00092FD
+:104BE0000000490B00000010080001920000CF09EE
+:104BF0000000001008400192000036100000008004
+:104C0000020000900000490B0000001008C0019253
+:104C100000003610000000800200009000003610F6
+:104C200000000080020000900000361000000080AC
+:104C3000020000900000361000000080020000908A
+:104C400000003610000000800200009000003610C6
+:104C500000000080020000900000680C00000010BE
+:104C60000840019200003610000000800200009011
+:104C70000000361000000080020000900000361096
+:104C8000000000800200009000003610000000804C
+:104C9000020000900000D80C0000001008400192B3
+:104CA0000000DB0C000000100840019200004C0CDA
+:104CB00000000010084001920000DB0C0000001012
+:104CC0000840019200008C0700000010084001928B
+:104CD0000000361000000080020000900000DB0C95
+:104CE000000000100840019200008D070000001035
+:104CF00008000292000036100000008002000090C0
+:104D00000000361000000080020000900000DC0C63
+:104D1000000000100840019200004C0C0000001040
+:104D2000084001920000DC0C0000001008400192D5
+:104D300000008C07000000100840019200003610AF
+:104D400000000080020000900000DC0C0000001059
+:104D50000840019200003610000000800200009020
+:104D600000003610000000800200009000003610A5
+:104D700000000080020000900000E10C0000001024
+:104D8000088000920000E10C0000001008C00092B2
+:104D90000000E10C00000010080001920000CF09A3
+:104DA0000000001008400192000036100000008052
+:104DB000020000900000E10C0000001008C0019209
+:104DC0000000361000000080020000900000361045
+:104DD00000000080020000900000361000000080FB
+:104DE00002000090000036100000008002000090D9
+:104DF0000000361000000080020000900000361015
+:104E000000000080020000900000361000000080CA
+:104E10000200009000006A090000001008400092A3
+:104E200000003610000000800200009000003610E4
+:104E3000000000800200009000003610000000809A
+:104E40000200009000003610000000800200009078
+:104E50000000F10C00000010088000920000F10C2E
+:104E60000000001008C000920000F10C00000010CB
+:104E7000080001920000CF090000001008400192D4
+:104E80000000361000000080020000900000F10CCD
+:104E90000000001008C001920000361000000080E1
+:104EA0000200009000003610000000800200009018
+:104EB0000000361000000080020000900000050D88
+:104EC00000000010088000920000050D0000001096
+:104ED00008C000920000050D0000001008000192BB
+:104EE0000000CF09000000100840019200003610B9
+:104EF00000000080020000900000050D000000107E
+:104F000008C00192000036100000008002000090EE
+:104F100000008C070000001008000092000036100E
+:104F2000000000800200009000008C0700000010CC
+:104F3000088000920000130D0000001008C00092CD
+:104F400000008C07000000100800019200008C0790
+:104F500000000010084001920000361000000080A0
+:104F60000200009000003610000000800200009057
+:104F70000000361000000080020000900000361093
+:104F80000000008002000090000036100000008049
+:104F90000200009000008C070000001008800092C2
+:104FA0000000210D000000100880009200008C0716
+:104FB000000000100800019200008C0700000010A3
+:104FC00008400192000036100000008002000090AE
+:104FD0000000361000000080020000900000361033
+:104FE00000000080020000900000361000000080E9
+:104FF00002000090000036100000008002000090C7
+:1050000000008C0700000010088000920000210DB5
+:10501000000000100800019200008C070000001042
+:105020000800019200008C07000000100840019267
+:1050300000003610000000800200009000003610D2
+:105040000000008002000090000036100000008088
+:105050000200009000003610000000800200009066
+:1050600000003610000000800200009000003610A2
+:10507000000000800200009000008C07000000107B
+:1050800008800092000036100000008002000090AE
+:1050900000008C070000001008400192000036104C
+:1050A0000000008002000090000036100000008028
+:1050B0000200009000003610000000800200009006
+:1050C0000000361000000080020000900000361042
+:1050D00000000080020000900000FD0C00000010A5
+:1050E000088000920000FD0C0000001008C0009233
+:1050F0000000FD0C00000010080001920000CF0924
+:1051000000000010084001920000361000000080EE
+:10511000020000900000FD0C0000001008C0019289
+:1051200000003610000000800200009000003610E1
+:105130000000008002000090000036100000008097
+:105140000200009000003610000000800200009075
+:1051500000003610000000800200009000003610B1
+:1051600000000080020000900000310D00000010DF
+:10517000080002920000361000000080020000903B
+:105180000000361000000080020000900000361081
+:105190000000008002000090000036100000008037
+:1051A0000200009000003610000000800200009015
+:1051B000000088090000001008C0019200003610AD
+:1051C0000000008002000090000036100000008007
+:1051D000020000900000CF0900000010084001927A
+:1051E0000000361000000080020000900000C1099D
+:1051F0000000001008C0019200003610000000807E
+:1052000002000090000036100000008002000090B4
+:1052100000003610000000800200009000008809A5
+:10522000000000100880009200003610000000808E
+:105230000200009000003610000000800200009084
+:105240000000CF0900000010084001920000361055
+:1052500000000080020000900000C1090000001062
+:1052600008C001920000361000000080020000908B
+:105270000000361000000080020000900000361090
+:10528000000000800200009000006F0B0000001082
+:10529000088000920000361000000080020000909C
+:1052A00000006F0B000000100880009200003D0C11
+:1052B000000000100840019200003610000000803D
+:1052C0000200009000006F0B0000001008800092A8
+:1052D0000000361000000080020000900000361030
+:1052E00000000080020000900000361000000080E6
+:1052F0000200009000006F0B0000001008000192F7
+:1053000000003610000000800200009000006F0BCB
+:10531000000000100800019200003D0C0000001089
+:10532000084001920000361000000080020000904A
+:1053300000006F0B00000010080001920000361002
+:105340000000008002000090000036100000008085
+:105350000200009000003610000000800200009063
+:1053600000006F0B000000100800019200003610D2
+:10537000000000800200009000006F0B0000001091
+:105380000800019200003D0C00000010084001924E
+:1053900000003610000000800200009000006F0B3B
+:1053A000000000100800019200003610000000808C
+:1053B0000200009000003610000000800200009003
+:1053C00000003610000000800200009000006F0B0B
+:1053D00000000010088000920000361000000080DD
+:1053E0000200009000006F0B000000100880009287
+:1053F00000003D0C00000010084001920000361033
+:10540000000000800200009000006F0B0000001000
+:10541000088000920000361000000080020000901A
+:1054200000003610000000800200009000003610DE
+:105430000000008002000090000036100000008094
+:105440000200009000003610000000800200009072
+:1054500000006F0B0000001008C0019200003D0C1E
+:10546000000000100840019200003610000000808B
+:105470000200009000006F0B0000001008C00192B5
+:10548000000036100000008002000090000036107E
+:105490000000008002000090000036100000008034
+:1054A000020000900000D70B00000010088000925E
+:1054B000000036100000008002000090000036104E
+:1054C000000000800200009000008C070000001027
+:1054D0000840019200003610000000800200009099
+:1054E0000000D70B0000001008800092000036106A
+:1054F00000000080020000900000361000000080D4
+:1055000002000090000036100000008002000090B1
+:105510000000D70B00000010088000920000361039
+:1055200000000080020000900000361000000080A3
+:105530000200009000008C0700000010084001925B
+:105540000000361000000080020000900000D70B21
+:105550000000001008C0019200003610000000801A
+:105560000200009000003610000000800200009051
+:10557000000036100000008002000090000036108D
+:105580000000008002000090000036100000008043
+:105590000200009000003610000000800200009021
+:1055A00000008C0700000010084001920000361037
+:1055B00000000080020000900000DF0B00000010DF
+:1055C00008C0019200003610000000800200009028
+:1055D000000036100000008002000090000036102D
+:1055E00000000080020000900000361000000080E3
+:1055F00002000090000036100000008002000090C1
+:1056000000003610000000800200009000008C07AF
+:1056100000000010084001920000361000000080D9
+:10562000020000900000DF0B0000001008800092D4
+:1056300000003610000000800200009000003610CC
+:105640000000008002000090000036100000008082
+:105650000200009000003610000000800200009060
+:10566000000036100000008002000090000036109C
+:1056700000000080020000900000C30C0000001039
+:1056800008400192000036100000008002000090E7
+:10569000000036100000008002000090000036106C
+:1056A000000000800200009000009407000000103D
+:1056B00008400092000036100000008002000090B8
+:1056C000000036100000008002000090000036103C
+:1056D00000000080020000900000361000000080F2
+:1056E00002000090000036100000008002000090D0
+:1056F0000000E6070000001008800092000036104D
+:1057000000000080020000900000361000000080C1
+:105710000200009000009B080000001008000192A9
+:105720000000361000000080020000900000930787
+:1057300000000010080001920000A5080000001001
+:10574000080001920000A508000000100800019266
+:105750000000A508000000100800019200003610AB
+:105760000000008002000090000036100000008061
+:10577000020000900000F507000000100880009271
+:105780000000361000000080020000900000930727
+:105790000000001008000192000036100000008098
+:1057A000020000900000361000000080020000900F
+:1057B00000000308000000100880009200009A0812
+:1057C0000000001008800092000093070000001005
+:1057D00008000192000036100000008002000090D6
+:1057E0000000BB0800000010084000920000BB0849
+:1057F00000000010088000920000BB0800000010AC
+:1058000008C00092000093070000001008000192F9
+:1058100000003610000000800200009000003610EA
+:1058200000000080020000900000E008000000106E
+:1058300008C00092000036100000008002000090B6
+:1058400000009307000000100800019200003610CD
+:105850000000008002000090000036100000008070
+:10586000020000900000E208000000100800019211
+:105870000000E208000000100800019200009307F9
+:1058800000000010080001920000361000000080A7
+:10589000020000900000361000000080020000901E
+:1058A0000000E40800000010088000920000E408F6
+:1058B0000000001008C000920000930700000010D4
+:1058C00008000192000036100000008002000090E5
+:1058D0000000930700000010084000920000B1088B
+:1058E00000000010088000920000B10800000010C5
+:1058F00008C0009200009307000000100800019209
+:1059000000009307000000100800009200009307B9
+:1059100000000010084000920000F808000000108D
+:10592000088000920000F8080000001008C00092F3
+:1059300000009307000000100800019200003610DC
+:10594000000000800200009000003610000000807F
+:105950000200009000002C09000000100880009256
+:10596000000093070000001008C000920000930799
+:1059700000000010080001920000361000000080B6
+:10598000020000900000361000000080020000902D
+:1059900000000C0900000010088000920000361082
+:1059A000000000800200009000009307000000103B
+:1059B00008000192000036100000008002000090F4
+:1059C0000000361000000080020000900000F40784
+:1059D00000000010088000920000361000000080D7
+:1059E00002000090000093070000001008000192E0
+:1059F0000000361000000080020000900000361009
+:105A0000000000800200009000002009000000104B
+:105A100008800092000020090000001008C00092D9
+:105A200000009307000000100800019200003610EB
+:105A3000000000800200009000003610000000808E
+:105A4000020000900000EF080000001008800092A3
+:105A50000000EF080000001008C00092000093074B
+:105A600000000010080001920000361000000080C5
+:105A7000020000900000361000000080020000903C
+:105A80000000390900000010088000920000390968
+:105A90000000001008C000920000930700000010F2
+:105AA0000800019208003103001801E8762081996E
+:105AB00008002F03001801E8762081990000990F53
+:105AC00000000080020000F0080091071D1901E8A5
+:105AD000762081B900003103000000F862812F9523
+:105AE000000031038000008002812FB62A003103BC
+:105AF000D001002C82CD2E9208003103001C01E859
+:105B00007620819900000000000000D802000032D9
+:105B100000000000000E01EC06C06E3554000000CD
+:105B2000000000000700363200000000000000BC4A
+:105B3000A8002D37B44400000008000087CD8B3A40
+:105B4000000000000000007899C02C37B40000006D
+:105B500000000078898D973A00003610021000008E
+:105B600087BF97BA00000000001800000740FE320F
+:105B700000009D0712000040F2C138B40000000090
+:105B80000090007809006E320000361004A000007A
+:105B900009806EB20000A20704A5000409806EB25D
+:105BA0000000000000000004090090320000A4077B
+:105BB00004010004096490BC00000000000000041F
+:105BC00009400032080000006E3402E816249039C3
+:105BD0000000A507B71002E0068097B20000A807F2
+:105BE00080000080F280FCB60000A907000000C819
+:105BF000FF80FC940000AA079F990080821BEEBCE6
+:105C000000000000009800E00E006E32000000006E
+:105C1000A70000800200003018003600000000F8E5
+:105C2000730A03F9000000000010021C09006E3224
+:105C30004000AF070601008082CD91BC00C0B007D4
+:105C4000001802E00680369200E00000001802E032
+:105C50000680363200000000000000200980033278
+:105C60000000B30780D7018032C06EB6000000008C
+:105C7000000000204900923A00000000009801183E
+:105C800009006E3200000000000A022409C06E32D2
+:105C90000000000000C0012809806E320000C1072A
+:105CA000800E018012C06EB602000000003C02ECC3
+:105CB0000600363200000000000000004901923A60
+:105CC0000000BD0780D6018042C06EB60082000091
+:105CD000001002E0A6CD913200A00000002C02E8E6
+:105CE000060036322800CB07003A02EC0600369256
+:105CF00000000000D301001CD9C1913400820000D3
+:105D0000001002E0A6CD913200A00000002C02E8B5
+:105D1000060036323400CB07003A02EC0600369219
+:105D200004000000003C02EC0600363228000000AF
+:105D300000000000890D923A0000C70780D601805C
+:105D400042C06EB600860000001002E0A6CD91327F
+:105D500004A00000002C02E8060036321400CB0735
+:105D6000003A02EC0600369200000000D301001C4D
+:105D7000D9C1913400860000001002E0A6CD913216
+:105D800004A00000002C02E8060036322000CB07F9
+:105D9000003A02EC0600369212000000003802ECD5
+:105DA00086CD913A08000000002802E88624903948
+:105DB00000000000002002E09624143700000000DC
+:105DC000004001E0068091320000D107040100800C
+:105DD000028092BC0000000000C001E0060000321A
+:105DE00000000000003000E006000032000000006B
+:105DF00000B000E0060000322000000000000000BB
+:105E0000070036320000000000000078A9002D379E
+:105E10000045000000080000878D973A0000000050
+:105E20000000007899C02C370001000000000078C5
+:105E3000898D973A000036100210000087BF97BA8C
+:105E400000000000001800000740FE320000DA07E2
+:105E500012000048F2C138B40000DE0780D7012CE0
+:105E600009C06EB200000000DAD701EC06C06E3542
+:105E700000000000005A01EC0640ED320000000076
+:105E8000005C01E806808B320000E10780010080A1
+:105E900062C092B600000000000000F882812F343A
+:105EA00018003600000000F8730A03F90000000033
+:105EB0000004013808C06E3200000000006201ECEE
+:105EC00006808332010093071201002C82CD2EB28E
+:105ED0000000E407000000800200009000000000C5
+:105EE000005401FC02C06E3200000000000000D827
+:105EF0000280013200C0EC071801000CA8CD3EB2B0
+:105F00002080000000000008088036322D002F039A
+:105F10001201002C82CD2EB20000EA0700000080A2
+:105F200002000090000000000062013808C06E32DC
+:105F300000080080000000280900373200604B0F85
+:105F400000000008088036F200009307040601EC08
+:105F500016C06EBC000093078000008072812FB6CF
+:105F600000000000000000F872812F343D0093070C
+:105F70001201002C82CD2EB20000F207000000803A
+:105F8000020000900000F507000000F8B2812F9495
+:105F90000000CF0F00A0001808006EF200002510CE
+:105FA0000078016008006EF20000F907120100C8D5
+:105FB000020020B20000FC070000008002000090F8
+:105FC000000006081201005C088020B20000FC07F7
+:105FD0001201006002802CB20000FA07000000806D
+:105FE000020000900000FE0704000080024080BC18
+:105FF00000000000000000F81F80FF3A00000008C9
+:1060000080010080A2802FB618003600CA0000F878
+:10601000730A03F9000093078000008072812FB695
+:106020003D0001081200002C82CD2EB20000930723
+:10603000000000F872812F940000FC07120000C8D5
+:10604000020020B20000FA071200005C088020B2B3
+:106050000000361004A0001808006EB20000000016
+:106060000000007879613832000007081218024CED
+:10607000E2256EB2080000000010020078E16E39DF
+:106080000000000000180020070000320700000098
+:106090000000003878CAE939000036100400003CDE
+:1060A000084080B2000036100490006C08006EB208
+:1060B000000000000098004C08006E320000000054
+:1060C000510000D802000032000000004D00000026
+:1060D00067E0833E000000000008000007008032F7
+:1060E000000000000010000007C086320000000021
+:1060F0000018000007C084320000000000000018F3
+:10610000D8A0813C0000680804B000E0D6206EBC36
+:10611000000038080400003CD8E083BC00001E08E2
+:106120008000008092802FB6000019081201000044
+:1061300009C021B218003600000000F8730A03F904
+:106140001D0000000000007809A4173800001D0899
+:1061500004010080128097BC00001808670000F856
+:10616000A2802FB5000019080000000009C021928C
+:1061700000000000C90100D802408432000021085C
+:106180000400008072802DBC00001F081200004433
+:10619000E2E038B200002C08510000D812802D9A9D
+:1061A0000000000000000078F9818334000022081C
+:1061B00012000044E2E538B20000260880000080AA
+:1061C00082802FB60000550F00A0015008006EF22B
+:1061D0000000000000F801E00600853200002808F9
+:1061E000120100E802C021B218003600000000F8D9
+:1061F000730A03F900002B080401008002802DBC03
+:1062000000002708670000F8A2802FB500003610B4
+:10621000120000E802C021B200000000510000D8C6
+:1062200002000032000030082A010000D82080BAA5
+:10623000000030081201000009C021B21800360029
+:10624000000000F8730A03F900000000000000D805
+:106250000240843200000000CAE0006C08006E3288
+:106260000000000000E8004C08006E32000036100C
+:1062700004F0001808006EB20000000000000038B2
+:106280001881833500000F0804B00080829B81BC18
+:1062900000000000CA0100F842802F3508A00F0856
+:1062A00012010040A2CD39B2000036080000008083
+:1062B0000200009000004008293402B808806EB245
+:1062C00000003B081201000009C021B2180036008E
+:1062D000000000F8730A03F91D00000000000078B8
+:1062E00009A4173800003F0804010080128097BC01
+:1062F00000003A08670000F8A2802FB500003B08B4
+:106300000000000009C0219200000000C90100D86F
+:10631000024084320000000000000078F9818334DC
+:106320000000410812000044E2E538B200004708CE
+:106330002800006CD8E086BA0000540F00A001507D
+:1063400008006EF2000047081DF801E0060085B263
+:10635000000047088000008002812FB62A0000005C
+:10636000D001002C82CD2E3200004A0804A000E0AB
+:10637000068081B200003610049000E006C086B2AC
+:1063800000005808009800E006C0849200004F0802
+:1063900080010080A2802FB600004D08120100008D
+:1063A00009C021B218003600000000F8730A03F992
+:1063B0001D004F080401008002A417B800004C081B
+:1063C000000000F8E2802F940000361004E0006C1A
+:1063D00008006EB200000000CAE8004C08006E32EF
+:1063E0000000361004F0001808006EB200005508D6
+:1063F00004B00080829B81BC00000000CA0100F84C
+:1064000042802F3508A0540812000040A2CD39B2B6
+:106410000000000000A000E00680813200000000C3
+:10642000009800E006C0843200003610049000E0BE
+:1064300006C086B200005D082A5D01E806808BB2C6
+:1064400000005B081201000009C021B218003600EC
+:10645000000000F8730A03F91D005D0804010080C4
+:1064600002A417B800005A08000000F8E2802F9438
+:1064700010246008370000F8A28D2FB13D005E089F
+:106480001200002C82CD2EB200000000000000F8A7
+:1064900072812F3408000000CA1C01E8762081397F
+:1064A0000000FA0D0000002CF90100F4000065085E
+:1064B00080000080E2802FB6000065081201000015
+:1064C00009C021B218003600000000F8730A03F971
+:1064D000100000000018008067A1733930003203FB
+:1064E0001201005CA28D2CB200003610000000806A
+:1064F0000200009000006B088000008092802FB6A0
+:1065000018003600000000F8730A03F900000000CC
+:10651000C90100D802408432000036102A000078F9
+:10652000F98183B400006C0812000044E2E538B23F
+:106530000000DC0E00000030030038F2000071089B
+:106540001D000038188183B50000710880000080AC
+:1065500002812FB62A000000D001002C82CD2E32FD
+:1065600000007408040601EC16C06EBC00000000B8
+:10657000CA0100F842802F3408C07308120000409E
+:10658000A2CD39B2000077088000008082802FB64B
+:106590000000550F00A0015008006EF2000000003E
+:1065A00000F801E0060085320000790812010000C1
+:1065B00009C021B218003600000000F8730A03F980
+:1065C000000095082A3502B808806EB200007C08E9
+:1065D0001201000009C021B218003600000000F8C6
+:1065E000730A03F900000000000000F8A2802F35B4
+:1065F00000008E0804000080026180BC0000870853
+:1066000080B8000009C06EB240008208040000801B
+:10661000820D90BC0000820802B00080821B84BC06
+:1066200000008708000000F8B2812F9400000000ED
+:1066300000D601EC56C06E3400000000000000607F
+:106640001800863A0000000000000080B70178348E
+:1066500000000000007801E0060086324000950846
+:1066600004000080820D90BC0000361004A00018C9
+:1066700008006EB20000CF0F00000000D82080FAA2
+:10668000000036100600003C182084BC00003610C4
+:1066900004B0003C88DB83BE0000000000000080E6
+:1066A000F720783A00000000587801E0F620863A9A
+:1066B00000000C0800000004F860809A00009108B7
+:1066C00080B9000009C06EB22F0095081201002C9D
+:1066D00082CD2EB200008F080000008002000090E2
+:1066E0004000930804010080820D90BC380094089B
+:1066F00000000078090036923900000000000078A0
+:1067000009003632000094081200002CE2E52EB297
+:10671000100000000018008067A17339000000001D
+:10672000005C01E806808B3210240000000000F8B5
+:10673000A28D2F31300093071201005CA28D2CB284
+:1067400000003610000000800200009000000308E6
+:10675000000000F8C2812F9500000000005401FCE9
+:1067600002C06E3200000000000000D8028001323A
+:1067700000C0A1081801000CA8CD3EB22080000086
+:1067800000000008088036322D002F031201002C73
+:1067900082CD2EB200009F08000000800200009011
+:1067A000000000000062013808C06E32000800805E
+:1067B000000000280900373200604B0F000000087D
+:1067C000088036F20000AF08000000800200009050
+:1067D0000000A70880000080C2812FB60000AA0830
+:1067E00000D001E80600009200000000000000F860
+:1067F000C2812F350000AA0804D1018002806EBC3E
+:106800000000000000D601EC26C06E340000AC0889
+:106810008000008092812FB60000AF0800C801E818
+:106820000600009200000000000000F892812F3561
+:106830000000AF0804C9018002806EBC00000000A7
+:1068400000D601EC16C06E34110093071201002C23
+:1068500082CD2EB20000AF08000000800200009040
+:10686000000093079A0100F842812FB50000B80894
+:10687000120100C8020020B200000000005C01EC20
+:106880000640003200009307370000F842812FB421
+:1068900000000000000000F872812F343D009307D3
+:1068A0001201002C82CD2EB20000B608000000803C
+:1068B000020000900000C3081201005C088020B2B2
+:1068C0000000B3081201006002802CB200003610F4
+:1068D00000000080020000900000C008120100C803
+:1068E000020020B200009307370000F8D2812FB4D5
+:1068F00000000000000000F872812F343D00930773
+:106900001201002C82CD2EB20000BE0800000080D3
+:10691000020000900000C3081201005C088020B251
+:106920000000BC081201006002802CB2000036108A
+:1069300000000080020000900000000000000078CD
+:10694000796138320000C4081218024CE2256EB298
+:1069500000000000003402B808806E320000000021
+:1069600000A0015008006E320000000000780160B5
+:1069700008006E320000CA089D11023409006EB290
+:106980000000000000F0018808006E3200006C0F6B
+:1069900000A8010809006EF200000000D4F801E030
+:1069A0000600853200000000DA5C01E806808B32C8
+:1069B0000000DC0EDD000030030038F20000D008DB
+:1069C0002329020409806EB23E00CF081200002C79
+:1069D00082CD2EB20800D3081D1C01E8762081B9B3
+:1069E0000000D3088000008002812FB62A0000003A
+:1069F000D001002C82CD2E320000FA0D0000002CB8
+:106A0000F90100F40000D7089D010080074093B20F
+:106A10000000000000300080078088320000000085
+:106A2000003800800700EE320000000000080080FF
+:106A300007C085320000000000100080074090323F
+:106A40001000000000180080878D853700000000CE
+:106A5000002000800700863200000000002800802F
+:106A6000070085320000DE081201000009C021B2D3
+:106A700018003600000000F8730A03F930003203F2
+:106A80001201005CA28D2CB20000361000000080C4
+:106A9000020000900000000000CC017809806E32F6
+:106AA00000009307DCD101E806809792130093075A
+:106AB0001201002C82CD2EB20000E20800000080FE
+:106AC000020000900000BA0D00000018094081F299
+:106AD0000000A30D00A8012009006EF2000093073A
+:106AE00080010080F2802FB60000EC08120100C87F
+:106AF000020020B2000093078000008072812FB650
+:106B000000000000000000F872812F343D00930760
+:106B10001201002C82CD2EB20000EA080000008095
+:106B2000020000900000C3081201005C088020B23F
+:106B30000000E8081201006002802CB2000036104C
+:106B4000000000800200009000009307350100F86B
+:106B500012812FB500000000000000D80280013231
+:106B600000000000005401FC02C06E3200C0F608B4
+:106B70001801000CA8CD3EB220800000D101000811
+:106B8000088036323B0031031201002C82CD2EB238
+:106B90000000F40800000080020000900000440F94
+:106BA0000098012809006EF20000930700000080A1
+:106BB000020000900000FE0880010080A2812FB634
+:106BC0000000FE088000008042812FB60000FE0811
+:106BD000085B01EC06FB6EBC00000000005A01ECF3
+:106BE000060000320000FE08370000F842812FB492
+:106BF0003D000000D701002C82CD2E320000040998
+:106C00008001008092812FB600000A0908C901E8BE
+:106C100006BB6EBC0000000000C801E806000032A0
+:106C2000330001091200002C82CD2EB20000510F5A
+:106C300000000028098001F2000093070000008096
+:106C40000200009000000A0980010080C2812FB676
+:106C500000000A0908D101E806BB6EBC0000000074
+:106C600000D001E806000032330007091200002CB2
+:106C700082CD2EB20000510F0000002809C001F2A1
+:106C800000009307000000800200009000009307BE
+:106C900080010080F2812FB6180093070000002CBD
+:106CA00082CD2E9200000F09120000C8020020B20F
+:106CB000000012091201005C088020B200003610AA
+:106CC0001200006002802CB200000000000000F8FA
+:106CD0001F80FF3A000031031201002C72E02EB237
+:106CE0000000100900000080020000900000000079
+:106CF0000000007879613832000013091218024C44
+:106D0000E2256EB200000000003402B808806E3246
+:106D100000000000D4A0015008006E320000000006
+:106D2000DB79016008006E320000550FDD000004C1
+:106D3000080000F21000000000180080878D8537E1
+:106D40000000000000F801E00600853200001C0988
+:106D50001201000009C021B218003600000000F83E
+:106D6000730A03F9300036101200005CA28D2CB2B9
+:106D700000003610040701EC16C06EBC00000000D5
+:106D800000B000E00600003200009307DA5C01E882
+:106D900006808B92000093079F41018052206EBCB9
+:106DA00000002B099F98018052206EBC000000005B
+:106DB000000000D80280013200000000005401FCF5
+:106DC00002C06E3200C029091801000CA8CD3EB2E5
+:106DD0002080930731000008088036B200000000D0
+:106DE000000000F812812F343B0093071201002CA1
+:106DF00082CD2EB200002709000000800200009022
+:106E00000000440F0098012809006EF2000093076B
+:106E1000000000800200009000009307D54101E0CF
+:106E2000064081920000930704B0008002006EBC0F
+:106E3000000000000090010008006E3200002510E4
+:106E40000078016008006EF20000930700000080E7
+:106E50000200009000000000000C027809806E32F1
+:106E60000000330904D4018012C06EBC0000000091
+:106E7000000000781980973700000000009001E0C2
+:106E8000E6256E3A0000251000000080020000F0A8
+:106E90000000370900000080020000900000930706
+:106EA000009001E00600809200000000009001E0E8
+:106EB00006008032000003080000008002000090FD
+:106EC0000000A30D00A8012009006EF20000E708F1
+:106ED00080000080F2802FB6000093070000008041
+:106EE0000200009000000000000000D80280013283
+:106EF000000000000000007809006E320200410925
+:106F000004B9008082CD6EBC00004309800000807F
+:106F10007280FCB600004509000000FC02000092EF
+:106F200000004309800000808280FCB60000450913
+:106F3000000000FC0200009200000000000000A819
+:106F400042BD973000000000541889FCF2C07C302C
+:106F500000C04B091801000CA8CD3EB20000000093
+:106F6000000E01EC0600003400000000005401ECAB
+:106F700006C02F3220800000000000080880363252
+:106F8000000031031201002C82CD2EB2000049090D
+:106F90000000008002000090000000000062013844
+:106FA00008C06E3200080080000000280900373257
+:106FB00000004B0F00000008E80100F4000036104C
+:106FC000040701EC16C06EBC00000000000000A821
+:106FD000A2002D370A0000000000007809003632B8
+:106FE00000000000001889E007000032000051098D
+:106FF00004010078198097BC02005C0904B9008084
+:1070000082CD6EBC00000048D6010078C9CD2C327C
+:1070100000005509B6000080020000B000005609CB
+:1070200012000064028097B2000057091208006441
+:1070300002006EB2000058091218006402006EB21D
+:10704000000059091210006402006EB20000000036
+:10705000A65401EC06C02F3200008C07000E01EC94
+:10706000060000940020004CD6010078C9CD2C32D7
+:1070700000005D09B6000080020000B000005E095B
+:1070800012000064028097B200005F0912080064D9
+:1070900002006EB2000060091230006402006EB29D
+:1070A000000061091238006402006EB2000062093B
+:1070B0001240006402006EB20000630912480064CE
+:1070C00002006EB2000064091210006402006EB289
+:1070D000000065091218006402006EB20000660923
+:1070E0001220006402006EB20000670912280064DA
+:1070F00002006EB200000000A65401EC06C02F3260
+:1071000003008C07000E01EC060036920000000020
+:10711000000000FC0200013200006D0900000014B4
+:1071200008803D9200000000000000FC02000132D7
+:1071300000007009040000DC53603DB3180000003B
+:10714000000000F8738A03396C093600000000C0A3
+:107150000200369200000000005401FC02C06E32B2
+:1071600000000000000000D80280013200C0760953
+:107170001801000CA8CD3EB22080000000000008DD
+:107180000880363215002F031201002C82CD2EB25A
+:107190000000740900000080020000900000000060
+:1071A000002800000700003200000000003000004E
+:1071B00007C02C3200100082003800000700373270
+:1071C000000079091200004802C080B200008C075C
+:1071D000CA010008E80100942D007B091200002C70
+:1071E00082CD2EB200007E091D010080020000B099
+:1071F00000008C07000000F862812F95000000005D
+:10720000000000F802812F342A008C071201002CA4
+:1072100082CD2EB200007F090000008002000090A5
+:1072200000003F0F0000002C09C085D20000DC0EDA
+:1072300000000030030038F200003103230100F8A1
+:1072400022812FB43E0031031201002C82CD2EB2D8
+:1072500000008409000000800200009000003F0F41
+:107260000000002C09C085D200003103000000F8A6
+:1072700022812F9400008D09380100D8028001B2CC
+:1072800000008B091E000080020000B000008D0984
+:107290001A010080020000B000008C0F000000689E
+:1072A0001F80F6FA00003103000000800200009009
+:1072B0000000910912010060084023B20082000022
+:1072C000000000080880363200008C0F00000064C7
+:1072D0001F40F6FA00003103000000800200009019
+:1072E0000000361012000024080023B200003610FF
+:1072F0001200002008C023B200003610120000184F
+:10730000088023B200C09C091801000CA8CD3EB231
+:107310000000940912000038028081B2000036108B
+:107320001200003C020082B2000036101200003051
+:10733000024082B20000361012000034020086B211
+:1073400020800000000000080880363200008C0F0A
+:107350000000005C1FC0F5FA00003103000000804F
+:107360000200009000000000450000D8020000323A
+:107370000000000000000000074080320000000014
+:1073800000100000074082320000000000180000DA
+:10739000070086320000A00912000050F2C138B484
+:1073A0000000640D003001E016206EFA0000A5090F
+:1073B0003801002CF8010BB40000A509020D028071
+:1073C000A25B80BC000000000000002CC8C1823419
+:1073D0000000A7098000008042812FB60000940DB4
+:1073E00000000080020000F00000AD0D00A801E0E8
+:1073F00016206EFC0000AC09270100D8028001B203
+:1074000000000000C700002CE8C08234000000002B
+:1074100000000008D801003400000000D54001E061
+:10742000060087320800990F001801E8762081F9DC
+:107430000000DC0E00000030030038F20000B0094C
+:1074400023190000078081B23E00AF091200002C12
+:1074500082CD2EB20000B2091D210000070082B2C9
+:107460000000B409000000F862812F950000B40903
+:107470008000008002812FB62A000000D001002C7D
+:1074800082CD2E320000FA0D0000002CF90100F42C
+:107490001000B8092C30000017E02CB90000BA0920
+:1074A0008E39000007C082B20000BA09000800004F
+:1074B000070087920000BA098E390000B7C182B474
+:1074C0000000000000080000070087320000BC092F
+:1074D000120100E802C021B218003600000000F8D6
+:1074E000730A03F90000BA099F010014184081BC17
+:1074F0000000BF090400008002C085BC00003610F7
+:107500001200006802C585B00000BF0912000048E3
+:1075100002C080B200003203CA010008E8818094F2
+:107520000000C3091E000080020000B00000C50971
+:107530001A010080020000B000008C0F00000068FB
+:107540001F80F6FA00003103000000800200009066
+:10755000000036109FA801E016206EBC0000640DEC
+:1075600000000014080000F20000C909800000803B
+:1075700042812FB60000940D00000080020000F050
+:107580000000AD0D00000080020000F000008E073A
+:1075900004000080024081BC0000CD09120100E817
+:1075A00002C021B218003600000000F8730A03F987
+:1075B00000008E071201006802C585B00000361079
+:1075C000000000800200009000008C078000008016
+:1075D000F2C185B60000D3091C41028006C085B205
+:1075E000000000000000006802C5853000000000B7
+:1075F000000000701F00F73A00008C07000000F840
+:1076000022812F9400008C0780000080F2C185B693
+:1076100000003F0F0000002C09C085D2000031039C
+:10762000D20100941E40E99A0000C40F0020001807
+:1076300008006EF20000DB091F000080020000B0AD
+:107640000000D8099E400278094068B20000361058
+:1076500000000080020000900000DF09800100802F
+:1076600082812FB600008E072A3101E0060000B2A9
+:1076700018000000CA0000F8730A03398E073600AC
+:10768000000000C00200369200008E0780010080DA
+:10769000A2802FB618000000CA0000F8730A033950
+:1076A0008E073600000000C0020036920D00E5098A
+:1076B00000000058080036920000E509000000585C
+:1076C000080000921B00000000000058080036323D
+:1076D0000000C40F0020001808006EF20000000037
+:1076E0000030002808006E3200000000545401FCF5
+:1076F00002C06E320000300A380000A4088082B256
+:107700000000300A0428010408006EB200003610A0
+:107710009F500104A85B80BC00000000005001E8FD
+:10772000060000320000110A0801007819A082BC8E
+:1077300000000000002801E0A660803C0000F00985
+:107740002A010014080000B200000000CA00001462
+:107750001840813A0000A30D00A80120A9206EFA6C
+:1077600000000000002001E0A6206E3C00000000A8
+:10777000003000E0060000320000000000A801E038
+:107780000600923200000000000000D802800132A2
+:1077900000C0030A1801000CA8CD3EB20000FA098F
+:1077A00004000080024081BC0000000000000014C2
+:1077B0000800003218000000000000F8730A0339C6
+:1077C000F4093600000000C002003692208000005C
+:1077D00000000028098036320000F10E000000D8B9
+:1077E000020000D20000FE0904000080028092BC6A
+:1077F00018003600000000F8730A03F900000000CA
+:10780000000000D80280013200C0030A1801000CF9
+:10781000A8CD3EB218000000000000F8738A0339BA
+:10782000FE090000000000C00200363200003600F1
+:10783000000000800200009000000000DE00000850
+:10784000E801003400000000DF00013808C06E329B
+:1078500000000000001000000700003200000000DF
+:107860000018000007808232000000000030000095
+:1078700007C02C320020008000380000070037329B
+:1078800000000000CA3D000C0780833200000000A9
+:10789000000000141840813A00000F0A040201ECB5
+:1078A00016C06EBC00000000C00100141840813AF0
+:1078B00000000000000000F892802F3400C00E0A83
+:1078C00012000040A28D39B20000690A120100487E
+:1078D00002C080B200000F0A000000800200009089
+:1078E000000000000000002808809732000000001F
+:1078F000000000A408808232000000000010006C2C
+:1079000018206E3A000000000018004C08006E328B
+:107910000000A30D00A8012019206EFA000000004D
+:10792000002001E016206E3C0000000000A801E0ED
+:107930000600923200001B0A003801E006408092E7
+:10794000000000000060006C18206E3A000000008B
+:107950000068004C08006E3200001D0A9F01000400
+:10796000686080BC0000240A000000181820009CF9
+:1079700000001F0A120100E802C021B21800360000
+:10798000000000F8730A03F900000000CA70001834
+:1079900008006E320000190A02010080626080BC9B
+:1079A00000000000CA0100F802802F3500A01B0A69
+:1079B00012010040A28D39B20000220A00000080AE
+:1079C000020000900000280A80000080A2802FB6EC
+:1079D00000002B0A04000080A2A081BC000000006F
+:1079E000CA0100F802802F3500A0270A12000040CB
+:1079F000A28D39B200000000000000F8A2802F35EF
+:107A000000002B0A120100E802C021B21800360063
+:107A1000000000F8730A03F900000000002801E0EC
+:107A20000600003200000000003000E006808232D4
+:107A300000000000002000E00680813200003610C7
+:107A4000041000E006C086B20000320A001800E010
+:107A500006C08492000036100410006C08006EB25C
+:107A6000000000000018004C08006E320000E00D1D
+:107A7000510000D8020000F20000350A0050013C1D
+:107A8000A85B809C00008E07003001E00600009299
+:107A900000003A0A3E510100A81B80BA0000000015
+:107AA000DE0000F8F2812F3400000000005801ECE5
+:107AB00006C0EE3200003A0A80010080328087B6AC
+:107AC00000000000000000F8E2802F340000730E78
+:107AD000603001E0060000F200008E070000008028
+:107AE00002000090000000000000001408000032B6
+:107AF0000000430A040201EC16C06EBC0000000046
+:107B0000C90100141840813A00000000C00101388A
+:107B100008C06E3200000000DF0000A4A8608A3CAC
+:107B200000C0460A12010040A28D39B20000410A8D
+:107B3000000000800200009000000000003000E023
+:107B40000600003200000000DF0000A4A8608A3CAC
+:107B5000000000000000013808C06E320000000084
+:107B6000DEA8012099226E3A0000490A2F2001E088
+:107B700096226EBC0000A30D00000080020000F001
+:107B800000004D0A1F5001E8060000B200004C0A38
+:107B90000400008002C083BC00004D0A005001E8D0
+:107BA000F660809C0800000000400268129AFE38CF
+:107BB0000000510A2AA901E0060092B2180036001E
+:107BC000CA0000F8730A03F91D00510A040000807E
+:107BD00002A417B800004E0A000000141840819C4F
+:107BE0000000DC0E00000030030038F20000540AF0
+:107BF0008001008032802FB63E00530A1200002C14
+:107C000082CD2EB200000000000000D802800132B8
+:107C100000C0650A1801000CA8CD3EB2208000000B
+:107C2000C3000028098036320000F10E000000D8A1
+:107C3000020000D200005A0A04000080028092BCB8
+:107C400000000000000000141840813A00005F0AA4
+:107C500004000080024081BC18003600000000F8DB
+:107C6000730A03F90000630A04000014184081BC81
+:107C700000005B0A1200000009C021B200005C0A8B
+:107C8000000000800200009018003600000000F89C
+:107C9000738A03F90000DC0E00000030030038F2A4
+:107CA0000000630A8001008032802FB63E00620A25
+:107CB0001200002C82CD2EB200000000C30000D8BC
+:107CC0000280013200C05F0A1800000CA8CD3EB24D
+:107CD0000020008000000028090037320000B10FAA
+:107CE00000000008E80100F40000670A12000048E4
+:107CF00002C080B200000000000000141840813A69
+:107D000018003600CA0000F8730A03F90000690A77
+:107D100004010014184081BC0000990F000000808D
+:107D2000020000F000006F0A8001008092802FB6F0
+:107D30002B00720A1201002C82CD2EB200006D0AB7
+:107D400000000080020000900000720A1D00008008
+:107D5000020000B00000720A8000008002812FB68D
+:107D60002A000000D001002C82CD2E3200008C07AA
+:107D700004000080028085BC0000AA0F0000008083
+:107D8000020000F000007D050000001C08808592C4
+:107D900000000000CE0100D80280013200C07A0A43
+:107DA0001801000CA8CD3EB22080000000000008A1
+:107DB0000880363200008C0F0000005C1FC0F5FA0E
+:107DC000000031030000008002000090000000006D
+:107DD000600000D80200003200007F0A3F00003C33
+:107DE000084080B200007F0A80010080E2812FB647
+:107DF00000000000DE0000F8F2812F3400000000D7
+:107E0000005801EC06C0EE32000000004D000000FA
+:107E100067E0833E00000000000800000700803299
+:107E2000000000000010000007C0863200000000C3
+:107E30000018000007C084320000BE0A04000028B9
+:107E4000D8A082BC0000000000000018D8A0813C2F
+:107E500000009C0A0400003CD8E083BC0000890AB2
+:107E60000400008072802DBC0000870A12000050C0
+:107E700002C038B200009A0A510000D812802D9A30
+:107E80000000890A12000050F2C138B40000950ABF
+:107E9000280000D8020000B20000920A8001008091
+:107EA000F2C185B600008F0A1F400284E60100B4CB
+:107EB0000000920A1D0100F822812FB40000920AEE
+:107EC000000000F862812F950000910A1D010080DA
+:107ED000020000B000000000000000F862812F35B1
+:107EE0000000000000400280024068320000940A56
+:107EF000343000E016206EBC0000940D00000080BD
+:107F0000020000F00000AE0DDA5B01EC0640EDF27D
+:107F100018003600000000F8730A03F90000990AFF
+:107F20000400008072802DBC0000950A670000F8F4
+:107F3000A2802FB500003610120000E802C021B266
+:107F400000000000510000D8020000320000E80DDF
+:107F500000000000D82080FA0000800A4D000000D8
+:107F600067E0839E00009C0A12000050F2C138B402
+:107F70000000A80A28000080084000B20000A50AFE
+:107F800080010080F2C185B60000A20A1F40028471
+:107F9000E60100B40000A50A1D0100F822812FB4FB
+:107FA0000000A50A000000F862812F950000A40AD5
+:107FB0001D010080020000B000000000000000F879
+:107FC00062812F35000000000040028002406832CC
+:107FD0000000A70A343000E016206EBC0000940DAB
+:107FE00000000080020000F00000AE0DDA5B01EC42
+:107FF0000640EDF20000BF0A80000080E2802FB64C
+:108000000000AC0A042100E0068081B20000E80D07
+:1080100000000034080000F200000000002000E032
+:10802000068081320000B10A2A1100E0D6E086BA4B
+:1080300018003600CA0000F8730A03F91D00B10ADF
+:108040000401008002A417B80000AD0A9F0100805F
+:10805000180088BC0000361000000080020000906C
+:108060000000990F00000080020000F00000DC0E0C
+:1080700000000030030038F20800B50A231901E8B7
+:10808000762081B93E00B40A1200002C82CD2EB2B7
+:108090000000B80A1D1800E006C084B20000B80A4B
+:1080A0008000008002812FB62A000000D001002C41
+:1080B00082CD2E320000FA0D0000002CF90100F4F0
+:1080C0000000BC0A04000080020088BC0000BC0A5A
+:1080D0001201000009C021B218003600000000F8AB
+:1080E000730A03F9000032031201006802C585B06B
+:1080F0000000361000000080020000900000BE0A60
+:1081000012000050F2C138B400000000C001013874
+:1081100008C06E320000C30A040201EC16C06EBC37
+:1081200000C0C10A12000040A28D39B20000C40A8A
+:10813000C90100140800009200000000453000E072
+:10814000060000320000CF0A28000008E80100B451
+:108150000000CC0A80010080F2C185B60000C90A87
+:108160001F400284E60100B40000CC0A1D0100F8A3
+:1081700022812FB40000CC0A000000F862812F9504
+:108180000000CB0A1D010080020000B000000000CA
+:10819000000000F862812F350000000000400280DE
+:1081A000024068320000CE0A8000008042812FB673
+:1081B0000000940D00000080020000F00000AE0DF1
+:1081C000DA5B01EC0640EDF200200080DF000028C1
+:1081D000090037320000B10FDE0000D8028001F242
+:1081E0000800990F001801E8762081F90000DC0EE4
+:1081F00000000030030038F20000D50A8001008042
+:1082000032802FB63E00D40A1200002C82CD2EB24E
+:108210000000D90A290801E406C02DB20000DE0AD8
+:108220001D000080020000B00000DE0A8000008017
+:1082300002812FB62A00DE0AD001002C82CD2E92B8
+:108240000000DB0A1201000009C021B2180036004C
+:10825000000000F8730A03F91D00DD0A0401008024
+:1082600002A417B80000DA0A000000141840819C2C
+:108270002B00DD0A1200002C82CD2EB20000FB0D77
+:108280000000002CF90100F40000E10A0401008064
+:10829000024081BC18003600000000F8730A03F9A0
+:1082A0000000E10A1200004802C080B20000320360
+:1082B0001201006802C585B00000E20A00000080DB
+:1082C0000200009000000000000000D8028001328F
+:1082D00000C0E90A1801000CA8CD3EB220800000C1
+:1082E000000000080880363200008C0F0000005C9F
+:1082F0001FC0F5FA0000310300000080020000906A
+:1083000000C00000000000F8A28D2F310000000026
+:10831000000000D802000032000000000000000051
+:108320000780813200000000000800000700803252
+:10833000000000000010000007C0863200000000AE
+:108340000018000007C084320000EF0A120000503D
+:10835000F2C138B40000F50A8000008082802FB698
+:108360000000000000000068A860803C00000000E1
+:108370000000003C084080320000AD0D0000000409
+:10838000088082F20000F60A1201000009C021B242
+:1083900018003600000000F8730A03F91D00F80AFF
+:1083A0000400008002A417B80000F50A000000F8DD
+:1083B000A2802F9500000000000000006820803A95
+:1083C0000000FC0A0400002868A082BC0000E80D40
+:1083D00000000080020000F00000EB0A000000D85E
+:1083E0000200009200000000000000D8028001326C
+:1083F0000020008000000028090037320000AD0F87
+:1084000000000008E80100F418003600CA0000F877
+:10841000730A03F90000060B040201EC16C06EBCDF
+:1084200000000000C00100F892802F3400C0040B4F
+:1084300012010040A28D39B20000020B0000008042
+:10844000020000902B00060B1201002C82CD2EB2F0
+:108450000000040B000000800200009000000000FB
+:10846000000000D8028001320000090B12010060F8
+:10847000084023B20082120B00000008A88D8092F1
+:108480000000361012000024080023B2000036104D
+:108490001200002008C023B200003610120000189D
+:1084A000088023B200C0210B1801000CA8CD3EB2F9
+:1084B00000000C0B12000038028081B20000361060
+:1084C0001200003C020082B20000361012000030A0
+:1084D000024082B20000361012000034020086B260
+:1084E0002080000000000008A88D80320000190BD9
+:1084F00080010080F2C185B60000160B1F40028487
+:10850000E60100B40000190B1D0100F822812FB410
+:108510000000190B000000F862812F950000180B75
+:108520001D010080020000B000000000000000F803
+:1085300062812F3500000000004002800240683256
+:10854000000036101200006802C585B000001C0B48
+:108550003400005C1FC0F5BA0000940D00000080DC
+:10856000020000F000001E0B8000008092802FB6F9
+:1085700000008E07003000E00600009200008E0729
+:10858000120100E802C021B218000000000000F84B
+:10859000730A03398E073600000000C002003692CD
+:1085A00000000000450000D802400032000000003A
+:1085B0004100000007808632000000000008000033
+:1085C00007008032000000000010000007408232E7
+:1085D0000000000000180000070086320000260B93
+:1085E00012000050F2C138B4000000000000007812
+:1085F000388087350000000000A001E016206E3AA8
+:10860000000000000000007809C58530000000006F
+:1086100000A801E016206E3C08000000D20100789E
+:10862000E9E58339180036101F410284E6A197B9A5
+:108630000000300B365101E816E083BC0000300B1F
+:108640001D010080020000B000000000000000F8E2
+:1086500062812F350000320B382101E0064080B2E4
+:1086600000000000003001E0064080320000000001
+:10867000000000D8028001320000350B34180000E1
+:10868000078081B20000940D00000080020000F01D
+:108690001000990F0030000017E02CF90010008046
+:1086A00000380000070037320000DC0E0000003008
+:1086B000030038F200003A0B8001008032802FB6B0
+:1086C0003E00390B1200002C82CD2EB200003F0B71
+:1086D00029210000070082B200003D0B12010000BA
+:1086E00009C021B218003600000000F8730A03F92F
+:1086F0001D00420B0401008002A417B800003B0BD0
+:1087000000000014080000920000420B1D3000E041
+:10871000060000B20000420B8000008002812FB6EC
+:108720002A000000D001002C82CD2E320000AA0FBA
+:108730000000002CF90100F40000FA0D000000F820
+:10874000A2802FF40000470B04000080024081BC8F
+:108750000000470B120100E802C021B218003600E9
+:10876000000000F8730A03F9000032031201004808
+:1087700002C080B20000470B0000008002000090A1
+:108780000000500B80010080F2C185B600004D0B47
+:108790001F400284E60100B40000500B1D0100F8E8
+:1087A00022812FB40000500B000000F862812F9549
+:1087B00000004F0B1D010080020000B0000000000F
+:1087C000000000F862812F350000000000400280A8
+:1087D000024068320000520B04000080024086BC58
+:1087E0000000D70F0090010809006EF2000007108A
+:1087F00000000080020000F00000590B330100D897
+:10880000028001B20000590B80010080B20172B6F3
+:108810000000590B9FF0018082DB87BC0000590BE0
+:108820009FF8018022216EBC0000000000E801E0FA
+:108830000600EE320000000000F001E006C08732C2
+:1088400008000000001801E87620813900005F0B65
+:1088500080010080D2802FB600005F0B04B0008042
+:1088600002006EBC00000000CD0000F872812F34C1
+:108870003D005F0B1201002C82CD2EB200005D0B7B
+:1088800000000080020000900000690B270901E44D
+:1088900006C02DB200C0630B1801000CA8CD3EB27B
+:1088A000000036101200006802C585B020808E07D7
+:1088B000000000080880369200000000004001E03F
+:1088C0000640883200000000D508000007408832CA
+:1088D000000000000030000007C02C320040008083
+:1088E000CA390000070037320000670B1200004849
+:1088F00002C080B20060000000000008088036322C
+:1089000000006C0B1D000080020000B000006C0B2A
+:108910008000008002812FB62A000000D001002CC8
+:1089200082CD2E320000FB0D0000002CF90100F476
+:10893000000032031201006802C585B00000361045
+:10894000000000800200009000000000545401FC70
+:1089500002C06E3200000000000000D80280013228
+:1089600000C0750B1801000CA8CD3EB2208000009D
+:108970000000000808803632000031031201002C8C
+:1089800072E02EB20000730B000000800200009025
+:108990000000C40F0020001808006EF2000036101E
+:1089A0001F30002808006EB200000000000000A484
+:1089B00008808232000036100410006C08006EB28D
+:1089C0000000E00D0018004C08006EF200007C0B67
+:1089D0000050013CA85B809C000036100000008025
+:1089E000020000900000000000500100A81B803A27
+:1089F00000000000510000D802000032000000001A
+:108A00004D00000067E0833E000000000008000009
+:108A100007008032000000000010000007C086320E
+:108A2000000000000018000007C084320000A60B00
+:108A300004000028D8A082BC00000000000000183C
+:108A4000D8A0813C0000940B0400003CD8E083BC1B
+:108A50000000880B0400008072802DBC0000860B93
+:108A60001200005002C038B200008E0B510000D836
+:108A700012802D9A0000880B12000050F2C138B409
+:108A800018003600000000F8730A03F900008D0B8F
+:108A90000400008072802DBC0000890B670000F884
+:108AA000A2802FB500003610120000E802C021B2EB
+:108AB00000000000510000D8020000320000920BBC
+:108AC0002A010000D82080BA0000920B1201000099
+:108AD00009C021B218003600000000F8730A03F93B
+:108AE00000000000000000D8024084320000F00DB9
+:108AF0000060006C08006EF200007F0B4D0000006B
+:108B000067E0839E0000940B12000050F2C138B45D
+:108B100018003600000000F8730A03F91D00990BD5
+:108B20000400008002A417B80000950B670000F84D
+:108B3000A2802FB5000036101200000009C021B23B
+:108B40000800361012400268129AFEB80000DC0ECF
+:108B500000000030030038F2000036101F00006CE7
+:108B6000D8E086BA0000E00D510000D8020000F203
+:108B700000009F0B0000003C08408092000036106F
+:108B8000000000800200009000007E0B04010080C5
+:108B9000028081BC0000A40B80010080A2802FB65F
+:108BA0000000A40B1201000009C021B21800360019
+:108BB000000000F8730A03F900000000000000D86C
+:108BC000024084320000F00D0060006C08006EF27C
+:108BD00000007F0B4D00000067E0839E0000000056
+:108BE000C001013808C06E3200000000453000E0CE
+:108BF000060000320000A80B12000050F2C138B489
+:108C00000000AD0B040201EC16C06EBC00000000B9
+:108C1000C90100141840813A00C0AD0B1201004098
+:108C2000A28D39B20000AB0B000000800200009062
+:108C300000C00000000000F8A28D2F3100000000ED
+:108C400000A8012099226E3A0000B10B2F2001E00C
+:108C500096226EBC0000A30D00000080020000F010
+:108C60000000B50B0400003CD8E083BC0000B40B4E
+:108C70009F3101E096226EBC00000000003001E050
+:108C8000060000320000B90B005001E8F660809C3D
+:108C90000800000000400268129AFE380000B80B7D
+:108CA0009F3101E096226EBC00000000003001E020
+:108CB0000600003200000000005001E8060000320B
+:108CC0000000000000A801E0060092321800360003
+:108CD000000000F8730A03F91D00BD0B04000080BA
+:108CE00002A417B80000BA0B000000141840819CC1
+:108CF00000000000000000D8028001320020008047
+:108D000000000028090037320000B10F0000000801
+:108D1000E80100F40000C00B1200004802C080B25D
+:108D20000000DC0E00000030030038F20000C40B2D
+:108D300023010014184081BA3E00C30B1200002C1E
+:108D400082CD2EB218003600CA0000F8730A03F96B
+:108D50000000C40B04010014184081BC0000990FEE
+:108D600000000080020000F00000CA0B2931010C55
+:108D700009006EB22B008C071201002C82CD2EB29E
+:108D80000000C80B000000800200009000009C0D55
+:108D9000000C020009806EF20000D30B000000807E
+:108DA000020000900000AA0F00000080020000F006
+:108DB000000000000000001C080090320000D20BF0
+:108DC00004000028098080B20000F10E000000D8E5
+:108DD000020000D20000D20B04000080028092BC8E
+:108DE00018003600000000F8730A03F900007D0542
+:108DF000000000080800009200008C071D000080A1
+:108E0000020000B000008C078000008002812FB6B5
+:108E10002A00D50B1200002C82CD2EB200008C0748
+:108E2000000000F802812F940000BA0D0000001825
+:108E3000094081F20000A30D00A8012009006EF294
+:108E4000000000000030010C09006E3200009C0D93
+:108E5000000C020009806EF200008E070000008006
+:108E6000020000900000990F00000080020000F056
+:108E70000000AA0F00000080020000F000007D0545
+:108E80000000001C0800909200000000545401FCF7
+:108E900002C06E3210000000000000A8780B1638E7
+:108EA00008000000000000AC780B1638000000003D
+:108EB000000000B0A8002D3700440000000000B002
+:108EC000880D8B3A00000000005001B408806E321B
+:108ED0000000ED0B0431019008006EB202000000AA
+:108EE000000000C8828D8A3700000000000000C822
+:108EF000C2A22C3A1800EB0B86410278880D78B696
+:108F00000000E60B9F0100A818808ABC9F00E60BBA
+:108F1000000000A808003692000000000040020493
+:108F2000B83F78300000FB0B00000004D862809C42
+:108F300002000000000000C8828D8A370000000097
+:108F4000000000C8C2A22C3A1800F20B8641027839
+:108F5000880D78B60000ED0B9F0100A818808ABC30
+:108F60009F00ED0B000000A8080036920000F40BF3
+:108F700028400204B83F78B000000000C801000497
+:108F8000D862803C0000F80B02010090182089BCD8
+:108F900000000000000000B4080000320000ED0BEB
+:108FA0009F0100A818808ABC9F00ED0B000000A85C
+:108FB000080036920000FB0B04000090182089BACC
+:108FC000000036109F000004486280BC000036108C
+:108FD000900000B448628BBA0300361004400200CF
+:108FE000081EFFB80000030C00000000D822809A81
+:108FF0000000280C04000080A2E28ABC02000000ED
+:10900000000000C8828D8A3700000000000000C800
+:10901000C2A22C3A1800260C86400278880D78B639
+:109020000000361004400204B83F78B00300361048
+:1090300004400200081EFFB80000070C1201006087
+:10904000084023B200820000000000080880363289
+:10905000000031031201002C72E02EB20000050C5A
+:109060000000008002000090000036101200002472
+:10907000080023B2000036101200002008C023B2FE
+:109080000000361012000018088023B20000000013
+:10909000000000D80280013200C0110C1801000C41
+:1090A000A8CD3EB200000B0C12000038028081B245
+:1090B000000036101200003C020082B200003610A0
+:1090C00012000030024082B200003610120000345C
+:1090D000020086B22080050C00000008088036924D
+:1090E00000000000000000D8020000320000000074
+:1090F00000380200B81B803A00000000643001E034
+:1091000016206E3A00000000000000000740803288
+:10911000000000000008000007008032000000008E
+:10912000001000000740823200000000001800001C
+:10913000070086320000180C12000050F2C138B44B
+:1091400000000000000000D8028001320000000092
+:10915000001800000780813200000000002000009D
+:1091600007008232100000000030000017E02C39A8
+:109170000000000000380000F7010B340000200C54
+:1091800080010080328087B60000000000380000B7
+:10919000B70170340000000000000008E80100344E
+:1091A00000002F0C020C0280A21B89BC18003600A4
+:1091B000000000F8730A03F90000DC0E0000003024
+:1091C000030038F20000240C1200004802C080B2F4
+:1091D00018003600000000F8730A03F90000FD0BC8
+:1091E0009F0100A818808ABC9F00FD0B000000A80A
+:1091F0000800369200002A0C8001008032802FB6D1
+:109200003E00290C1200002C82CD2EB200002C0C46
+:109210001D010080020000B000008C07000000F873
+:1092200062812F9500008C078000008002812FB69C
+:109230002A002D0C1200002C82CD2EB200008C07CB
+:10924000000000F802812F940000000000380000A8
+:10925000C70170340000DC0E00000030030038F25B
+:109260000800330C231901E8762081B93E00320C46
+:109270001200002C82CD2EB20000350C1D010080A2
+:10928000020000B00000380C000000F862812F9549
+:109290000000380C8000008002812FB62A00360CB6
+:1092A0001200002C82CD2EB200000000000000F859
+:1092B00002812F340000FA0D0000002CF90100F4A7
+:1092C00000003B0C120100E802C021B21800360079
+:1092D000000000F8730A03F900003B0C120000487C
+:1092E00002C080B200003203000000F8A2802F9478
+:1092F00000000000000000D80280013200000000E1
+:109300000030002808006E3200000000545401FCB8
+:1093100002C06E3200C0490C1801000CA8CD3EB24C
+:109320002080000000000028098036320000F10E85
+:10933000000000D8020000D20000460C04000080AB
+:10934000028092BC18000000000000F8730A033984
+:10935000470C3600000000C00200369218003600AC
+:10936000000000F8738A03F900000000000000D834
+:109370000280013200C0460C1800000CA8CD3EB29D
+:109380000020008400000028090037320000AD0FE3
+:1093900000000008E80100F400008C0700000080D5
+:1093A0000200009000000000000000D8028001329E
+:1093B00000000000545401FC02C06E3200C0520C88
+:1093C0001801000CA8CD3EB220800000000000086B
+:1093D0000880363200002F031201002C72E02EB2FA
+:1093E0000000500C00000080020000900000510FAF
+:1093F00000000028090002F200005A0C0000005C86
+:109400000800009200000000000000D80280013235
+:1094100000000000545401FC02C06E3200C05A0C1F
+:109420001801000CA8CD3EB220800000000000080A
+:109430000880363200008C0F0000005C1FC0F5FA77
+:1094400000003103000000800200009000000000D6
+:109450000030002808006E32002000840000002840
+:10946000090037320000AD0F00000008E80100F4E9
+:1094700000005F0C0000008002000090000000006F
+:1094800000000008080000320000650C04000080A5
+:1094900002C085B20000650C80000080F2C185B674
+:1094A0000000640C1C41028006C085B20000000070
+:1094B0000000006802C58530000000000000007058
+:1094C0001F00F73A00000000000000F822812F344E
+:1094D0000000DC0C80010080A2802FB61800000084
+:1094E000000000F8730A0339DC0C3600CA0000C023
+:1094F000020036920000AD0C8001008082812FB600
+:109500000000B20C1F20010809006EB20000AD0C73
+:1095100004300108899B90BC0000710C043101806B
+:1095200002006EBC0000E00D00000080020000F0B0
+:1095300000006F0C0050014808806E920000361049
+:109540000000008002000090000000000000000405
+:109550002861803C0000810C000000002821809AD6
+:109560000000E00D0030014808006EF20000740CAD
+:1095700000500104A85B809C0000361000000080B1
+:10958000020000900000000000500100A81B803A7B
+:1095900000007E0C0700004818A084BC08000000F2
+:1095A00000400200189AFE38000000000000006829
+:1095B000020080320000E00D00000080020000F098
+:1095C00000007B0C000000800200009000003610BC
+:1095D000000000800200009000007E0C07000048A0
+:1095E00018A084BC0800000000400200189AFE3851
+:1095F0000000780C00000068020080920000810CDE
+:109600000400004818A084BA000036109F0000042F
+:10961000286180BC00000000000000002821803A82
+:1096200000000000005401FC02C06E3200008A0CF1
+:1096300012010060084023B200820000D601000839
+:10964000088036320300361004400200381AFFB892
+:109650000300000000000078096080391800000055
+:10966000D241028CE6A1973900000000005001E8C9
+:1096700006808432290031031201002C82CD2EB2E3
+:109680000000880C000000800200009000003610EE
+:1096900012000024080023B200003610120000203F
+:1096A00008C023B20000361012000018088023B250
+:1096B00000000000000000D80280013200C0950CBC
+:1096C0001801000CA8CD3EB220800000D601000891
+:1096D0000880363200008F0C12000038028081B200
+:1096E000000036101200003C020082B2000036106A
+:1096F00012000030024082B20000840C12010034DB
+:10970000020086B2000036100000008002000090C7
+:10971000080000000040025C189AFE3800000000BB
+:10972000000000480800003200000000000000D8DF
+:1097300002000032000000000000000007408032FC
+:109740000000000000080000070080320000000058
+:1097500000100000074082320000000000180000E6
+:109760000700863200009C0C12000050F2C138B491
+:1097700000000000D60100D8028001320000000085
+:109780000018000007808132000000000020000067
+:1097900007008232100000000030000017E02C3972
+:1097A0000000A40C80000080328087B6001000808A
+:1097B00000380000070037320000A50C00000080D0
+:1097C00002000090001000880038000007003732C7
+:1097D00018003600000000F8730A03F900000000CA
+:1097E0000000006802C08532000000000000000890
+:1097F000E80100340000A80C1200004802C080B24A
+:1098000018003600000000F8730A03F90000E00DAC
+:1098100000000080020000F00000810C00000080C9
+:10982000020000900000B20C0000008002000090D6
+:109830000000E00D00000080020000F00000B00C0D
+:1098400000380200B81B809C0000B20C00000080B1
+:1098500002000090050000000000006802A0FE3831
+:109860000000AD0C00400280024068920000000041
+:10987000CA0100D8020000320000B50C04B8018013
+:1098800002006EBC0000000000B801E0861BEE3C48
+:109890004C0000000000000007003632000000000D
+:1098A00000000078A9002D37B44400000008000033
+:1098B000878D973A000000000000007899C02C378F
+:1098C000B400000000000078898D973A000036103F
+:1098D0000210000087BF97BA0000000000180000C7
+:1098E0000740FE320000BC0C12000048F2C138B440
+:1098F0000000BD0CB6000080020000B00020BE0CCD
+:1099000012000064A2CD2CB200000000A60000806E
+:10991000020000300000C20C80010080A2802FB63F
+:1099200018003600CA0000F8730A03F900008C071B
+:10993000005401FC02C06E9200000000005401FCC3
+:1099400002C06E320000C80C12010060084023B251
+:109950000082000000000008088036322900310330
+:109960001201002C82CD2EB20000C60C0000008037
+:10997000020000900000361012000024080023B2FC
+:10998000000036101200002008C023B2000036107C
+:1099900012000018088023B200000000000000D868
+:1099A0000280013200C0D30C1801000CA8CD3EB2D9
+:1099B0002080000000000008088036320000CD0C36
+:1099C00012000038028081B2000036101200003C04
+:1099D000020082B20000361012000030024082B253
+:1099E0000000C60C12010034020086B200003610DE
+:1099F00000000080020000900000E00D0000004820
+:109A0000080000F20800D60C0040025C189AFE988C
+:109A100000003610000000800200009000000000EE
+:109A200000500100A81B803A0000970C000000487D
+:109A30000800009200000000005401FC02C06E32D9
+:109A40000000510F00000028098002F20000BD0C48
+:109A500000000080020000900000510F000000286C
+:109A6000090002F20000DF0C9A0100F862812FB4B5
+:109A700010240000000000F8A28D2F31000000002B
+:109A800000D601EC06C06E342E008C071201002CAB
+:109A900082CD2EB20000DF0C00000080020000909A
+:109AA0000000E80C80010080F2C185B60000E50CE2
+:109AB0001F400284E60100B40000E80C1D0100F81C
+:109AC00022812FB40000E80C000000F862812F957D
+:109AD0000000E70C1D010080020000B00000000043
+:109AE000000000F862812F35000000000040028075
+:109AF000024068320000EA0C04980164881B87BCAD
+:109B00000000D70F0090010809006EF20000071056
+:109B100000000080020000F0000036101200006813
+:109B200002C585B000000000000000F8D2802F358B
+:109B300000008E07370000F8D2812FB4000000002B
+:109B4000000000F872812F343D008E071201002CB6
+:109B500082CD2EB20000EF0C0000008002000090C9
+:109B60000000F80C80010080F2C185B60000F50C01
+:109B70001F400284E60100B40000F80C1D0100F84B
+:109B800022812FB40000F80C000000F862812F95AC
+:109B90000000F70C1D010080020000B00000000072
+:109BA000000000F862812F350000000000400280B4
+:109BB000024068320000000000D401EC16C06E3A8A
+:109BC000000036101200006802C585B000008E0744
+:109BD00004B0008002006EBC37008E071201002C1A
+:109BE00082CD2EB20000FB0C00000080020000902D
+:109BF0000000040D80010080F2C185B60000010D57
+:109C00001F400284E60100B40000040D1D0100F8AD
+:109C100022812FB40000040D000000F862812F950E
+:109C20000000030D1D010080020000B000000000D4
+:109C3000000000F862812F35000000000040028023
+:109C4000024068320000100D000000800200009009
+:109C500000000C0D80010080F2C185B60000090DE6
+:109C60001F400284E60100B400000C0D1D0100F845
+:109C700022812FB400000C0D000000F862812F95A6
+:109C800000000B0D1D010080020000B0000000006C
+:109C9000000000F862812F350000000000400280C3
+:109CA000024068320000100D370000F8D2812FB456
+:109CB00000000000000000F872812F343D00100DFC
+:109CC0001201002C82CD2EB200000E0D000000808B
+:109CD000020000900000000000D401EC06000032F9
+:109CE00000008E071201006802C585B00000361022
+:109CF000000000800200009000008C0780010080BE
+:109D0000F2812FB600008C0780000080E2812FB620
+:109D100000008C07085901EC06FB6EBC0000000037
+:109D2000000000D80280013200000000545401FC01
+:109D300002C06E3200C01D0D1801000CA8CD3EB24D
+:109D400000000000005801EC06FB6E3A2080000085
+:109D5000000000080880363200002F031201002C9A
+:109D600072E02EB200001B0D000000800200009087
+:109D70000000AA0F000000F8E2812FF40000200D7F
+:109D80000603018012C06EBC19007D050000001C96
+:109D9000080036921A007D050000001C080036926B
+:109DA00000C00000000000F8A28D2F31000000006C
+:109DB000000000D802800132002000C0000000280E
+:109DC00009003732000000000030002808006E3221
+:109DD00000000000453000E0060000320000AD0F3A
+:109DE00000000008E80100F400002C0D040201EC62
+:109DF00016C06EBC00000000C90100141840813A72
+:109E000000000000000000F802802F3400C02C0D7C
+:109E100012010040A28D39B200002A0D000000801E
+:109E20000200009018003600CA0000F8730A03F917
+:109E300000002C0D9F010014184081BC00008E070B
+:109E40008001008092802FB62B008E071201002C1B
+:109E500082CD2EB200002F0D000000800200009085
+:109E6000000036101F0100D8028001B2000000007F
+:109E7000005401FC02C06E3200C03C0D1801000C01
+:109E8000A8CD3EB2208000000000002809803632B4
+:109E90000000F10E000000D8020000D20000390DD1
+:109EA00004000080028092BC18000000000000F84E
+:109EB000730A03393A0D3600000000C002003692E2
+:109EC00018003600000000F8738A03F90000000053
+:109ED000000000D80280013200C0390D1800000CCB
+:109EE000A8CD3EB20000AA0F000000D8024000F248
+:109EF00000F0430D1D400200A80D68B100003610AF
+:109F00001E400284060000B20000410D120000282D
+:109F1000020580B008003D0D000000F823400199C3
+:109F200000003D0D12010068020580B000003610EF
+:109F300000000080020000900000430DB50000808A
+:109F4000020000B000000000A50080A0360B6A35BA
+:109F5000000000000000005009C02932000000008D
+:109F60000056012808C06E32000000000000007892
+:109F7000390B2E320000000000000020F3819734DE
+:109F800000004C0D04000078D90130B6000000003C
+:109F900000000000B905303018000000000000F893
+:109FA00003A403390000000000000034330B2F32FB
+:109FB0000000590D04000078D90130B600000000FF
+:109FC00000000078B90530300000520D0400008018
+:109FD00042E529BC00000000000000F80200003249
+:109FE00018000000000000F8738A02390000000029
+:109FF0000000009C028097320000580D25010008E7
+:10A00000080000B20000560D12000028020580B0C2
+:10A010000800580D000000F8234001990000580D79
+:10A0200012010068020580B00000361000000080B8
+:10A030000200009000004C0D000000F402000092AD
+:10A0400000005D0D0400008042E529BC0000000016
+:10A05000000000F80200003218000000000000F8C4
+:10A06000738A0239000000000000009C0200953253
+:10A0700000000000CA0100D8028001320000000088
+:10A080000030000007C02C32001000A00038000093
+:10A090000700373200000000002000000700EE3209
+:10A0A000000000000038000C078082320000620DC2
+:10A0B0001200004802C080B2000032030000000815
+:10A0C000E801009400007A0D02000080A24280BCEA
+:10A0D00000007A0D80000080F2C185B600007A0D84
+:10A0E0001F400208B9BF68B000006C0D80410280BB
+:10A0F000E28168B608000000000000107961803934
+:10A1000000000000D22101E016206E3A1800000085
+:10A1100000400288E6219139000000000001005C47
+:10A1200008000072000000000000000C19A0903A26
+:10A1300000007A0D06010080D2FF90BC0000700D77
+:10A140002C410278F98168B400000000000000781A
+:10A15000B98197340300000000400200291AFF383B
+:10A160000000000000380200B91B903A0000000017
+:10A17000D241028816A0973A00000000450000D89E
+:10A1800002400032000036109F2001E016206EBA17
+:10A1900000000000000000000740803200000000C6
+:10A1A000000800002724903A000000000010000082
+:10A1B00007008A320000000012010058F2C1387412
+:10A1C0000000780D00000080020000900800840D5F
+:10A1D0001A000034796180B900008F0D1E010080E3
+:10A1E000020000B000008F0D1F400200094068B25D
+:10A1F00000007C0D80000080E20190B60000361067
+:10A20000380000541F40F5BA0000000000000008AC
+:10A21000B93F903000000000002801E026246E3A8B
+:10A22000080036101E00000009A4FEB800008F0DC3
+:10A2300012010068020590B0000036100000008096
+:10A240000200009000008F0D8000008082812FB6F8
+:10A2500000008D0D1F410200094068B2000000009F
+:10A26000002801E016206E3A00008A0D800100806F
+:10A27000F2C185B60000000000400284E60100340F
+:10A28000000000000000008002000030000000001C
+:10A29000004002800240683200003610380000544E
+:10A2A0001F40F5BA00000000002101E016206E7A80
+:10A2B0000000850D80000080E20190B600007E0D58
+:10A2C000000000541F40F59A000000000000005CF0
+:10A2D0000800003200000000D22101E016206E3A92
+:10A2E000180000001E410284E661937900003610D8
+:10A2F00000000080020000900000FFFF00000080CE
+:10A30000020000900000970D1D5D01EC16C06EBCB0
+:10A31000000000000F01008002000070000000003B
+:10A32000000100F8B2802F74000000000F010080CF
+:10A33000020000700000960D045E01EC16C06EBCB9
+:10A3400000000000005C01EC06400032000000004C
+:10A3500000010080020000700000FFFF000000808C
+:10A3600002000090000000000420018082DB907C4D
+:10A3700000000000020C0280A2DB907C0000A00D17
+:10A3800006210180821B90BC2700A10D0000000067
+:10A390000900369228000000000000000900363253
+:10A3A000000000000000008812002C3A0000FFFFAF
+:10A3B0000000008002000090000000002FA0017843
+:10A3C000891B927A0000000006880178899B977C9F
+:10A3D000000000000034020409C06E3D00000000CF
+:10A3E000000C020019A46E370000AB0D02000080C3
+:10A3F00002A497BC0000AB0D02000080020000B078
+:10A400000100000000000078898D973700000000EF
+:10A4100002010280829B977C00000000000100F88E
+:10A42000F2802F740000FFFF000000800200009007
+:10A4300000000000DA5B01EC0640ED320000B10DD7
+:10A4400004010080024086BC0000000000A001E082
+:10A4500016206E3A0000B30D00D401EC0600009205
+:10A460000000D70F0090010809006EF20000000004
+:10A4700000A001E016206E3A00000710330100F83A
+:10A4800082802FB4000007109FF0018082DB87BC20
+:10A49000000007109FF8018022216EBC0000000020
+:10A4A00000E801E00600EE320000000000F001E0EC
+:10A4B00006C08732000007100000008002000090F4
+:10A4C0000000FFFF00000080020000900000C50DAA
+:10A4D0000421013069246EBC0000BF0D1F4002241E
+:10A4E000094068B20000BB0D80000080E24192B6D6
+:10A4F0000800BB0D1201006892A4FEB800003610DF
+:10A5000000000080020000900000000000A801E0B0
+:10A5100066246E3A0000C20D382001E0060093B2B6
+:10A520000000C30D002801E00600009200000000BA
+:10A53000003001E00600003200000000005001E899
+:10A5400006000032000000000001008002000070E0
+:10A550000000CA0D38510100A99B91BA0000C80D36
+:10A5600004410208B9FF68B00000C60D0040028037
+:10A57000024068920000D50D9F3101E066246EBC58
+:10A580000000D50D003001E0060000920000D30D60
+:10A590000428010409006EB20000D10D9F010000E3
+:10A5A000192490BC0000000000A801E066246E3A67
+:10A5B00000000000002801E00624003C000000002C
+:10A5C000005001E806000032000036109F2001E034
+:10A5D000060093B20000000000010080020000703D
+:10A5E00000000000002801E0060000320000DB0D42
+:10A5F00004000080020090BC0000D50D0441020858
+:10A60000B9FF68B00000D30D00400280024068929C
+:10A610000000D90D02000080222490BC0000DB0D58
+:10A6200080400280F2C168B6000000000040028C49
+:10A63000B6C168350000DB0D000000F822812F94C0
+:10A640000800361012400268129AFEB80000D30DBE
+:10A6500004010000292490BC0000000000A801E0D3
+:10A6600066246E3A00000000005001E806009032B7
+:10A67000000036109F2001E0060093B200000000A9
+:10A6800000010080020000700000FFFF0000008059
+:10A69000020000901800E20D1F41027888CD68B6D4
+:10A6A000000000000000008812002C3A0000E40DB9
+:10A6B00080010080628087B60000E00D00400280CB
+:10A6C000024068920300361004400200381AFFB8B6
+:10A6D000000036101F400204B8FF68B00000000000
+:10A6E00000390200B81B807A0000FFFF00000080E4
+:10A6F000020000900000EF0D80010080A2802FB6C4
+:10A700000000EC0D1201000009C021B21800360053
+:10A71000000000F8730A03F900000000000000D8F0
+:10A72000024084321D00EF0D0401008002A417B81E
+:10A730000000E90D9F010080180088BC0000361061
+:10A740000000008002000090000000000060006C2B
+:10A7500008006E3200000000CA68004C08006E322B
+:10A76000000036100470001808006EB200000000EF
+:10A7700004000080A2A0817C0000F60D8001008012
+:10A78000E2802FB60000F60D1B000080020000B032
+:10A79000000000000600008062E0837C00000000F2
+:10A7A000CA0100F802802F3500A00000120100400D
+:10A7B000A28D39720000F70D0000008002000090A9
+:10A7C0000000FFFF00000080020000900000000079
+:10A7D000000801E406C02D32EEFF0000001001E089
+:10A7E000868D2F31000000000000001CB3E43932D8
+:10A7F0000000000E04000078D90130B6000000000F
+:10A8000000000078B905303018000000000000F8A2
+:10A81000E3A503390000000000000034330B2F32A1
+:10A820000000000004000078D9013076000000002C
+:10A8300000000078B905303018000000000100F871
+:10A84000E3A503790000FFFF0000008002000090F4
+:10A8500000000000000000CC020000320000080EE2
+:10A860002000012C09C06EB20000090E001686CC33
+:10A8700006C0929200000000001486CC06C09232FE
+:10A880000000000012010040628E92520000090E8A
+:10A8900000000080020000900000FFFF0000008028
+:10A8A0000200009000000E0E04000078D90130B6BE
+:10A8B0000E0E3600000000C00200369200000000BC
+:10A8C000000000140300383200000000000000E027
+:10A8D0000200303200004E0E04000024D80130B6D1
+:10A8E000120E000000000088824D823A00003610EF
+:10A8F0000000008002000090000036100000008080
+:10A90000020000900000361000000080020000905D
+:10A910000000361000000080020000900E0E36008D
+:10A92000000000C0020036920000380E00000080D7
+:10A930000200009000001A0E000000204805309030
+:10A940000000361000000080020000900000260E7B
+:10A95000921101BC08006EB200000000000801DC8A
+:10A9600002406E3200001E0E1F1101E026C18BB5A1
+:10A970000000260E1D000080020000B00000000054
+:10A98000000000D802000032800200000000000039
+:10A99000070036320000000000000078A9002D37C3
+:10A9A0002045000000080000878D973A0A0000004B
+:10A9B00000000078890D82370000000000100000C0
+:10A9C000A7BA973A000000000018000007C0EA325A
+:10A9D0000000250E1200004802C038B200002A0E06
+:10A9E000800E01BC08C06EB2000000000000000034
+:10A9F000190E823200E0320E12010048A20D90B210
+:10AA00000000280E000000800200009000000000FE
+:10AA1000000000D802400032B40000000000000036
+:10AA2000070036320000000000000078A9002D3732
+:10AA30000044000000080000878D973A00000000E5
+:10AA400000000078990082370000361002100000E4
+:10AA500087BF97BA00000000001800000740FE32D0
+:10AA60000000310E12000048F2C138B41800360060
+:10AA7000000000F8730A03F9000000000000000461
+:10AA8000896038320000000000000018F341903463
+:10AA90000000380E04000078D90130B60000000034
+:10AAA00000000000B905303018000000000000F878
+:10AAB00003A40339000000000000000019CE2C326E
+:10AAC0000060390E12000040A20D90B2000000009C
+:10AAD000000000D80200003260000000000000000A
+:10AAE0000700363200000000000000BCA8002D372F
+:10AAF000A04700000008000087CD8B3A0A00000044
+:10AB00000000007889CD2C3780020000000000781A
+:10AB1000898D973A0000000000100000A7BA973A0C
+:10AB2000000000000018000007C0EA320000420EDA
+:10AB300012000040F2C138B418003600000000F8DE
+:10AB4000730A03F900000000000801DC02406E32C5
+:10AB50000A00470E1F01007889CD2CB700000000C5
+:10AB60001D1001F802006E7280020000000000005B
+:10AB700007003632204500000008000087CD8B3AE0
+:10AB80000000000000100000A7BA973A0000000083
+:10AB90000018000007C0EA3200004B0E120000400F
+:10ABA000F2C138B418003600000000F8730A03F947
+:10ABB00000000000001101F802006E7200000000A9
+:10ABC000001001F802006E3200000000000901DCF4
+:10ABD00002406E720000FFFF000000800200009043
+:10ABE0000000000000000000090000320E0000001C
+:10ABF00000000004894D0D36000000000000000038
+:10AC000007800B32000000000008000007009032AF
+:10AC10000000000000100000070036320000560E51
+:10AC20001200004CF2C138B40000000000000080A7
+:10AC3000020000300000570E1200008002C021B256
+:10AC40000000000000000000E902903A0000530EEE
+:10AC500004010004194090BC0000000000010080C5
+:10AC6000020000500000FFFF000000800200009082
+:10AC70000000650E80010080A2802FB60000600EEB
+:10AC8000120100E802C021B218003600000000F8EE
+:10AC9000730A03F90000640E0400008002802DBCDA
+:10ACA000000036109F000080180088BC0000600E75
+:10ACB000120100E802C021B200005F0E0000008017
+:10ACC0000200009000000000CA0000D80240843258
+:10ACD000000000000040006C881C833A0000000067
+:10ACE0000048004C0800723200003610085000186E
+:10ACF000C82072BC00000000040000800240817C7B
+:10AD000000000000000000141840813C00003610D4
+:10AD100002000020880182BA00000000000000D874
+:10AD200002000032000000000000000007000632B0
+:10AD30000700000000080000774A09390000000001
+:10AD4000001000000700823200000000CA19000055
+:10AD5000074082320000700E12000040F2C138B489
+:10AD600000000000000100D8024084720000FFFFD4
+:10AD70000000008002000090000000004D00000074
+:10AD800067E0833E000000000008000007008032FA
+:10AD9000000000000010000007C086320000000024
+:10ADA0000018000007C084320000B70E040000281D
+:10ADB000D8A082BC0000000000000018D8A0813C90
+:10ADC0000000890E0400003CD8E083BC00007D0E2A
+:10ADD0000400008072802DBC00007B0E1200005029
+:10ADE00002C038B20000830E510000D812802D9AA4
+:10ADF00000007D0E12000050F2C138B41800360079
+:10AE0000000000F8730A03F90000820E04000080BD
+:10AE100072802DBC00007E0E670000F8A2802FB566
+:10AE200000003610120000E802C021B2000000004D
+:10AE3000510000D8020000320000870E2A010000F5
+:10AE4000D82080BA0000870E1201000009C021B28C
+:10AE500018003600000000F8730A03F90000000033
+:10AE6000000000D8024084320000F00D0060006C49
+:10AE700008006EF20000740E4D00000067E0839E33
+:10AE80000000890E12000050F2C138B418003600DC
+:10AE9000000000F8730A03F91D008E0E0400008004
+:10AEA00002A417B800008A0E670000F8A2802FB530
+:10AEB000000036101200000009C021B20800361050
+:10AEC00012400268129AFEB80000DC0E000000304A
+:10AED000030038F200009F0E1F00006CD8E086BA15
+:10AEE0000000E00D510000D8020000F20000940EB6
+:10AEF0000000003C0840809200009F0E000000808F
+:10AF0000020000900000980E80010080F2812FB6B0
+:10AF10000000980E80000080E2802FB60000980E9E
+:10AF200080010080328087B600000000000000F839
+:10AF3000E2802F340000730E04010080028081BC87
+:10AF400000009D0E80010080A2802FB600009D0EA3
+:10AF50001201000009C021B218003600000000F8FC
+:10AF6000730A03F900000000000000D80240843298
+:10AF70000000F00D0060006C08006EF20000740E1E
+:10AF80004D00000067E0839E0000A30E800100805A
+:10AF9000E2802FB60000BA0E80010080A2802FB69A
+:10AFA00018000000CA0000F8730A0339BA0E360010
+:10AFB000000000C00200369200000000000000A463
+:10AFC000A8608A3C0000A60E2FA8012099226EBA24
+:10AFD0000000A30D00000080020000F0000000004F
+:10AFE00000A801E00600923200000000005001E8D5
+:10AFF000060000320000AA0E232101E0060000B284
+:10B000003E00A90E1200002C82CD2EB20000361098
+:10B01000043000E0068082B20000B20E042100E09D
+:10B02000068081B20000B00E80010080A2802FB6A1
+:10B030000000B00E1201000009C021B21800360055
+:10B04000000000F8730A03F900000000000000D8B7
+:10B05000024084320000F00D0060006C08006EF2C7
+:10B0600000000000002000E0068081320000361061
+:10B07000041000E006C086B2000000002A1900E0BB
+:10B0800006C0847200000000000000F8A2802F3586
+:10B09000000000001201000009C0217218003600F3
+:10B0A000000000F8730A0399000000000000003C53
+:10B0B000D8E0833C0000B80E12000050F2C138B452
+:10B0C00000000000000000F8A2802F340000000003
+:10B0D0000000008812002C3A0000FFFF00000080F2
+:10B0E0000200009000000000000000000900003293
+:10B0F000000000000000007809000032000000009D
+:10B10000000000A802000032EE05C20E040100801B
+:10B11000820DF6BC0006000000000008090036326F
+:10B120000000C40E0000000409C0099200280000BD
+:10B130000000000809003632000000000000000492
+:10B14000098009321E000000000060C087CD003772
+:10B1500000000000000860C0078097320030000047
+:10B1600000000078898D2A3A000036101200005C39
+:10B17000528197B400000000000000002924903A9A
+:10B180000800000000000078890D903600000000E3
+:10B19000000000041940903C00000000000000A8DE
+:10B1A00052822A3A0008C40E02010080828D2ABC15
+:10B1B0000000D50E06000080024090BC0000361052
+:10B1C000120000A8020020B21E000000000000C013
+:10B1D00087CD003700000000000800C007809732CC
+:10B1E000000036101200005C52812AB400000000FA
+:10B1F000000000002924903A0800000000000078B8
+:10B20000890D90360000CE0E04010004194090BC58
+:10B210000500000000000078890D9036000036100F
+:10B2200012000068028097B20000000000000000D9
+:10B230002924903A00000000000000785900903660
+:10B240000000D60E95010080222490BA0000000074
+:10B2500000010080020000500000FFFF000000809D
+:10B26000020000900000000004010078D90130764F
+:10B27000000000000000002809C029320000000082
+:10B280000000009CB24528300000E80E860100084E
+:10B2900009802FB2000000000000002C094081321C
+:10B2A00000000000000000F8020000320000000072
+:10B2B000000000F40200003218000000000000F856
+:10B2C000738A0239000000000000009C0280923264
+:10B2D0000000E70E0407018002C06EBC0000ED0E06
+:10B2E000C30701ECB6E46E9A0000ED0E000601EC17
+:10B2F000B6E46E9A000000000000002C09058030C2
+:10B3000000000000000000F8020000320000000011
+:10B31000000000F40200003218000000000000F8F5
+:10B32000738A023900003F0F0000009C028092D215
+:10B330000000000000000030030038320000000070
+:10B3400004010078D90130760000DF0E0000009C77
+:10B35000B24528900000FFFF00000080020000902E
+:10B36000000000000000008802C0E8320200F20E77
+:10B37000B00000A0F20B00B9000000000000000CBB
+:10B38000ABE4B0320000F70E80010080F24BD0B683
+:10B3900000000000A00000280900003200000000AA
+:10B3A00000010080020000500000F90E040100803E
+:10B3B000123EF8BA0000040FA0000004FD4BD09428
+:10B3C0000000000F80010080D28192B60000000FC3
+:10B3D000800100802281FCB600000000A000000473
+:10B3E000FD4BD034000000000000008401C02F326B
+:10B3F0000000000000000080F101003400000000A7
+:10B400000000009401C02F320000040F00000090E3
+:10B41000F101009400000000A000008401C02F3260
+:10B420000000000000000080F101F834000000007E
+:10B43000000000900140F8320000000000010028E8
+:10B44000090000520000080F040100280934B0BAB6
+:10B450000000050FB0000080020000B000000000F6
+:10B46000A0000004FD4BD0350000000000010028C2
+:10B47000090000520000080FB00000A822C02FB73A
+:10B480000000060F040084C037ACB0B2000000001A
+:10B49000A000000C0B000032FFFF0000000000784D
+:10B4A000A94DB03000000F0F800000800240B0B600
+:10B4B000000000000000007869819735000000005E
+:10B4C000000084080B007C32000000000000000037
+:10B4D000E72501320042000000080000878D2A3A6B
+:10B4E00000000000001000000700B0320000000063
+:10B4F000001800000700D0320000000012010048D0
+:10B50000F2C138540000130F0000008002000090C8
+:10B510000000150FB00000A0020000B20000000003
+:10B520000000000CABE4B03200001A0F8001008074
+:10B530000240D0B600000000A00000280900003240
+:10B5400000000000000100800200005000001C0FFD
+:10B5500004010080123EF8BA00002D0FA000000484
+:10B560000D40D0940000260F80010080D28192B659
+:10B570000000260F800100802281FCB60000000040
+:10B58000A00000040D40D03400000000000000784E
+:10B5900009C02F3200000000000000FC0200003251
+:10B5A000000000000000008401C02F3200000000F5
+:10B5B00000000080F1010034000000000000009451
+:10B5C00001C02F320000000000000090F1010034A3
+:10B5D00000002D0F000000FC028097920000000088
+:10B5E000A000007809C02F3200000000000000FC1D
+:10B5F00002000032000000000000008401C02F3271
+:10B600000000000000000080F101F834000000009C
+:10B61000000000900140F83200000000000000FC33
+:10B62000028097320000000000010028090000524B
+:10B630000000310F040100280934B0BA00002E0FB9
+:10B64000B0000080020000B000000000A000000474
+:10B650000D40D03500000000000100280900005214
+:10B660000000310FB00000A8020000B200002F0F50
+:10B67000040084C037ACB0B200000000A000000C91
+:10B680000B000032FFFF000000000078A94DB03031
+:10B690000000380F800000800240B0B600000000BB
+:10B6A00000000078698197350000000000008408E0
+:10B6B0000B007C320000000000000000E725013292
+:10B6C0000042000000080000878D2A3A00000000B8
+:10B6D000001000000700B032000000000018000059
+:10B6E0000700D0320000000012010048F2C13854B7
+:10B6F00000003C0F00000080020000900000FFFFEF
+:10B7000000000080020000900000410F1C400280F9
+:10B7100006C092B244000000000100F8A28D2F5232
+:10B72000000036101200006802C592B00000000050
+:10B73000000100701F00F75A0000FFFF00000080AA
+:10B740000200009000000000D5080000078092323F
+:10B75000000000000030000007C02C3200400080D4
+:10B76000003800000700373200000000CA4101E045
+:10B77000068092320000480F1200004802C080B2DA
+:10B780000060000000010008088036720000FFFF22
+:10B79000000000800200009000000000003800005F
+:10B7A00007809232000000000030000007C02C32F9
+:10B7B00000000000CA3D000C07808332000000003A
+:10B7C0001201004802C0807200004E0F000000808D
+:10B7D000020000900000FFFF0000008002000090C7
+:10B7E000000000000457018002C06E7C00000000D1
+:10B7F000005701EC068092720000FFFF00000080FD
+:10B80000020000900000DC0E00000030030038F25F
+:10B810000000570F9D11020C09006EB20000580F76
+:10B8200000F0011C09006E920000000000B8011C2D
+:10B8300009006E3200005A0F2CCD011809806EB23B
+:10B84000000000000000000CC9C1903400005D0F32
+:10B850003B29020409806EB20000000000D601EC12
+:10B8600056C06E34000000000000000CB9C19034D6
+:10B8700000006C0F00A8010809006EF20000610FC3
+:10B880009D01008017E090BA0000000000300080A9
+:10B8900007C091320000640F003800800700EE926C
+:10B8A0000000640F0401008002C091BC0000000091
+:10B8B00000B801E00600EE3200000000007001E078
+:10B8C000060086320000660F3908008007C085B286
+:10B8D00000000000D9C901E8068091320000000094
+:10B8E000C8110080074090320000690F3B210080A2
+:10B8F000070086B200000000DB0000601800863AF6
+:10B9000000000000587801E01620863A0000000090
+:10B9100000290080070085720000FFFF0000008002
+:10B92000020000900000700F020C0280A29B90BCED
+:10B93000000000000000027829006E360000700F41
+:10B9400002000080E2A590BC00000000000000089A
+:10B95000090000320000720F9F89017849216EBCF6
+:10B960000000000000000078090000320000000024
+:10B9700000000008E9A5903F0000780F04200208AD
+:10B98000899B90BE00000000000A0258B89B9036C8
+:10B99000000000000000007849A1903A000000007B
+:10B9A0009F880180829B977C00000000008901E055
+:10B9B0000680977200000000000B0258B89B90763A
+:10B9C0000000FFFF000000800200009000007F0FD9
+:10B9D00080010080A2802FB600007E0F1201007847
+:10B9E00009C021B218003600000000F8730A03F9FC
+:10B9F00000007F0FCA0000D80240849200000000BF
+:10BA0000000000F8A2802F35000000000040006C0C
+:10BA1000881C833A000000000048004C0800723285
+:10BA20000000361008500018C82072BC000000004A
+:10BA30000600008062A0827C000036100200002018
+:10BA4000880182BA00000000000000D80200003225
+:10BA500000000000000000000700063207000000A0
+:10BA600000080000774A09390000000000100000BB
+:10BA70000700823200000000CA190000074082322D
+:10BA80000000890F12000040F2C138B4000000002D
+:10BA9000000100D8024084720000FFFF0000008017
+:10BAA000020000900000930F80010080F2C185B673
+:10BAB0000000900F1F400284E60100B40000930FC5
+:10BAC0001D0100F822812FB40000930F000000F840
+:10BAD00062812F950000920F1D010080020000B0CE
+:10BAE00000000000000000F862812F350000000017
+:10BAF00000400280024068320000361012000068E8
+:10BB000002C585B0000000001D000080020000702A
+:10BB10000100000004010080A28D2F702A00960F02
+:10BB20001200002C82CD2EB200000000000100F8AF
+:10BB300002812F740000FFFF0000008002000090CF
+:10BB400080A8000004000080828D2F7000009F0FED
+:10BB500080010080D2802FB600009F0F04B00080CB
+:10BB600002006EBC00000000000000F872812F345B
+:10BB70003D00A20F1201002C82CD2EB200009D0FBD
+:10BB800000000080020000900000A20F80010080F1
+:10BB9000F2802FB63C00A50F1201002C82CD2EB2F0
+:10BBA0000000A00F00000080020000900000A50F20
+:10BBB00080010080B2802FB63500A30F1200002C48
+:10BBC00082CD2EB200000000000000F842812F3428
+:10BBD0008000000004000080828D2F7002000000B1
+:10BBE00004010080A28D2F703B00A70F1200002CD3
+:10BBF00082CD2EB200000000000100F812812F74E7
+:10BC00000000FFFF0000008002000090080000001C
+:10BC1000001801E876208139EEFF0000000100F8ED
+:10BC2000828D2F710000FFFF000000800200009055
+:10BC30000000B10F0000013808C06EF200000000E3
+:10BC40001201004802C080720000AE0F00000080A8
+:10BC5000020000900000FFFF000000800200009042
+:10BC60000000B30F0438017809006EB20000000034
+:10BC7000003801E00600003200000000CA11000098
+:10BC8000078082320000B60F2E190000078097B29D
+:10BC90000000000000000028E98192340000BB0F82
+:10BCA0002731000007C02CB200000000D5080000BA
+:10BCB0000700873200000000C7000028E9809234A6
+:10BCC00000000000004001E0060087320000000094
+:10BCD00000000008D8818034100000000039000006
+:10BCE000E7A092790000FFFF0000008002000090B2
+:10BCF0000000BD0F1200004412E438B218003600F4
+:10BD0000000000F8730A03F90000C20F040100806C
+:10BD100002802DBC0000BE0F670000F8A2802FB586
+:10BD200000003610120000E802C021B2000000003E
+:10BD3000000100D8024000720000FFFF00000080F8
+:10BD4000020000900000C70F04300080829B81BC7D
+:10BD500000000000CA0100F802802F3500A0C60FC5
+:10BD600012000040A28D39B200C0CB0F0438007819
+:10BD7000898D6EB01000CB0F9F0100F8E2A52FB99E
+:10BD800000000000005801EC06C0EE320000000088
+:10BD900000000080020000300000000004280018AD
+:10BDA00009006E720000BA0D00000080020000F071
+:10BDB0000000A30D00A8012009006E920000FFFF03
+:10BDC00000000080020000900000D40F04B000804A
+:10BDD000829B81BC0000000000B800E886806E34C1
+:10BDE00000000000CA0100F842802F3508A00000C2
+:10BDF00012010040A2CD39720000D20F0000008075
+:10BE0000020000900000000000B800E886806E3458
+:10BE10000000000000010080020000700000FFFF31
+:10BE200000000080020000900000DA0F33CD01BC5A
+:10BE300008806EB200001410000000282922EEDCF9
+:10BE40000000DF0F00000080020000900000DF0F04
+:10BE500004B8012809006EB20000DF0F9F71018055
+:10BE6000C2216EBC000036109F000028A924EEBC41
+:10BE70000000141000000028198092DF000000006C
+:10BE800000000080020000300000F20F02810180FB
+:10BE9000829B90BCEE05EA0F060C0280828D6EBC80
+:10BEA00000904C0000000084020037320000E40FD4
+:10BEB000B8010080020000B00000E20F0000008026
+:10BEC0000200009000000000000000C403809032D7
+:10BED0000000000000B001E096216E3C0000000070
+:10BEE000619801E0060087320000000000D401ECF8
+:10BEF0000600003200000000A800007849403C37EE
+:10BF00000000F70F00000008E9A5909A6089200062
+:10BF100000000084020037320000ED0FB8010080FD
+:10BF2000020000B00000EB0F000000800200009053
+:10BF300000000000000000C40380903200000000F8
+:10BF400000B001E096216E3C00000000619801E025
+:10BF5000060087320000000000D401EC0600003229
+:10BF60000000F70FA8000008198F909A0000000049
+:10BF70000000007899A1893E000000000000000840
+:10BF8000E9A5903A0000000000B001E096216E3C67
+:10BF900000000000619801E0060087320000000008
+:10BFA00000D401EC060000320000FA0F0600008009
+:10BFB00072A290BC00C0FF3F008001E00600373253
+:10BFC000000000000000000809C089320000FF0FD7
+:10BFD00004790180821B87BC0000FD0F04B0008043
+:10BFE00002006EBC00000110D99001E00680909222
+:10BFF000000004108000008052812FB60000041061
+:10C00000D54101E006008792000001103C9001E05C
+:10C01000068090B20000000000C801E806C08B3224
+:10C02000000000009501008002802F7200000510C2
+:10C030009F410180821B87BC00000000000100803E
+:10C040000200007000000000D99001E006809032EC
+:10C0500000000000000100F872802F740000FFFF54
+:10C06000000000800200009000000D109FD80180A9
+:10C0700022216EBC000000000B0100800200007055
+:10C0800000000D109FE00180C2216EBC0000000086
+:10C090000B0100800200007000000D109FB00180B5
+:10C0A000D2216EBC00000000000100800200007080
+:10C0B00000000F1006680180825B87BC0000000052
+:10C0C000006801E0064087320000111037B001E03F
+:10C0D000064087B200000000000000F8D2802F3434
+:10C0E0000000000000D801E006808432000000005B
+:10C0F00000E101E0060087720000FFFF0000008001
+:10C10000020000900000201004C1018402006EB201
+:10C110000500000000C001E8868D92370300000092
+:10C1200000C401E8868D9237000000000000008006
+:10C13000020000300300000000C0012C898D6E3623
+:10C140000000000000C4012CA9DB923A00000000AE
+:10C150000000002C29C09236000000000000002CD6
+:10C1600019FB923F00000000000000282980923A4D
+:10C17000000000000000002CA9E4923F0000000035
+:10C180006FCC01E826FB923E0000000000B901E000
+:10C19000060000520000000000000094028092326D
+:10C1A0000000000000C001E006402832100000003E
+:10C1B0006FCC01E886CD2A360000000000B901E00E
+:10C1C000060000520000FFFF000000800200009007
+:10C1D00000000000009001BC08006E32000000006A
+:10C1E00000B001BC88DB8B3E00000000009801BC61
+:10C1F00088DB8B3A000030109F0000BC88E18BBCCC
+:10C2000000003010040C0240A8DB8BBE00000000D0
+:10C2100000B00004881B843E00002D1004B1008093
+:10C22000825B80BC00000000000100F8C2802F7417
+:10C2300000000000040C0280A25B807C0000301033
+:10C240000468017819006EB600000000020000804A
+:10C25000E265807C000000000000008812002C3A9B
+:10C260000000FFFF000000800200009008000000B6
+:10C27000001C01E876208139EEFF0000000100F883
+:10C28000828D2F710000FFFF0000008002000090EF
+:10C2900000003610000000B40F40FB9400000000C6
+:10C2A000000000880F402B320000000000000090CA
+:10C2B0000F00283200000000000000940F00293217
+:10C2C00010000000000000B85F461839FF000000B1
+:10C2D0000000009C0F003632000000000000009CAF
+:10C2E0005FCAF935000000000000004403C0F932C5
+:10C2F00000000000000000E40300003241000010D4
+:10C30000000000E00300373200000000000000E4FD
+:10C310000300003240000010000000E0030037324C
+:10C3200000004510670000980F802AB2000000004E
+:10C33000000000A8020000320000431012C186E095
+:10C3400007C021B20000000000B886C006802A3273
+:10C350004C420000000000A802003632471058117D
+:10C36000000000B00F003692000000000000009CAA
+:10C370000200003200014411000000AC0F0036D270
+:10C3800000000000000000AC0F802A3200200000F6
+:10C39000000000A802003632000000000000009CEF
+:10C3A0000F007E3200000000000000A00F007E326F
+:10C3B00000000000000000A40F007E32000000001A
+:10C3C000000000A80F007E3200000000000000A85E
+:10C3D00002C0FA3200000000000000E007C0F9329D
+:10C3E00000000000000000E00700FA32000000003A
+:10C3F000000000E00740FA3200005B10000000E09F
+:10C400000780FAD200000000000000E00780FB3245
+:10C4100001008210040100B48F4DFBB00200000047
+:10C42000000000A002000039408000000000000C65
+:10C43000ABCDB032100000000000000C5BCAB03978
+:10C44000000000000000000C2BFEB0320000811143
+:10C45000000000800200009000000000000000F8D2
+:10C460000300013200000000000100E007803F529D
+:10C4700018000000000000F8738A02390000000074
+:10C4800000000044530A1635000000000000009C24
+:10C490000F80963200000000000000A00FC096320E
+:10C4A00000000000000000A40F009732A26003000B
+:10C4B0000000005803003732681000000000005CE4
+:10C4C000030036320000000000000050830D0034ED
+:10C4D0000000000000000048830D00340000000050
+:10C4E00000000044530A00340000360000000080C1
+:10C4F00002000090000000000000006809C0F9324E
+:10C50000000000000000006C0900FA32000000008A
+:10C51000000000700940FA3200007A10000000802C
+:10C520000200009002000000000000A0F20B0039A1
+:10C5300000006F10800100801240B0B600000000C3
+:10C54000000000043B40B033000000000000000485
+:10C55000FD4BD035000073100000000C0B009792CB
+:10C5600002000000000000A0F20B00390000731070
+:10C57000000000046B019794000073101200006823
+:10C58000094020B2000074101200006C094020B273
+:10C590000D000000000000FCA2E516380000791034
+:10C5A0009F000080028096B2000000000000007032
+:10C5B00009C0963200007A100000006C09C0FD929C
+:10C5C0000000791012000070094020B20000000045
+:10C5D0000000009C0200003200000000000000D8B3
+:10C5E0000200003202007310040100BCAF2517B82E
+:10C5F00006007110040000BCAF6516B800006C1096
+:10C600000400008022C0FBBC00008A1004000080EF
+:10C6100012C1FBBC200073100401008082CDFBBC62
+:10C6200002000000000000A0F20B003900008B1097
+:10C6300000000080020000D084100000000000888C
+:10C6400082CDF93A00007A110000008002000090CB
+:10C650000000B31000000080020000900000B41041
+:10C6600000000080020000900000B8100000008070
+:10C67000020000900000C010000000800200009046
+:10C6800000001911000000800200009000007310EB
+:10C69000000000DC0F009792000000000000000086
+:10C6A0000700033240420000000000A802003632BA
+:10C6B000000000000008000007802A32000000008F
+:10C6C0000010000007009732000000000018000072
+:10C6D00007C096320880901012000040028036B2E7
+:10C6E00000000000000000800200003000009210F6
+:10C6F0001200009C0FC021B21D00951004000080A4
+:10C7000072BE17B800009210000000F81E80EF9A69
+:10C71000130000000000009C7FBE17380000981036
+:10C720000400008012C0F9BC00009210000000F864
+:10C730001E80EF9A000000000000009C0F007E3277
+:10C7400000000000000000A00F007E32000000008A
+:10C75000000000A40F007E32000000000001000075
+:10C760000700FA52000000000000009C02000032A6
+:10C770004C420000000000A8020036320000000019
+:10C780000008000007802A3200006E1100000080BF
+:10C79000020000D00000721100000080020000D0F2
+:10C7A000000000000000000CCBC1B034000000000D
+:10C7B0000000009C0200003200000000000000D8D1
+:10C7C000020000320000F10E0000002809C0B0D2C3
+:10C7D0000000A21004000080028092B20000A610A7
+:10C7E0001200009C0FC021B21D00A910040000809F
+:10C7F00072BE17B80000A610000000F81E80EF9A65
+:10C80000130000000000009C7FBE17380000AC1031
+:10C810000400008012C0F9BC0000A610000000F85F
+:10C820001E80EF9A0200AE10040100B48F4DFBB0E1
+:10C83000000073100000008002000090080000005B
+:10C84000000000F89340013900000000000000B42F
+:10C850001F40FB35FE000000000000480300363298
+:10C860000000000000000044030000340000A2109B
+:10C870000000000C8BC1B09400007E110008000085
+:10C880000740FA9200006E11000800000740FAD23B
+:10C890000880B51012000050028036B200007311FB
+:10C8A00000000080020000D0000080110000008025
+:10C8B00002000090000800000000009C0F003632CB
+:10C8C00000440000000000A8020036320000000012
+:10C8D000000000A00200003200000000000000E0A4
+:10C8E0000700B03200000000000000A012002A3A49
+:10C8F0000000BB100401009C1FC0F9BC00440000F4
+:10C90000000000A80200363202007D11000000A0E5
+:10C91000F20B00990000C810040100800240FAB236
+:10C9200000440000000000A8020036320000CA10D7
+:10C9300000000080020000D00000D710000000843A
+:10C94000020000D200000000000000E007C03C32FE
+:10C950000000C4108E010080024028B20044000094
+:10C96000000000A40F0036320000B3100000008069
+:10C970000200009000440000000000A48F4DFA3A2D
+:10C980000000B310000000800200009000000000D2
+:10C990000000009C0F00003210000001000000ACFD
+:10C9A0000F0037320000DC1000000080020000D0D1
+:10C9B0000800CC100401008082CDF9BC000000000A
+:10C9C0000000009C0F0000320E000001000000ACCF
+:10C9D0000F0037320000DC1000000080020000D0A1
+:10C9E0000B00D0100401008082CDF9BC20000000B3
+:10C9F0000000009C0F0036320F000001000000AC68
+:10CA00000F0037320000DC1000000080020000D070
+:10CA10002700D4100401008082CDF9BC0000000082
+:10CA20000001008002000050000000000000009C97
+:10CA30000F0000320F000001000000AC0F00373281
+:10CA40000000DC1000000080020000D02000D9109F
+:10CA50000401008082CDF9BC0000000000010080CC
+:10CA60000200005000000000000000E403C0F932A2
+:10CA70000D000001000000E003003732000000005C
+:10CA8000000000E003C0FA3200000000000000E0F7
+:10CA900007403E32000000000001009C1FC0F95A10
+:10CAA00000000000000000E003C0F93200000000B8
+:10CAB000000000E007403E32000000000000009C43
+:10CAC0001FC0F93AFF000000000100AC8FCDF95003
+:10CAD000000000000000009C0FC02F32000000008A
+:10CAE000000000FC0200003200000000000000E036
+:10CAF00007803E3200000000000000FC12C02F3A08
+:10CB00000F00E7100401008082CD2FBC0000000060
+:10CB1000000000E007803E3200000000000100FC41
+:10CB200002C0F95200000000000000E007003A32A5
+:10CB300000000000000000E007403A320000000062
+:10CB4000000000E007803A3200000000000000E032
+:10CB500007C03A32000000000000009C0FC02F32D6
+:10CB600000000000000000FC020000320000000095
+:10CB7000000000E007003D3200000000000000E07F
+:10CB800007403D320000F210830100FC12C02FBAB2
+:10CB900000000000000100FC02C0F952000000008B
+:10CBA0000000009C0F0000320C0000000000000894
+:10CBB000733E003900000000000000E00700303242
+:10CBC000000000000000009C1FC0F93A7000F71040
+:10CBD0000401008082CDF9BC000000000000000CC0
+:10CBE0000300003200000000000000E007003032C7
+:10CBF00000000000000000100300003200000000F0
+:10CC0000000000E007003032000000000000009C3F
+:10CC10000F00003200000000000000A00FC0293209
+:10CC2000000000000000009C02C0F932000000007B
+:10CC3000000000A40FC02C32000000000000009C87
+:10CC40000200FA32180000000000002C737EFA394E
+:10CC500000000000000000E007003032000000117A
+:10CC60008501009C1FC0F9BA00000000000100808F
+:10CC700002000050010000010000009C0F0037324C
+:10CC80000000E11000000080020000D00E000F1133
+:10CC90000401008082CDFABC00000000000000E02A
+:10CCA0000700003200000000000000E00700003232
+:10CCB00000000000000000E0070000320000091141
+:10CCC0000000009C3FC0F99A1C000911040100807B
+:10CCD00082CDFABC0200E1100000009C8FCDF9DA91
+:10CCE000000000000001008002000050010000026E
+:10CCF0000000009C0F0037320000E11000000080AF
+:10CD0000020000D00E0017110401008082CDFABC91
+:10CD100000000000000000E00700003200001311D6
+:10CD20000000009C1FC0F99A260013110401008026
+:10CD300082CDFABC0000000000010080020000501B
+:10CD400000000000000000A80F402932004400004D
+:10CD5000000000A802003632000008110000008028
+:10CD6000020000D00000121100000080020000D07C
+:10CD70000000E51000000080020000D0000000006C
+:10CD8000000000E00780183200000000000000E012
+:10CD900007401A3200000000000000E007001A32CD
+:10CDA00000000000000000E007801A3200000000D0
+:10CDB000000000E007C01A3200000000000000A0E0
+:10CDC0000F000032A2600300000000580300373259
+:10CDD0002B1100000000005C030036320000000050
+:10CDE0000000009C0F802A3200002B1104000080FC
+:10CDF000024029B20000000000000050833E0034D1
+:10CE00000000000000000048833E003400000000E5
+:10CE100000000044530A003400002C110000008878
+:10CE20000F402B9200000000000000900F002832FD
+:10CE300000000000000000940F00293200000000F4
+:10CE4000000000980F802A3200000000000000A8B7
+:10CE500002C0F93231115811000000B00F003692B3
+:10CE60000700341104000080824D29BC000000003E
+:10CE7000000000A01F00FA3A000028110000009CEA
+:10CE80000F802A92C0010000000000AC0F00363273
+:10CE9000010000000000009C020036320000441136
+:10CEA00000000080020000D01F003A110400008042
+:10CEB00082CD29BCC0000000000000AC8FCDFA3A42
+:10CEC000000036110000009C12C0299A0000F610E4
+:10CED00000000080020000D00000EC100000008084
+:10CEE000020000D00000421104000080528AFABC07
+:10CEF000A260030000000058030037324211000016
+:10CF00000000005C0300363200000000000000500A
+:10CF1000A33E00340000000000000048A33E00349F
+:10CF20000000000000000044530A003400440000E8
+:10CF3000000000A40F0036320000B3100000008093
+:10CF40000200009000000000000000C402C0FA329D
+:10CF5000030000000000009C0F00363200000000BB
+:10CF6000000000BC0F402F3200004B110400009C59
+:10CF70001FC0F9BC00004A110400008002402FB21B
+:10CF800000004711000000E007002C92000047114C
+:10CF9000000000E00700369200000000000000E002
+:10CFA00007402C3200000000000000E007802C3217
+:10CFB00000000000000000E007C02C32000000006C
+:10CFC000000000E007002D3200000000000000E03B
+:10CFD00007402D3200000000000000E007802D32E5
+:10CFE00000000000000000E007C02D32000000003B
+:10CFF000000000E007C0FB3200000000000000E07D
+:10D0000007802F3200000000000000E007C02F3230
+:10D0100018000000000000F8730A02390000000048
+:10D02000000100E007803F52FF00000000000044C4
+:10D030000300363200000000000000E00700F93273
+:10D0400000000000000000E007402832000000005F
+:10D05000000000E00780F832030000000000009CA0
+:10D060000F00363200000000000000BC0FC02B3261
+:10D07000000061110400009C1FC0F9BC0000601199
+:10D080000400008002C02BB200005D11000000E02F
+:10D0900007C0289200005D11000000E007003692F2
+:10D0A00000000000000000E00740F932000000002E
+:10D0B000000000E00740293200000000000000E00E
+:10D0C0000780293200000000000000E007C029327C
+:10D0D00000000000000000E007002A32000000000D
+:10D0E000000000E007402A3200000000000000E0DD
+:10D0F0000780F93200000000000000E007C02A327B
+:10D1000000000000000000E007C02F320000000017
+:10D11000000000E007402B3200000000000000E0AB
+:10D1200007802B3200000000000000E007C0FB3247
+:10D1300000000000000000880200FB320000000038
+:10D140000000009C0200003200000000000000D837
+:10D1500002000032000000000010000007009732BB
+:10D16000000000000019000007C0965208807211EC
+:10D1700012000048028036B200000000000000806B
+:10D1800002000030000074111200009C0FC021B298
+:10D190001D0077110400008072BE17B800007411E2
+:10D1A000000000F81E80EF9A130000000000009CB1
+:10D1B0007FBE1738000000000400008012C0F95C38
+:10D1C00000007411000000F81E80EF9A00000000BB
+:10D1D000000000B40F40FB35000000000000009C80
+:10D1E000020000324C420000000000A8020036326B
+:10D1F000000000000008000007802A3200006E11C5
+:10D2000000000080020000D00000721100000080C9
+:10D21000020000D0000000000000000CCBC1B034C0
+:10D22000000000000000009C02000032000000002E
+:10D23000000000D80200003200008B11000000281E
+:10D2400009C0B0D20000811104000080028092B2B7
+:10D25000000085111200009C0FC021B21D00881132
+:10D260000400008072BE17B800008511000000F8AD
+:10D270001E80EF9A130000000000009C7FBE17384C
+:10D28000000073100400008012C0F9BC000085117A
+:10D29000000000F81E80EF9A00000000000000FC73
+:10D2A0000200003202000000000000A0F20B003972
+:10D2B00000008F11040100280934B0BA00000000FA
+:10D2C000000100280900005200000000000000A832
+:10D2D00022C02F3700000000000084C037ACB032FD
+:10D2E000000000000000000C0B000032FFFF0000F7
+:10D2F000000000C0AF4DB0300000951180000080EC
+:10D300000240B0B600000000000000C06F01FC3514
+:10D310000000000000000000073F01320042000052
+:10D3200000080000878D2A3A00000000001000006D
+:10D330000700B03200000000001800000700D032E3
+:10D3400000000000000000C03FC1383400000000B1
+:10D3500012010048F201FC5400009A110000008004
+:10D36000020000900000FFFF00000080020000901B
+:0CD3700000003600000000800200009069
+:00000001FF
diff --git a/firmware/tehuti/bdx.bin.ihex b/firmware/tehuti/bdx.bin.ihex
new file mode 100644
index 0000000..b029e4c
--- /dev/null
+++ b/firmware/tehuti/bdx.bin.ihex
@@ -0,0 +1,2678 @@
+:1000000002000F00008071402D000000000000C0C1
+:1000100002000F00018071002D000000000080C070
+:1000200002000F00028071002D000000000000C1DE
+:1000300002000F00038071002D000000000080C14D
+:1000400002000F00048071002D000000000000C2BB
+:1000500002000F00058071002D000000000080C22A
+:1000600002000F00068071002D000000000000C398
+:1000700002000F00078071002D000000000080C307
+:1000800002000F00088071002D000000000000C475
+:1000900002000F00098071002D000000000080C4E4
+:1000A00002000F000A8071002D000000000000C552
+:1000B00002000F000B8071002D000000000080C5C1
+:1000C00002000F000C8071002D000000000000C62F
+:1000D00002000F000D8071002D000000000080C69E
+:1000E00002000F000E8071002D000000000000C70C
+:1000F00002000F000F8071002D000000000080C77B
+:1001000002000F00108071002D000000000000C8E8
+:1001100002000F00118071002D000000000080C857
+:1001200002000F00128071002D000000000000C9C5
+:1001300002000F00138071002D000000000080C934
+:1001400002000F00148071002D000000000000CAA2
+:1001500002000F00158071002D000000000080CA11
+:1001600002000F00168071002D000000000000CB7F
+:1001700002000F00178071002D000000000080CBEE
+:1001800002000F00188071002D000000000000CC5C
+:1001900002000F00198071002D000000000080CCCB
+:1001A00002000F001A8071002D000000000000CD39
+:1001B00002000F001B8071002D000000000080CDA8
+:1001C00002000F001C8071002D000000000000CE16
+:1001D00002000F001D8071002D000000000080CE85
+:1001E00002000F001E8071002D000000000000CFF3
+:1001F00002000F001F8071002D000000000080CF62
+:1002000002000F00208071002D000000000000D0CF
+:1002100002000F00218071002D000000000080D03E
+:1002200002000F00228071002D000000000000D1AC
+:1002300002000F00238071002D000000000080D11B
+:1002400002000F00248071002D000000000000D289
+:1002500002000F00258071002D000000000080D2F8
+:1002600002000F00268071002D000000000000D366
+:1002700002000F00278071002D000000000080D3D5
+:1002800002000F00288071002D000000000000D443
+:1002900002000F00298071002D000000000080D4B2
+:1002A00002000F002A8071002D000000000000D520
+:1002B00002000F002B8071002D000000000080D58F
+:1002C00002000F002C8071002D000000000000D6FD
+:1002D00002000F002D8071002D000000000080D66C
+:1002E00002000F002E8071002D000000000000D7DA
+:1002F00002000F002F8071002D000000000080D749
+:1003000002000F00308071002D000000000000D8B6
+:1003100002000F00318071002D000000000080D825
+:1003200002000F00328071002D000000000000D993
+:1003300002000F00338071002D000000000080D902
+:1003400002000F00348071002D000000000000DA70
+:1003500002000F00358071002D000000000080DADF
+:1003600002000F00368071002D000000000000DB4D
+:1003700002000F00378071002D000000000080DBBC
+:1003800002000F00388071007B000000008060DDFB
+:1003900002000F00398071002D000000000000DD18
+:1003A00002000F003A8071002D000000000080DB89
+:1003B00002000F003B8071002D000000000000DDF6
+:1003C00002000F003C8071002D000000000000DDE5
+:1003D00002000F003D8071000000000000000000DE
+:1003E00002000F003E8071000000000000000000CD
+:1003F00002000F003F8071000000000000000000BC
+:1004000002000F00408071000000000000000000AA
+:1004100002000F0041807100000000000000000099
+:1004200002000F0042807100000000000000000088
+:1004300002000F0043807100000000000000000077
+:1004400002000F0044807100000000000000000066
+:1004500002000F0045807100000000000000000055
+:1004600002000F0046807100000000000000000044
+:1004700002000F0047807100000000000000000033
+:1004800002000F0048807100000000000000000022
+:1004900002000F0049807100000000000000000011
+:1004A00002000F004A807100000000000000000000
+:1004B00002000F004B8071000000000000000000EF
+:1004C00002000F004C8071000000000000000000DE
+:1004D00002000F004D8071000000000000000000CD
+:1004E00002000F004E8071000000000000000000BC
+:1004F00002000F004F8071000000000000000000AB
+:1005000002000F0050807100000000000000000099
+:1005100002000F0051807100000000000000000088
+:1005200002000F0052807100000000000000000077
+:1005300002000F0053807100000000000000000066
+:1005400002000F0054807100000000000000000055
+:1005500002000F0055807100000000000000000044
+:1005600002000F0056807100000000000000000033
+:1005700002000F0057807100000000000000000022
+:1005800002000F0058807100000000000000000011
+:1005900002000F0059807100000000000000000000
+:1005A00002000F005A8071000000000000000000EF
+:1005B00002000F005B8071000000000000000000DE
+:1005C00002000F005C8071000000000000000000CD
+:1005D00002000F005D8071000000000000000000BC
+:1005E00002000F005E8071000000000000000000AB
+:1005F00002000F005F80710000000000000000009A
+:1006000002000F0060807100000000000000000088
+:1006100002000F0061807100000000000000000077
+:1006200002000F0062807100000000000000000066
+:1006300002000F0063807100000000000000000055
+:1006400002000F00648071002D000000000000DB3C
+:1006500002000F00658071003F000000040100DD12
+:1006600002000F00668071003F000000010018DDED
+:1006700002000F0067807100690000007A3D00DD14
+:1006800002000F00688071003F000000040800DDD8
+:1006900002000F0069807100690000007A3D00DDF2
+:1006A00002000F006A8071003F000000043000DD8E
+:1006B00002000F006B8071003F000000010018DD98
+:1006C00002000F006C807100690000007A3D00DDBF
+:1006D00002000F006D8071003F000000040000DD8B
+:1006E00002000F006E807100690000007A3D00DD9D
+:1006F00002000F006F8071003F000000043D00DD2C
+:1007000002000F00708071003F000000010018DD42
+:1007100002000F0071807100690000007A3D00DD69
+:1007200002000F00728071003F000000040700DD2E
+:1007300002000F0073807100690000007A3D00DD47
+:1007400002000F00748071003F000000842800DD6B
+:1007500002000F00758071003F000000010018DDED
+:1007600002000F0076807100690000007A3D00DD14
+:1007700002000F00778071003F000000043700DDA9
+:1007800002000F0078807100690000007A3D00DDF2
+:1007900002000F00798071003F000000042900DD95
+:1007A00002000F007A8071003F000000010018DD98
+:1007B00002000F007B807100690000007A3D00DDBF
+:1007C00002000F007C8071003F00000004AA04DDDD
+:1007D00002000F007D807100690000007A3D00DD9D
+:1007E00002000F007E8071003F000000042800DD41
+:1007F00002000F007F8071003F000000010018DD43
+:1008000002000F0080807100690000007A3D00DD69
+:1008100002000F00818071003F000000043100DD04
+:1008200002000F0082807100690000007A3D00DD47
+:1008300002000F00838071003F000000842B00DD68
+:1008400002000F00848071003F000000010018DDED
+:1008500002000F0085807100690000007A3D00DD14
+:1008600002000F00868071003F00000004E401DDFB
+:1008700002000F0087807100690000007A3D00DDF2
+:1008800002000F00888071003F000000840080D7C4
+:1008900002000F00898071003F000000010098D71E
+:1008A00002000F008A80710059000000EF3780D7E6
+:1008B00002000F008B8071003D0000006F0080F788
+:1008C00002000F008C8071003D0000006F0080F777
+:1008D00002000F008D8071003D0000006F0080F766
+:1008E00002000F008E8071002D0000007F02D6D71D
+:1008F00002000F008F807100180000008100FF17B8
+:1009000002000F00908071003D0000006F0080F732
+:1009100002000F00918071003D0000006F0080F721
+:1009200002000F00928071002D000000B800D8D79F
+:1009300002000F0093807100180000008100EB1787
+:1009400002000F00948071003F000000042900DDC8
+:1009500002000F00958071003F000000010018DDCB
+:1009600002000F0096807100690000007A3D00DDF2
+:1009700002000F00978071003F00000084AA04DD90
+:1009800002000F0098807100690000007A3D00DDD0
+:1009900002000F00998071003F000000042B00DD71
+:1009A00002000F009A8071003F000000010018DD76
+:1009B00002000F009B807100690000007A3D00DD9D
+:1009C00002000F009C8071003F000000040000DD69
+:1009D00002000F009D807100690000007A3D00DD7B
+:1009E00002000F009E8071003F000000842900DD9E
+:1009F00002000F009F8071003F000000010018DD21
+:100A000002000F00A0807100690000007A3D00DD47
+:100A100002000F00A18071003F000000040000DD13
+:100A200002000F00A2807100690000007A3D00DD25
+:100A300002000F00A38071003F000000042A00DDC7
+:100A400002000F00A48071003F000000010018DDCB
+:100A500002000F00A5807100690000007A3D00DDF2
+:100A600002000F00A68071003F000000849100DDAD
+:100A700002000F00A7807100690000007A3D00DDD0
+:100A800002000F00A88071003F000000841980D68A
+:100A900002000F00A98071003F000000010080D615
+:100AA00002000F00AA80710035000000ED0080D622
+:100AB00002000F00AB807100180000008100FF37BA
+:100AC00002000F00AC8071003F000000042B00DD2D
+:100AD00002000F00AD8071003F000000010018DD32
+:100AE00002000F00AE807100690000007A3D00DD59
+:100AF00002000F00AF8071003F000000040000DD25
+:100B000002000F00B0807100690000007A3D00DD36
+:100B100002000F00B18071003F000000842A00DD58
+:100B200002000F00B28071003F000000010018DDDC
+:100B300002000F00B3807100690000007A3D00DD03
+:100B400002000F00B48071003F000000040000DDCF
+:100B500002000F00B5807100690000007A3D00DDE1
+:100B600002000F00B68071003F000000840C80D6A8
+:100B700002000F00B78071003F000000010080D626
+:100B800002000F00B880710035000000ED0080D633
+:100B900002000F00B9807100180000008100FF37CB
+:100BA00002000F00BA8071003F000000842A00DDBF
+:100BB00002000F00BB8071003F000000010018DD43
+:100BC00002000F00BC807100690000007A3D00DD6A
+:100BD00002000F00BD8071003F000000040000DD36
+:100BE00002000F00BE807100690000007A3D00DD48
+:100BF00002000F00BF8071003F000000840F80D60C
+:100C000002000F00C08071003F000000010080D68C
+:100C100002000F00C180710035000000ED0080D699
+:100C200002000F00C2807100180000008100FF3731
+:100C300002000F00C38071003F000000042A00DDA5
+:100C400002000F00C48071003F000000010018DDA9
+:100C500002000F00C5807100690000007A3D00DDD0
+:100C600002000F00C68071003F000000841100DD0B
+:100C700002000F00C7807100690000007A3D00DDAE
+:100C800002000F00C88071003F000000842800DDD2
+:100C900002000F00C98071003F000000010018DD54
+:100CA00002000F00CA807100690000007A3D00DD7B
+:100CB00002000F00CB8071003F000000843700DD90
+:100CC00002000F00CC807100690000007A3D00DD59
+:100CD00002000F00CD8071002D000000000080D3C5
+:100CE00002000F00CE8071003F000000803700D26C
+:100CF00002000F00CF8071003F000000040480D18B
+:100D000002000F00D08071003F000000010084D17C
+:100D100002000F00D180710069000000763B00DD09
+:100D200002000F00D280710069000000763B00DDF8
+:100D300002000F00D380710069000000763B00DDE7
+:100D400002000F00D48071003F00000084FF7FD1BB
+:100D500002000F00D58071003F00000081FF7FD1AD
+:100D600002000F00D680710069000000763B00DDB4
+:100D700002000F00D780710069000000763B00DDA3
+:100D800002000F00D880710069000000763B00DD92
+:100D900002000F00D980710069000000763B00DD81
+:100DA00002000F00DA80710069000000763B00DD70
+:100DB00002000F00DB80710069000000763B00DD5F
+:100DC00002000F00DC8071003F000000840780D625
+:100DD00002000F00DD8071003F000000010080D69E
+:100DE00002000F00DE80710035000000ED0080D6AB
+:100DF00002000F00DF807100180000008100FF3743
+:100E000002000F00E080710049000000633B00DD3C
+:100E100002000F00E180710059000000763B00DD08
+:100E200002000F00E28071003D0000006F0080F7BB
+:100E300002000F00E38071003D0000006F0080F7AA
+:100E400002000F00E48071003D0000006F0080F799
+:100E500002000F00E58071002D0000007F0206DD1A
+:100E600002000F00E6807100180000007A3D7F1D2F
+:100E700002000F00E780710045000000393100DDFD
+:100E800002000F00E8807100940000003B310B006D
+:100E900002000F00E9807100940000003D3109005C
+:100EA00002000F00EA807100940000003F3107004B
+:100EB00002000F00EB80710094000000763B0500FB
+:100EC00002000F00EC807100090000007A3DEDC1C6
+:100ED00002000F00ED8071003F00000080B700D2DB
+:100EE00002000F00EE8071003F000000842800DD4A
+:100EF00002000F00EF8071003F000000010018DDCC
+:100F000002000F00F080710069000000643200DD13
+:100F100002000F00F1807100690000007A3D00DDE1
+:100F200002000F00F28071003F000000840780D6AD
+:100F300002000F00F38071003F000000010080D626
+:100F400002000F00F480710035000000ED0080D633
+:100F500002000F00F5807100180000008100FF37CB
+:100F600002000F00F680710049000000633B00DDC5
+:100F700002000F00F780710059000000763B00DD91
+:100F800002000F00F88071003D0000006F0080F744
+:100F900002000F00F98071003D0000006F0080F733
+:100FA00002000F00FA8071003D0000006F0080F722
+:100FB00002000F00FB8071002D0000007F0206DDA3
+:100FC00002000F00FC807100180000007A3D7F1DB8
+:100FD00002000F00FD807100450000003A3100DD85
+:100FE00002000F00FE80710018000000763B2D1DEE
+:100FF00002000F00FF807100450000003C3100DD61
+:1010000002000F000081710018000000763B131DE4
+:1010100002000F0001817100450000003E3100DD3B
+:1010200002000F000281710018000000763B1B1DBA
+:1010300002000F00038171003F000000043000DD5A
+:1010400002000F00048171003F000000010018DD64
+:1010500002000F0005817100690000007A3D00DD8B
+:1010600002000F00068171003F000000040100DD56
+:1010700002000F0007817100690000007A3D00DD69
+:1010800002000F0008817100090000007A3D2DC5A3
+:1010900002000F000981710029000000640001D2E4
+:1010A00002000F000A8171003F000000842800DD6B
+:1010B00002000F000B8171003F000000010018DDED
+:1010C00002000F000C81710069000000643200DD35
+:1010D00002000F000D817100690000007A3D00DD03
+:1010E00002000F000E817100090000007A3D29C244
+:1010F00002000F000F81710029000000640000D27F
+:1011000002000F00108171003F000000842800DD04
+:1011100002000F00118171003F000000010018DD86
+:1011200002000F001281710069000000643200DDCE
+:1011300002000F0013817100690000007A3D00DD9C
+:1011400002000F001481710049000000633B00DDC4
+:1011500002000F001581710059000000763B00DD90
+:1011600002000F00168171003D0000006F0080F743
+:1011700002000F00178171003D0000006F0080F732
+:1011800002000F00188171003D0000006F0080F721
+:1011900002000F00198171002D0000007F0206DDA2
+:1011A00002000F001A817100180000007A3D7F1DB7
+:1011B00002000F001B817100450000003A3100DD84
+:1011C00002000F001C81710018000000763B0F1D0B
+:1011D00002000F001D8171003F000000043000DD9F
+:1011E00002000F001E8171003F000000010018DDA9
+:1011F00002000F001F817100690000007A3D00DDD0
+:1012000002000F00208171003F000000040100DD9A
+:1012100002000F0021817100690000007A3D00DDAD
+:1012200002000F0022817100090000007A3D2DC5E7
+:1012300002000F00238171002D000000820008D100
+:1012400002000F0024817100080000007A3DC323D2
+:1012500002000F0025817100490000000A3B00D602
+:1012600002000F00268171003F000000040000D33F
+:1012700002000F00278171003F000000010004D32D
+:1012800002000F00288171002F000000854081D6E8
+:1012900002000F00298171003F00000084FFFFD48D
+:1012A00002000F002A8171003F000000810780D4F6
+:1012B00002000F002B8171003F00000084FFFFD16E
+:1012C00002000F002C8171003F000000010080D15E
+:1012D00002000F002D81710049000000663600DD1C
+:1012E00002000F002E81710069000000763B00DDD6
+:1012F00002000F002F81710069000000763B00DDC5
+:1013000002000F003081710069000000763B00DDB3
+:1013100002000F003181710069000000693B00DDAF
+:1013200002000F003281710069000000763B00DD91
+:1013300002000F003381710069000000763B00DD80
+:1013400002000F003481710069000000763B00DD6F
+:1013500002000F003581710069000000693B00DD6B
+:1013600002000F0036817100610000006C0400F67D
+:1013700002000F003781710035000000ED0080D6BB
+:1013800002000F00388171001800000081006B3DE1
+:1013900002000F0039817100490000008B0500D662
+:1013A00002000F003A8171002F000000060181D673
+:1013B00002000F003B8171002D000000000000D2F0
+:1013C00002000F003C81710021000000E40000D207
+:1013D00002000F003D8171003D0000006F0080F7AA
+:1013E00002000F003E8171002D0000007F0106DD2C
+:1013F00002000F003F817100180000007A3D7F1D40
+:1014000002000F0040817100490000006C3600D8D6
+:1014100002000F004181710069000000E73300D82D
+:1014200002000F004281710069000000E73300D81C
+:1014300002000F004381710069000000EF3700D8FF
+:1014400002000F0044817100310000006C0100D6E1
+:1014500002000F00458171002D000000000000D146
+:1014600002000F004681710049000000E4337AD188
+:1014700002000F00478171002F000000620171D14E
+:1014800002000F00488171002F000000620161D14D
+:1014900002000F004981710049000000E33340D190
+:1014A00002000F004A8171003D0000006F0080F7CC
+:1014B00002000F004B8171002D0000007F0106DD4E
+:1014C00002000F004C817100180000007A3D7F1D62
+:1014D00002000F004D817100490000006C3600D8F9
+:1014E00002000F004E81710069000000E73300D850
+:1014F00002000F004F81710069000000623100D8C6
+:1015000002000F005081710069000000EF3700D821
+:1015100002000F0051817100310000006C0100D603
+:1015200002000F00528171003D0000006F0080F743
+:1015300002000F00538171002D0000007F0106DDC5
+:1015400002000F0054817100180000007A3D7F1DD9
+:1015500002000F0055817100490000006C3600D870
+:1015600002000F005681710069000000E73300D8C7
+:1015700002000F005781710069000000E73300D8B6
+:1015800002000F005881710069000000EF3700D899
+:1015900002000F0059817100310000006C0100D67B
+:1015A00002000F005A8171002D000000000000D1E0
+:1015B00002000F005B8171002D000000E4076CD178
+:1015C00002000F005C81710049000000E33340D14C
+:1015D00002000F005D8171003D0000006F0080F788
+:1015E00002000F005E8171002D0000007F0106DD0A
+:1015F00002000F005F817100180000007A3D7F1D1E
+:1016000002000F0060817100490000006C3600D8B4
+:1016100002000F006181710069000000E73300D80B
+:1016200002000F006281710069000000623100D881
+:1016300002000F006381710069000000EF3700D8DD
+:1016400002000F0064817100310000006C0100D6BF
+:1016500002000F00658171003D0000006F0080F7FF
+:1016600002000F00668171002D0000007F0106DD81
+:1016700002000F0067817100180000007A3D7F1D95
+:1016800002000F0068817100490000006C3600D82C
+:1016900002000F006981710069000000E73300D883
+:1016A00002000F006A81710069000000E73300D872
+:1016B00002000F006B81710069000000EF3700D855
+:1016C00002000F006C817100310000006C0100D637
+:1016D00002000F006D8171002D000000000000D19C
+:1016E00002000F006E81710049000000E43378D1E0
+:1016F00002000F006F8171002F000000620171D1A4
+:1017000002000F00708171002F000000620161D1A2
+:1017100002000F007181710049000000E33340D1E5
+:1017200002000F00728171003D0000006F0080F721
+:1017300002000F00738171002D0000007F0106DDA3
+:1017400002000F0074817100180000007A3D7F1DB7
+:1017500002000F0075817100490000006C3600D84E
+:1017600002000F007681710069000000E73300D8A5
+:1017700002000F007781710069000000623100D81B
+:1017800002000F007881710069000000EF3700D877
+:1017900002000F0079817100310000006C0100D659
+:1017A00002000F007A8171003D0000006F0080F799
+:1017B00002000F007B8171002D0000007F0106DD1B
+:1017C00002000F007C817100180000007A3D7F1D2F
+:1017D00002000F007D817100490000006C3600D8C6
+:1017E00002000F007E81710069000000E73300D81D
+:1017F00002000F007F81710069000000E73300D80C
+:1018000002000F008081710069000000EF3700D8EE
+:1018100002000F0081817100310000006C0100D6D0
+:1018200002000F00828171002D000000000000D135
+:1018300002000F00838171002D000000E40768D1D1
+:1018400002000F008481710049000000E33340D1A1
+:1018500002000F00858171003D0000006F0080F7DD
+:1018600002000F00868171002D0000007F0106DD5F
+:1018700002000F0087817100180000007A3D7F1D73
+:1018800002000F0088817100490000006C3600D80A
+:1018900002000F008981710069000000E73300D861
+:1018A00002000F008A81710069000000623100D8D7
+:1018B00002000F008B81710069000000EF3700D833
+:1018C00002000F008C817100310000006C0100D615
+:1018D00002000F008D81710035000000ED0080D600
+:1018E00002000F008E817100080000008100792243
+:1018F00002000F008F817100490000000C0600D625
+:1019000002000F00908171002F000000060181D6B7
+:1019100002000F00918171003F000000020080D49E
+:1019200002000F00928171003F000000840080D40B
+:1019300002000F00938171003F000000020100D5FA
+:1019400002000F00948171003F000000840100D567
+:1019500002000F00958171003F000000020280D557
+:1019600002000F00968171003F000000040280D544
+:1019700002000F00978171003D0000006F0080F7AA
+:1019800002000F00988171002D0000007F0106DD2C
+:1019900002000F0099817100180000007A3D7F1D40
+:1019A00002000F009A817100490000006C3600D8D7
+:1019B00002000F009B81710069000000E93400D82B
+:1019C00002000F009C817100690000006A3500D898
+:1019D00002000F009D81710069000000EF3700D800
+:1019E00002000F009E817100310000006C0100D6E2
+:1019F00002000F009F81710041000000EB3480D491
+:101A000002000F00A0817100410000006B3500D57D
+:101A100002000F00A181710035000000ED0080D6AA
+:101A200002000F00A2817100180000008100EB3756
+:101A300002000F00A3817100490000000D3B00D699
+:101A400002000F00A481710049000000073B80D60E
+:101A500002000F00A58171002D000000000000D1E0
+:101A600002000F00A681710049000000663600DD0B
+:101A700002000F00A781710069000000763B00DDC5
+:101A800002000F00A881710069000000763B00DDB4
+:101A900002000F00A981710069000000763B00DDA3
+:101AA00002000F00AA81710069000000763B00DD92
+:101AB00002000F00AB81710069000000763B00DD81
+:101AC00002000F00AC81710069000000763B00DD70
+:101AD00002000F00AD81710069000000763B00DD5F
+:101AE00002000F00AE81710069000000763B00DD4E
+:101AF00002000F00AF817100610000006C0400F66D
+:101B000002000F00B081710035000000ED0080D6AA
+:101B100002000F00B1817100180000008100EB3756
+:101B200002000F00B2817100490000000E3B00D698
+:101B300002000F00B381710049000000083B80D60D
+:101B400002000F00B481710049000000093B80D5FC
+:101B500002000F00B58171003D0000006F0080F7AA
+:101B600002000F00B68171002D0000007F0106DD2C
+:101B700002000F00B7817100180000007A3D7F1D40
+:101B800002000F00B8817100490000006C3600D8D7
+:101B900002000F00B981710069000000EB3500D828
+:101BA00002000F00BA81710069000000E73300D81D
+:101BB00002000F00BB81710069000000EF3700D800
+:101BC00002000F00BC81710041000000EC0700D64C
+:101BD00002000F00BD817100410000006B0880D53C
+:101BE00002000F00BE81710035000000ED0080D6BC
+:101BF00002000F00BF817100180000008100ED3766
+:101C000002000F00C08171003F00000080FFFFD480
+:101C100002000F00C18171003F000000040002D5E6
+:101C200002000F00C28171003F000000010018D5C2
+:101C300002000F00C3817100490000006A3B00DD13
+:101C400002000F00C481710069000000693B00DDE3
+:101C500002000F00C581710069000000693B00DDD2
+:101C600002000F00C681710021000000EA0000D5CB
+:101C700002000F00C7817100490000006A3B0EDDC1
+:101C800002000F00C8817100350000007A0004D105
+:101C900002000F00C9817100180000008100F537B3
+:101CA00002000F00CA8171003F00000080FFFFD4D6
+:101CB00002000F00CB8171003F000000040004D53A
+:101CC00002000F00CC8171003F000000010018D518
+:101CD00002000F00CD81710069000000693B00DD4A
+:101CE00002000F00CE81710069000000693B00DD39
+:101CF00002000F00CF817100490000006A3B00DD47
+:101D000002000F00D081710051000000EA0000F5D0
+:101D100002000F00D18171003D0000006F0080F7CC
+:101D200002000F00D28171003D0000006F0080F7BB
+:101D300002000F00D3817100490000006A3B0EDDF4
+:101D400002000F00D4817100350000007A8004D1B8
+:101D500002000F00D5817100180000008100F537E6
+:101D600002000F00D68171003F000000047F7FC792
+:101D700002000F00D78171003F000000017F7FC784
+:101D800002000F00D88171003F000000004080D6A3
+:101D900002000F00D98171003F00000000C003D194
+:101DA00002000F00DA81710025000000E20020DE51
+:101DB00002000F00DB817100490000004E2780DE29
+:101DC00002000F00DC8171003D0000006F0080F711
+:101DD00002000F00DD8171003D0000006F0080F700
+:101DE00002000F00DE81710035000000E20000D12A
+:101DF00002000F00DF81710035000000ED0080D689
+:101E000002000F00E0817100180000008100F5372A
+:101E100002000F00E18171003F000000043000DD8E
+:101E200002000F00E28171003F000000010018DD98
+:101E300002000F00E3817100690000003A1D00DD1F
+:101E400002000F00E4817100690000007A3D00DDAE
+:101E500002000F00E58171007D00000013A760C73C
+:101E600002000F00E681710031000000410080C0D7
+:101E700002000F00E781710031000000480000C43B
+:101E800002000F00E881710031000000450080C2AF
+:101E900002000F00E9817100000000000000000056
+:101EA00002000F00EA817100000000000000000045
+:101EB00002000F00EB817100000000000000000034
+:101EC00002000F00EC817100000000000000000023
+:101ED00002000F00ED817100000000000000000012
+:101EE00002000F00EE817100000000000000000001
+:101EF00002000F00EF8171000000000000000000F0
+:101F000002000F00F08171000000000000000000DE
+:101F100002000F00F18171000000000000000000CD
+:101F200002000F00F28171000000000000000000BC
+:101F300002000F00F38171000000000000000000AB
+:101F400002000F00F48171002D000000000000DB92
+:101F500002000F00F58171003F000000043000DD39
+:101F600002000F00F68171003F000000010018DD43
+:101F700002000F00F7817100690000007A3D00DD6A
+:101F800002000F00F88171003F000000040000DD36
+:101F900002000F00F9817100690000007A3D00DD48
+:101FA00002000F00FA8171002D000000000080D3B4
+:101FB00002000F00FB8171003F000000040400DDFF
+:101FC00002000F00FC8171003F000000010018DDDD
+:101FD00002000F00FD81710069000000140A00DD9D
+:101FE00002000F00FE817100690000007A3D00DDF3
+:101FF00002000F00FF81710049000000943304D1FA
+:1020000002000F00008271003F000000840400DD28
+:1020100002000F00018271003F000000010018DD86
+:1020200002000F000282710069000000623100DDD1
+:1020300002000F0003827100690000007A3D00DD9C
+:1020400002000F00048271003F000000040500DD63
+:1020500002000F00058271003F000000010018DD42
+:1020600002000F000682710069000000950A00DD81
+:1020700002000F0007827100690000007A3D00DD58
+:1020800002000F000882710049000000953304D15E
+:1020900002000F00098271003F000000840500DD8E
+:1020A00002000F000A8271003F000000010018DDED
+:1020B00002000F000B82710069000000623100DD38
+:1020C00002000F000C827100690000007A3D00DD03
+:1020D00002000F000D8271003F000000040600DDC9
+:1020E00002000F000E8271003F000000010018DDA9
+:1020F00002000F000F827100690000007A3D00DDD0
+:1021000002000F00108271003F000000840000DD1B
+:1021100002000F0011827100690000007A3D00DDAD
+:1021200002000F00128271003F000000040000DD79
+:1021300002000F00138271003F000000010018DD53
+:1021400002000F001482710069000000160B00DD10
+:1021500002000F0015827100690000007A3D00DD69
+:1021600002000F00168271003F000000041000DD25
+:1021700002000F00178271003F000000010018DD0F
+:1021800002000F0018827100690000007A3D00DD36
+:1021900002000F00198271003F000000040000DD02
+:1021A00002000F001A827100690000007A3D00DD14
+:1021B00002000F001B8271003F000000841000DD50
+:1021C00002000F001C8271003F000000010018DDBA
+:1021D00002000F001D827100690000007A3D00DDE1
+:1021E00002000F001E8271003F000000048001DD2C
+:1021F00002000F001F827100690000007A3D00DDBF
+:1022000002000F00208271003F000000041100DD79
+:1022100002000F00218271003F000000010018DD64
+:1022200002000F0022827100690000007A3D00DD8B
+:1022300002000F00238271003F000000040000DD57
+:1022400002000F0024827100690000007A3D00DD69
+:1022500002000F00258271003F000000841100DDA4
+:1022600002000F00268271003F000000010018DD0F
+:1022700002000F0027827100690000007A3D00DD36
+:1022800002000F00288271003F000000040016DDEC
+:1022900002000F0029827100690000007A3D00DD14
+:1022A00002000F002A8271003F000000041200DDCE
+:1022B00002000F002B8271003F000000010018DDBA
+:1022C00002000F002C827100690000007A3D00DDE1
+:1022D00002000F002D8271003F000000040000DDAD
+:1022E00002000F002E827100690000007A3D00DDBF
+:1022F00002000F002F8271003F000000841200DDF9
+:1023000002000F00308271003F000000010018DD64
+:1023100002000F0031827100690000007A3D00DD8B
+:1023200002000F00328271003F000000040000DD57
+:1023300002000F0033827100690000007A3D00DD69
+:1023400002000F00348271003F000000041300DD22
+:1023500002000F00358271003F000000010018DD0F
+:1023600002000F0036827100690000007A3D00DD36
+:1023700002000F00378271003F000000040000DD02
+:1023800002000F0038827100690000007A3D00DD14
+:1023900002000F00398271003F000000841300DD4D
+:1023A00002000F003A8271003F000000010018DDBA
+:1023B00002000F003B827100690000007A3D00DDE1
+:1023C00002000F003C8271003F000000040005DDA8
+:1023D00002000F003D827100690000007A3D00DDBF
+:1023E00002000F003E8271003F000000042000DD6B
+:1023F00002000F003F8271003F000000010018DD65
+:1024000002000F0040827100690000007A3D00DD8B
+:1024100002000F00418271003F000000040000DD57
+:1024200002000F0042827100690000007A3D00DD69
+:1024300002000F00438271003F000000842000DD95
+:1024400002000F00448271003F000000010018DD0F
+:1024500002000F0045827100690000007A3D00DD36
+:1024600002000F00468271003F000000049001DD71
+:1024700002000F0047827100690000007A3D00DD14
+:1024800002000F00488271003F000000042100DDBF
+:1024900002000F00498271003F000000010018DDBA
+:1024A00002000F004A827100690000007A3D00DDE1
+:1024B00002000F004B8271003F000000840000DD2D
+:1024C00002000F004C827100690000007A3D00DDBF
+:1024D00002000F004D8271003F000000842100DDEA
+:1024E00002000F004E8271003F000000010018DD65
+:1024F00002000F004F827100690000007A3D00DD8C
+:1025000002000F00508271003F000000040000DD57
+:1025100002000F0051827100690000007A3D00DD69
+:1025200002000F00528271003F000000042200DD13
+:1025300002000F00538271003F000000010018DD0F
+:1025400002000F0054827100690000007A3D00DD36
+:1025500002000F00558271003F000000840200DD80
+:1025600002000F0056827100690000007A3D00DD14
+:1025700002000F00578271003F000000842200DD3E
+:1025800002000F00588271003F000000010018DDBA
+:1025900002000F0059827100690000007A3D00DDE1
+:1025A00002000F005A8271003F000000040000DDAD
+:1025B00002000F005B827100690000007A3D00DDBF
+:1025C00002000F005C8271003F000000042300DD68
+:1025D00002000F005D8271003F000000010018DD65
+:1025E00002000F005E827100690000007A3D00DD8C
+:1025F00002000F005F8271003F000000040000DD58
+:1026000002000F0060827100690000007A3D00DD69
+:1026100002000F00618271003F000000041800DD1D
+:1026200002000F00628271003F000000010018DD0F
+:1026300002000F006382710069000000970B00DD4B
+:1026400002000F0064827100690000007A3D00DD25
+:1026500002000F006582710049000000973304D129
+:1026600002000F00668271003F000000841800DD48
+:1026700002000F00678271003F000000010018DDBA
+:1026800002000F006882710069000000623100DD05
+:1026900002000F0069827100690000007A3D00DDD0
+:1026A00002000F006A8271003F000000041900DD83
+:1026B00002000F006B8271003F000000010018DD76
+:1026C00002000F006C82710069000000180C00DD30
+:1026D00002000F006D827100690000007A3D00DD8C
+:1026E00002000F006E82710049000000983304D18F
+:1026F00002000F006F8271003F000000841900DDAE
+:1027000002000F00708271003F000000010018DD20
+:1027100002000F007182710069000000623100DD6B
+:1027200002000F0072827100690000007A3D00DD36
+:1027300002000F00738271003F000000041A00DDE8
+:1027400002000F00748271003F000000010018DDDC
+:1027500002000F0075827100690000007A3D00DD03
+:1027600002000F00768271003F000000040000DDCF
+:1027700002000F0077827100690000007A3D00DDE1
+:1027800002000F00788271003F000000841A00DD13
+:1027900002000F00798271003F000000010018DD87
+:1027A00002000F007A82710069000000160B00DD44
+:1027B00002000F007B827100690000007A3D00DD9D
+:1027C00002000F007C8271003F000000041C00DD4D
+:1027D00002000F007D8271003F000000010018DD43
+:1027E00002000F007E82710069000000990C00DD7C
+:1027F00002000F007F827100690000007A3D00DD59
+:1028000002000F00808271003F000000841C00DD88
+:1028100002000F00818271003F000000010018DDFE
+:1028200002000F0082827100690000001A0D00DDB5
+:1028300002000F0083827100690000007A3D00DD14
+:1028400002000F00848271003F000000041D00DDC3
+:1028500002000F00858271003F000000010018DDBA
+:1028600002000F0086827100690000009B0D00DDF0
+:1028700002000F0087827100690000007A3D00DDD0
+:1028800002000F0088827100490000009B3304D1D0
+:1028900002000F00898271003F000000841D00DDEE
+:1028A00002000F008A8271003F000000010018DD65
+:1028B00002000F008B82710069000000623100DDB0
+:1028C00002000F008C827100690000007A3D00DD7B
+:1028D00002000F008D8271003F000000041E00DD29
+:1028E00002000F008E8271003F000000010018DD21
+:1028F00002000F008F827100690000001C0E00DDD5
+:1029000002000F0090827100690000007A3D00DD36
+:1029100002000F00918271003F000000040100DD01
+:1029200002000F00928271003F000000010018DDDC
+:1029300002000F0093827100690000007A3D00DD03
+:1029400002000F00948271003F000000040F00DDC0
+:1029500002000F0095827100690000007A3D00DDE1
+:1029600002000F00968271007D00000013A760C76F
+:1029700002000F009782710031000000410080C00A
+:1029800002000F009882710031000000480000C46E
+:1029900002000F009982710031000000450080C2E2
+:1029A00002000F009A827100310000006D0080D695
+:1029B0000F000F0064007000000000000000000025
+:1029C00000000000400000000001000000040000C2
+:1029D000640000005400000000000000002400001B
+:1029E0000028000000040000802800008001000092
+:1029F00003000000000000000000000000000000D4
+:102A0000510000007D01000008000000510000009E
+:102A10005D00000000000000090000000050000000
+:102A200000000000000000000F000F00F401700023
+:102A30000000000000000000000000004000000056
+:102A400000010000000400006400000054000000C9
+:102A50000000000000240000002800000004000026
+:102A6000802800008001000003000000000000003A
+:102A70000000000000000000510000007D01000087
+:102A800008000000510000005D0000000000000090
+:102A900009000000005000000000000000000000DD
+:102AA00002000F00000070000100000000000000A4
+:102AB00002000F0000007000010000000000000094
+:102AC00002000F00008071002500000002000EDDF2
+:102AD00002000F000180710004000000763BD1016C
+:102AE00002000F00028071002500000082000EDD50
+:102AF00002000F000380710004000000763B890291
+:102B000002000F00048071002500000002010EDDAC
+:102B100002000F000580710004000000763B850272
+:102B200002000F00068071002500000082010EDD0A
+:102B300002000F000780710004000000763BFD03D7
+:102B400002000F000880710009000000763B81CF71
+:102B500002000F000980710000000000000000006A
+:102B600002000F000A807100000000000000000059
+:102B700002000F000B807100000000000000000048
+:102B800002000F000C807100000000000000000037
+:102B900002000F000D807100000000000000000026
+:102BA00002000F000E807100000000000000000015
+:102BB00002000F000F807100000000000000000004
+:102BC00002000F00108071000000000000000000F3
+:102BD00002000F00118071000000000000000000E2
+:102BE00002000F00128071000000000000000000D1
+:102BF00002000F00138071000000000000000000C0
+:102C000002000F00148071000000000000000000AE
+:102C100002000F001580710000000000000000009D
+:102C200002000F001680710000000000000000008C
+:102C300002000F001780710000000000000000007B
+:102C400002000F001880710000000000000000006A
+:102C500002000F0019807100000000000000000059
+:102C600002000F001A807100000000000000000048
+:102C700002000F001B807100000000000000000037
+:102C800002000F001C807100000000000000000026
+:102C900002000F001D807100000000000000000015
+:102CA00002000F001E807100000000000000000004
+:102CB00002000F001F8071000000000000000000F3
+:102CC00002000F00208071000000000000000000E2
+:102CD00002000F00218071000000000000000000D1
+:102CE00002000F00228071000000000000000000C0
+:102CF00002000F00238071000000000000000000AF
+:102D000002000F002480710000000000000000009D
+:102D100002000F002580710000000000000000008C
+:102D200002000F002680710000000000000000007B
+:102D300002000F002780710000000000000000006A
+:102D400002000F002880710049000000003B00C015
+:102D500002000F002980710049000000023B80C082
+:102D600002000F002A80710049000000033B00C1EF
+:102D700002000F002B80710049000000043B80C15D
+:102D800002000F002C80710029000000760060DF37
+:102D900002000F002D807100490000007D3B44DFE0
+:102DA00002000F002E80710079000000769060FD17
+:102DB00002000F002F8071003D0000006F0080F7BF
+:102DC00002000F00308071003D0000006F0080F7AE
+:102DD00002000F00318071003D0000006F0080F79D
+:102DE00002000F00328071003D0000006F0080F78C
+:102DF00002000F003380710000000000000000009E
+:102E000002000F003480710000000000000000008C
+:102E100002000F003580710000000000000000007B
+:102E200002000F003680710000000000000000006A
+:102E300002000F0037807100000000000000000059
+:102E400002000F0038807100000000000000000048
+:102E500002000F0039807100000000000000000037
+:102E600002000F003A807100000000000000000026
+:102E700002000F003B807100000000000000000015
+:102E800002000F003C807100000000000000000004
+:102E900002000F003D8071000000000000000000F3
+:102EA00002000F003E8071000000000000000000E2
+:102EB00002000F003F8071000000000000000000D1
+:102EC00002000F00408071000000000000000000C0
+:102ED00002000F00418071000000000000000000AF
+:102EE00002000F004280710000000000000000009E
+:102EF00002000F004380710000000000000000008D
+:102F000002000F004480710000000000000000007B
+:102F100002000F004580710000000000000000006A
+:102F200002000F0046807100000000000000000059
+:102F300002000F0047807100000000000000000048
+:102F400002000F0048807100000000000000000037
+:102F500002000F0049807100000000000000000026
+:102F600002000F004A807100000000000000000015
+:102F700002000F004B807100000000000000000004
+:102F800002000F004C8071000000000000000000F3
+:102F900002000F004D8071000000000000000000E2
+:102FA00002000F004E8071000000000000000000D1
+:102FB00002000F004F8071000000000000000000C0
+:102FC00002000F00508071000000000000000000AF
+:102FD00002000F005180710000000000000000009E
+:102FE00002000F005280710000000000000000008D
+:102FF00002000F005380710000000000000000007C
+:1030000002000F005480710000000000000000006A
+:1030100002000F0055807100000000000000000059
+:1030200002000F0056807100000000000000000048
+:1030300002000F0057807100000000000000000037
+:1030400002000F0058807100000000000000000026
+:1030500002000F0059807100000000000000000015
+:1030600002000F005A807100000000000000000004
+:1030700002000F005B8071000000000000000000F3
+:1030800002000F005C8071000000000000000000E2
+:1030900002000F005D8071000000000000000000D1
+:1030A00002000F005E8071000000000000000000C0
+:1030B00002000F005F8071000000000000000000AF
+:1030C00002000F00608071003F000000030000DF7D
+:1030D00002000F00618071002D000000810FE0DD13
+:1030E00002000F00628071003F000000830280DD5B
+:1030F00002000F00638071002D000000800104DDDC
+:1031000002000F00648071007D000000800015FD4A
+:1031100002000F00658071007A000000763B2010ED
+:1031200002000F00668071007A000000767B20307C
+:1031300002000F006780710021000000600024D0B1
+:1031400002000F00688071003F000000040100DDF4
+:1031500002000F00698071003F000000810240DD25
+:1031600002000F006A8071007900000003BB31DDAE
+:1031700002000F006B8071007900000004FB31DD5C
+:1031800002000F006C8071007900000076BB31DD19
+:1031900002000F006D8071007900000076FB31DDC8
+:1031A00002000F006E80710079000000010121FD16
+:1031B00002000F006F8071007D00000081402BFD38
+:1031C00002000F0070807100400000000230003DDE
+:1031D00002000F007180710048000000023B001DDA
+:1031E00002000F007280710079000000767B21DD03
+:1031F00002000F00738071002D0000007F0504DDC8
+:1032000002000F007480710018000000763B7F3DC3
+:1032100002000F00758071003D0000006F0080F714
+:1032200002000F00768071003D0000006F0080F703
+:1032300002000F00778071003D0000006F0080F7F2
+:1032400002000F007880710021000000761F37E334
+:1032500002000F007980710049000000793B00DD19
+:1032600002000F007A8071007900000076BB21DD3A
+:1032700002000F007B80710049000000793B00DDF7
+:1032800002000F007C8071007900000076BB21DD18
+:1032900002000F007D80710049000000793B00DDD5
+:1032A00002000F007E8071007900000076BB21DDF6
+:1032B00002000F007F80710079000000769060FDB1
+:1032C00002000F00808071007900000076FB21DD94
+:1032D00002000F00818071003F000000830000DFCA
+:1032E00002000F00828071003D0000006F0080F737
+:1032F00002000F0083807100000000000000000049
+:1033000002000F0084807100000000000000000037
+:1033100002000F0085807100000000000000000026
+:1033200002000F0086807100000000000000000015
+:1033300002000F0087807100000000000000000004
+:1033400002000F00888071000000000000000000F3
+:1033500002000F00898071000000000000000000E2
+:1033600002000F008A8071000000000000000000D1
+:1033700002000F008B8071000000000000000000C0
+:1033800002000F008C8071000000000000000000AF
+:1033900002000F008D80710000000000000000009E
+:1033A00002000F008E80710000000000000000008D
+:1033B00002000F008F80710000000000000000007C
+:1033C00002000F009080710000000000000000006B
+:1033D00002000F009180710000000000000000005A
+:1033E00002000F0092807100000000000000000049
+:1033F00002000F0093807100000000000000000038
+:1034000002000F0094807100000000000000000026
+:1034100002000F0095807100000000000000000015
+:1034200002000F0096807100000000000000000004
+:1034300002000F00978071000000000000000000F3
+:1034400002000F00988071000000000000000000E2
+:1034500002000F00998071000000000000000000D1
+:1034600002000F009A8071000000000000000000C0
+:1034700002000F009B8071000000000000000000AF
+:1034800002000F009C80710000000000000000009E
+:1034900002000F009D80710000000000000000008D
+:1034A00002000F009E80710000000000000000007C
+:1034B00002000F009F80710000000000000000006B
+:1034C00002000F00A08071002D000000030808D04A
+:1034D00002000F00A18071000800000076FBB521FA
+:1034E00002000F00A280710079000000810001DD60
+:1034F00002000F00A380710079000000028101DD4D
+:1035000002000F00A48071007D000000838001FD97
+:1035100002000F00A580710079000000763B90D07A
+:1035200002000F00A6807100490000007A3B58D0CD
+:1035300002000F00A780710049000000003B04D288
+:1035400002000F00A88071003D000000030040DD74
+:1035500002000F00A9807100240000006402003204
+:1035600002000F00AA8071003D00000003F87FDD1B
+:1035700002000F00AB807100290000007A0120D00A
+:1035800002000F00AC807100490000000E3BA4D087
+:1035900002000F00AD8071002D000000FF4204DD2D
+:1035A00002000F00AE80710018000000763B7F3DE6
+:1035B00002000F00AF8071002D000000820006DDC8
+:1035C00002000F00B080710038000000E001003000
+:1035D00002000F00B180710039000000600100D0CE
+:1035E00002000F00B2807100790000007C3BB1D175
+:1035F00002000F00B38071002D000000E30FE0DD3A
+:1036000002000F00B480710049000000E43080D057
+:1036100002000F00B5807100790000007C7B31DD75
+:1036200002000F00B6807100790000007C3B31DDA4
+:1036300002000F00B78071007D000000824037FD5E
+:1036400002000F00B880710008000000763B7D0189
+:1036500002000F00B98071003D0000006F0080F78C
+:1036600002000F00BA8071003D0000006F0080F77B
+:1036700002000F00BB80710049000000870300DDDD
+:1036800002000F00BC80710079000000080431DDE9
+:1036900002000F00BD807100790000007A7D31DDED
+:1036A00002000F00BE807100490000007C3B00D387
+:1036B00002000F00BF807100790000007CBB81D345
+:1036C00002000F00C08071007F0000007CB201D1B9
+:1036D00002000F00C18071004800000064320051F8
+:1036E00002000F00C28071003D000000030040DDB9
+:1036F00002000F00C38071000800000062319501D4
+:1037000002000F00C480710021000000660600D393
+:1037100002000F00C580710020000000E700805308
+:1037200002000F00C68071003F000000000800DDAD
+:1037300002000F00C78071007900000066B301DD50
+:1037400002000F00C880710079000000E7B301DDBE
+:1037500002000F00C980710075000000628601F14F
+:1037600002000F00CA8071007500000064B101D230
+:1037700002000F00CB80710078000000767B001DF6
+:1037800002000F00CC80710025000000E30100DD85
+:1037900002000F00CD807100080000006231B1010D
+:1037A00002000F00CE8071003D0000006F0080F726
+:1037B00002000F00CF8071003D0000006F0080F715
+:1037C00002000F00D08071003D0000006F0080F704
+:1037D00002000F00D180710021000000639F37E3D9
+:1037E00002000F00D2807100490000007C3B00D332
+:1037F00002000F00D3807100790000007CBB81D3F0
+:1038000002000F00D48071007F0000007CB201D163
+:1038100002000F00D58071004800000064320051A2
+:1038200002000F00D68071007500000064B101D263
+:1038300002000F00D780710078000000767B001D29
+:1038400002000F00D88071003F000000040000DD7E
+:1038500002000F00D98071007900000081C001DDF5
+:1038600002000F00DA80710079000000769060FDA0
+:1038700002000F00DB8071002D000000030808DD4E
+:1038800002000F00DC8071007800000081C0013D63
+:1038900002000F00DD8071003D0000006F0080F726
+:1038A00002000F00DE807100000000000000000038
+:1038B00002000F00DF807100000000000000000027
+:1038C00002000F00E0807100000000000000000016
+:1038D00002000F00E1807100000000000000000005
+:1038E00002000F00E28071000000000000000000F4
+:1038F00002000F00E38071000000000000000000E3
+:1039000002000F00E48071000000000000000000D1
+:1039100002000F00E58071000000000000000000C0
+:1039200002000F00E68071000000000000000000AF
+:1039300002000F00E780710000000000000000009E
+:1039400002000F00E880710049000000033B8ED1A7
+:1039500002000F00E98071002F000000E30081D118
+:1039600002000F00EA8071003F000000031880D1C0
+:1039700002000F00EB80710049000000033B04D1FE
+:1039800002000F00EC8071003F000000030280DDA8
+:1039900002000F00ED80710049000000023B04D2DC
+:1039A00002000F00EE80710049000000003B84D24D
+:1039B00002000F00EF80710025000000E20000DD32
+:1039C00002000F00F08071009400000062411300BB
+:1039D00002000F00F18071009400000062430B00B0
+:1039E00002000F00F280710094000000E248150010
+:1039F00002000F00F38071009400000062491B0078
+:103A000002000F00F48071009400000076402F0047
+:103A100002000F00F5807100090000007A3D81CF9F
+:103A200002000F00F68071001D000000E5802BFDF4
+:103A300002000F00F78071003000000063808331C6
+:103A400002000F00F88071003000000063808211D6
+:103A500002000F00F98071001D000000E58025FDC7
+:103A600002000F00FA807100300000006300833113
+:103A700002000F00FB807100300000006300821123
+:103A800002000F00FC8071002F000000E30081D1D4
+:103A900002000F00FD8071001D000000E58009FD9F
+:103AA00002000F00FE8071003000000063D38331FC
+:103AB00002000F00FF8071003000000063D283110C
+:103AC00002000F00008171002F000000E30081D18F
+:103AD00002000F00018171003F000000840180D6C8
+:103AE00002000F00028171003F000000010080D63B
+:103AF00002000F000381710035000000ED0080D648
+:103B000002000F0004817100180000008100FF37DF
+:103B100002000F000581710025000000640200D240
+:103B200002000F0006817100180000007AFD777D09
+:103B300002000F000781710049000000633B20DE96
+:103B400002000F000881710049000000793B80DE0F
+:103B500002000F000981710021000000E30080D104
+:103B600002000F000A817100090000007A3D81CF38
+:103B700002000F000B81710049000000E33100DDFD
+:103B800002000F000C81710069000000783B0EDD1F
+:103B900002000F000D81710061000000763B00DD26
+:103BA00002000F000E8171003F000000840100DD63
+:103BB00002000F000F8171003F000000010000DDD6
+:103BC00002000F001081710035000000FA0000DDD6
+:103BD00002000F001181710018000000763B7F3B4E
+:103BE00002000F00128171003D0000006F0080F79D
+:103BF00002000F00138171003D0000006F0080F78C
+:103C000002000F00148171003D0000006F0080F77A
+:103C100002000F001581710021000000E30080D137
+:103C200002000F001681710069000000793B04DD7D
+:103C300002000F001781710061000000E30080F1B5
+:103C400002000F00188171003F000000840180D63F
+:103C500002000F00198171003F000000010080D6B2
+:103C600002000F001A81710035000000ED0080D6BF
+:103C700002000F001B817100180000008100FF3757
+:103C800002000F001C81710025000000640200D2B8
+:103C900002000F001D8171009800000076BB5D607E
+:103CA00002000F001E817100090000007A3D81CFE3
+:103CB00002000F001F8171000000000000000000E2
+:103CC00002000F00208171000000000000000000D1
+:103CD00002000F00218171000000000000000000C0
+:103CE00002000F00228171000000000000000000AF
+:103CF00002000F002381710000000000000000009E
+:103D000002000F002481710000000000000000008C
+:103D100002000F002581710000000000000000007B
+:103D200002000F002681710000000000000000006A
+:103D300002000F0027817100000000000000000059
+:103D400002000F0028817100000000000000000048
+:103D500002000F0029817100000000000000000037
+:103D600002000F002A817100000000000000000026
+:103D700002000F002B817100000000000000000015
+:103D800002000F002C817100000000000000000004
+:103D900002000F002D8171000000000000000000F3
+:103DA00002000F002E8171000000000000000000E2
+:103DB00002000F002F8171000000000000000000D1
+:103DC00002000F00308171000000000000000000C0
+:103DD00002000F00318171000000000000000000AF
+:103DE00002000F003281710000000000000000009E
+:103DF00002000F003381710000000000000000008D
+:103E000002000F003481710000000000000000007B
+:103E100002000F003581710000000000000000006A
+:103E200002000F0036817100000000000000000059
+:103E300002000F0037817100000000000000000048
+:103E400002000F0038817100000000000000000037
+:103E500002000F0039817100000000000000000026
+:103E600002000F003A817100000000000000000015
+:103E700002000F003B817100000000000000000004
+:103E800002000F003C8171000000000000000000F3
+:103E900002000F003D8171000000000000000000E2
+:103EA00002000F003E8171000000000000000000D1
+:103EB00002000F003F8171000000000000000000C0
+:103EC00002000F004081710049000000013B08D44E
+:103ED00002000F0041817100090000007A3D8BC291
+:103EE00002000F00428171003F000000800300D4F7
+:103EF00002000F0043817100090000007A3D8BC26F
+:103F000002000F004481710049000000033B0ED401
+:103F100002000F00458171003F000000000042D602
+:103F200002000F00468171002F000000804081D206
+:103F300002000F00478171002D000000650384D24C
+:103F400002000F00488171003F000000800080D611
+:103F500002000F00498171003F000000040004DDF1
+:103F600002000F004A8171003F000000010018DDCF
+:103F700002000F004B817100690000006D3B00DD05
+:103F800002000F004C817100690000006D3B00DDF4
+:103F900002000F004D8171003100000065D203D393
+:103FA00002000F004E81710049000000663B40DEB8
+:103FB00002000F004F8171003F000000840180D695
+:103FC00002000F00508171003F000000010080D608
+:103FD00002000F005181710035000000ED0080D615
+:103FE00002000F0052817100180000008100FF37AD
+:103FF00002000F0053817100490000007E3B80D514
+:1040000002000F005481710021000000E60040DE34
+:1040100002000F00558171003F000000840180D62E
+:1040200002000F00568171003F000000010080D6A1
+:1040300002000F005781710035000000ED0080D6AE
+:1040400002000F0058817100180000008100FF3746
+:1040500002000F0059817100490000007E3B00D52D
+:1040600002000F005A81710079000000763B01DDEB
+:1040700002000F005B8171002D0000007F4004DD15
+:1040800002000F005C81710018000000763B7F3D4C
+:1040900002000F005D8171007900000076BB01DD38
+:1040A00002000F005E81710075000000E88001FDD4
+:1040B00002000F005F81710094000000684115004C
+:1040C00002000F006081710094000000E8442500A8
+:1040D00002000F006181710094000000E84123009C
+:1040E00002000F0062817100940000006845170013
+:1040F00002000F0063817100940000006842150007
+:1041000002000F006481710094000000E842270063
+:1041100002000F00658171009400000068432D00CB
+:1041200002000F00668171009400000068442D00B9
+:1041300002000F006781710094000000E843330023
+:1041400002000F006881710004000000763B9703B5
+:1041500002000F00698171000D00000065C10DE3D0
+:1041600002000F006A817100300000007600033207
+:1041700002000F006B817100300000007600021217
+:1041800002000F006C8171003F000000000080D42D
+:1041900002000F006D8171003F000000008080D19F
+:1041A00002000F006E8171000D00000065C13FE349
+:1041B00002000F006F817100300000007660043251
+:1041C00002000F0070817100300000007640041280
+:1041D00002000F00718171003F000000008082D456
+:1041E00002000F00728171003F000000008080D14A
+:1041F00002000F00738171000D00000065C13FE3F4
+:1042000002000F007481710030000000762004323B
+:1042100002000F007581710030000000760004126A
+:1042200002000F00768171003F000000000082D480
+:1042300002000F00778171000D00000065C163E38B
+:1042400002000F00788171003000000076200332F8
+:1042500002000F0079817100300000007600031227
+:1042600002000F007A8171003F000000000083D43B
+:1042700002000F007B81710049000000763B80D274
+:1042800002000F007C8171000D00000065C10DE38C
+:1042900002000F007D817100300000007680033243
+:1042A00002000F007E817100300000007680021253
+:1042B00002000F007F8171003F000000000081D4E8
+:1042C00002000F00808171003F000000000002D654
+:1042D00002000F00818171003F000000000081D1C9
+:1042E00002000F00828171000D00000065C13FE3F4
+:1042F00002000F008381710030000000762004323C
+:1043000002000F008481710030000000760004126A
+:1043100002000F00858171003F000000000082D480
+:1043200002000F008681710041000000EB3480D450
+:1043300002000F0087817100790000006ABB01DD77
+:1043400002000F00888171007900000076BB01DD5A
+:1043500002000F00898171003F000000031800D2A5
+:1043600002000F008A8171003F000000000081D12F
+:1043700002000F008B81710075000000638001FD59
+:1043800002000F008C81710098000000643B69807E
+:1043900002000F008D81710051000000E40000F266
+:1043A00002000F008E8171003F000000840180D662
+:1043B00002000F008F8171003F000000010080D6D5
+:1043C00002000F009081710035000000ED0080D6E2
+:1043D00002000F0091817100180000008100FF377A
+:1043E00002000F00928171002D0000007F4004DD6B
+:1043F00002000F009381710018000000763B7F3DA2
+:1044000002000F009481710049000000383BC0D3C6
+:1044100002000F009581710049000000643B00DD3F
+:1044200002000F009681710051000000E40000F2CC
+:1044300002000F00978171003F000000840180D6C8
+:1044400002000F00988171003F000000010080D63B
+:1044500002000F009981710035000000ED0080D648
+:1044600002000F009A817100180000008100FF37E0
+:1044700002000F009B81710049000000383BA0D36F
+:1044800002000F009C8171001900000076BB61DD05
+:1044900002000F009D81710049000000673B00DDB4
+:1044A00002000F009E81710075000000638281F19F
+:1044B00002000F009F81710041000000EB3480D4A6
+:1044C00002000F00A0817100790000006ABB01DDCD
+:1044D00002000F00A18171007900000076BB01DDB0
+:1044E00002000F00A28171003F000000031800D2FB
+:1044F00002000F00A38171003F000000008080D106
+:1045000002000F00A481710075000000638001FDAE
+:1045100002000F00A581710098000000643B378005
+:1045200002000F00A681710051000000E40000F2BB
+:1045300002000F00A78171003F000000840180D6B7
+:1045400002000F00A88171003F000000010080D62A
+:1045500002000F00A981710035000000ED0080D637
+:1045600002000F00AA817100180000008100FF37CF
+:1045700002000F00AB8171002D0000007F4004DDC0
+:1045800002000F00AC81710018000000763B7F3DF7
+:1045900002000F00AD81710049000000383B80D35C
+:1045A00002000F00AE8171001900000076BB6FDDC4
+:1045B00002000F00AF81710049000000673B00DD81
+:1045C00002000F00B081710075000000638281F16C
+:1045D00002000F00B181710041000000EB3480D473
+:1045E00002000F00B2817100790000006ABB01DD9A
+:1045F00002000F00B38171007900000063BB01DD90
+:1046000002000F00B481710075000000638001FD9D
+:1046100002000F00B581710098000000643B178014
+:1046200002000F00B681710049000000643B40DECB
+:1046300002000F00B78171003F000000840180D6A6
+:1046400002000F00B88171003F000000010080D619
+:1046500002000F00B981710035000000ED0080D626
+:1046600002000F00BA817100180000008100FF37BE
+:1046700002000F00BB8171002D0000007F4004DDAF
+:1046800002000F00BC81710018000000763B7F3DE6
+:1046900002000F00BD81710021000000E40000D283
+:1046A00002000F00BE817100190000007E7BEFD375
+:1046B00002000F00BF81710075000000638281F16C
+:1046C00002000F00C08171003F000000000080D692
+:1046D00002000F00C18171003F000000040004DDF2
+:1046E00002000F00C28171003F000000010018DDD0
+:1046F00002000F00C3817100690000006D3B00DD06
+:1047000002000F00C4817100690000006D3B00DDF4
+:1047100002000F00C58171003F000000000000DDB5
+:1047200002000F00C68171002D000000800154DDE1
+:1047300002000F00C7817100790000007680E0F36D
+:1047400002000F00C8817100490000007A3600D6CF
+:1047500002000F00C98171007900000076FB01DDC5
+:1047600002000F00CA8171003D0000006F0080F759
+:1047700002000F00CB81710049000000033B00DD07
+:1047800002000F00CC81710059000000763B00FD53
+:1047900002000F00CD8171003F000000031C80DD8E
+:1047A00002000F00CE8171003D0000006F0080F715
+:1047B00002000F00CF8171003D0000006F0080F704
+:1047C00002000F00D08171003D0000006F0080F7F3
+:1047D00002000F00D18171002D0000007F0206DD74
+:1047E00002000F00D2817100180000007A3D7F1D89
+:1047F00002000F00D3817100310000006B8883D468
+:1048000002000F00D4817100790000006ABB01DD55
+:1048100002000F00D581710079000000769081F1CF
+:1048200002000F00D681710075000000638001FD59
+:1048300002000F00D78171009800000076BB538002
+:1048400002000F00D881710019000000797B7FDD24
+:1048500002000F00D981710075000000638281F1B0
+:1048600002000F00DA81710000000000000000006B
+:1048700002000F00DB81710000000000000000005A
+:1048800002000F00DC817100000000000000000049
+:1048900002000F00DD817100000000000000000038
+:1048A00002000F00DE817100000000000000000027
+:1048B00002000F00DF817100000000000000000016
+:1048C00002000F00E0817100000000000000000005
+:1048D00002000F00E18171000000000000000000F4
+:1048E00002000F00E28171000000000000000000E3
+:1048F00002000F00E38171000000000000000000D2
+:1049000002000F00E48171000000000000000000C0
+:1049100002000F00E58171000000000000000000AF
+:1049200002000F00E681710000000000000000009E
+:1049300002000F00E781710000000000000000008D
+:1049400002000F00E881710000000000000000007C
+:1049500002000F00E981710000000000000000006B
+:1049600002000F00EA81710000000000000000005A
+:1049700002000F00EB817100000000000000000049
+:1049800002000F00EC817100000000000000000038
+:1049900002000F00ED817100000000000000000027
+:1049A00002000F00EE817100000000000000000016
+:1049B00002000F00EF817100000000000000000005
+:1049C00002000F00F08171000000000000000000F4
+:1049D00002000F00F18171000000000000000000E3
+:1049E00002000F00F28171000000000000000000D2
+:1049F00002000F00F38171000000000000000000C1
+:104A000002000F00F48171000000000000000000AF
+:104A100002000F00F581710000000000000000009E
+:104A200002000F00F681710000000000000000008D
+:104A300002000F00F781710000000000000000007C
+:104A400002000F00F881710000000000000000006B
+:104A500002000F00F981710000000000000000005A
+:104A600002000F00FA817100000000000000000049
+:104A700002000F00FB817100000000000000000038
+:104A800002000F00FC817100000000000000000027
+:104A900002000F00FD817100000000000000000016
+:104AA00002000F00FE8171003F000000030280DD64
+:104AB00002000F00FF81710049000000023B84D119
+:104AC00002000F000082710049000000033B00DD7E
+:104AD00002000F0001827100690000007A3B00DDD6
+:104AE00002000F000282710049000000793B00DDE6
+:104AF00002000F000382710065000000630280F174
+:104B000002000F000482710018000000763B7D7DDA
+:104B100002000F0005827100090000007A3D81CF7C
+:104B200002000F000682710000000000000000007B
+:104B300002000F000782710000000000000000006A
+:104B400002000F0008827100000000000000000059
+:104B500002000F0009827100000000000000000048
+:104B600002000F000A827100000000000000000037
+:104B700002000F000B827100000000000000000026
+:104B800002000F000C827100000000000000000015
+:104B900002000F000D827100000000000000000004
+:104BA00002000F000E8271000000000000000000F3
+:104BB00002000F000F8271000000000000000000E2
+:104BC00002000F00108271000000000000000000D1
+:104BD00002000F00118271000000000000000000C0
+:104BE00002000F00128271000000000000000000AF
+:104BF00002000F001382710000000000000000009E
+:104C000002000F001482710000000000000000008C
+:104C100002000F001582710000000000000000007B
+:104C200002000F001682710000000000000000006A
+:104C300002000F0017827100000000000000000059
+:104C400002000F0018827100000000000000000048
+:104C500002000F0019827100000000000000000037
+:104C600002000F001A827100000000000000000026
+:104C700002000F001B827100000000000000000015
+:104C800002000F001C827100000000000000000004
+:104C900002000F001D8271000000000000000000F3
+:104CA00002000F001E8271000000000000000000E2
+:104CB00002000F001F8271000000000000000000D1
+:104CC00002000F00208271000000000000000000C0
+:104CD00002000F00218271000000000000000000AF
+:104CE00002000F002282710000000000000000009E
+:104CF00002000F002382710000000000000000008D
+:104D000002000F002482710000000000000000007B
+:104D100002000F002582710000000000000000006A
+:104D200002000F0026827100000000000000000059
+:104D300002000F0027827100000000000000000048
+:104D400002000F0028827100000000000000000037
+:104D500002000F0029827100000000000000000026
+:104D600002000F002A827100000000000000000015
+:104D700002000F002B827100000000000000000004
+:104D800002000F002C8271000000000000000000F3
+:104D900002000F002D8271000000000000000000E2
+:104DA00002000F002E8271000000000000000000D1
+:104DB00002000F002F8271000000000000000000C0
+:104DC00002000F00308271000000000000000000AF
+:104DD00002000F003182710000000000000000009E
+:104DE00002000F003282710000000000000000008D
+:104DF00002000F003382710000000000000000007C
+:104E000002000F003482710000000000000000006A
+:104E100002000F0035827100000000000000000059
+:104E200002000F0036827100000000000000000048
+:104E300002000F0037827100000000000000000037
+:104E400002000F0038827100000000000000000026
+:104E500002000F0039827100000000000000000015
+:104E600002000F003A827100000000000000000004
+:104E700002000F003B8271000000000000000000F3
+:104E800002000F003C8271000000000000000000E2
+:104E900002000F003D8271000000000000000000D1
+:104EA00002000F003E8271000000000000000000C0
+:104EB00002000F003F8271000000000000000000AF
+:104EC00002000F004082710000000000000000009E
+:104ED00002000F004182710000000000000000008D
+:104EE00002000F004282710000000000000000007C
+:104EF00002000F004382710000000000000000006B
+:104F000002000F0044827100000000000000000059
+:104F100002000F0045827100000000000000000048
+:104F200002000F0046827100000000000000000037
+:104F300002000F0047827100000000000000000026
+:104F400002000F0048827100000000000000000015
+:104F500002000F0049827100000000000000000004
+:104F600002000F004A8271000000000000000000F3
+:104F700002000F004B8271000000000000000000E2
+:104F800002000F004C8271000000000000000000D1
+:104F900002000F004D8271000000000000000000C0
+:104FA00002000F004E8271000000000000000000AF
+:104FB00002000F004F82710000000000000000009E
+:104FC00002000F005082710000000000000000008D
+:104FD00002000F005182710000000000000000007C
+:104FE00002000F005282710000000000000000006B
+:104FF00002000F005382710000000000000000005A
+:1050000002000F0054827100000000000000000048
+:1050100002000F0055827100000000000000000037
+:1050200002000F0056827100000000000000000026
+:1050300002000F0057827100000000000000000015
+:1050400002000F0058827100000000000000000004
+:1050500002000F00598271000000000000000000F3
+:1050600002000F005A8271000000000000000000E2
+:1050700002000F005B8271000000000000000000D1
+:1050800002000F005C8271000000000000000000C0
+:1050900002000F005D8271000000000000000000AF
+:1050A00002000F005E82710000000000000000009E
+:1050B00002000F005F82710000000000000000008D
+:1050C00002000F006082710000000000000000007C
+:1050D00002000F006182710000000000000000006B
+:1050E00002000F006282710000000000000000005A
+:1050F00002000F0063827100000000000000000049
+:1051000002000F0064827100000000000000000037
+:1051100002000F0065827100000000000000000026
+:1051200002000F0066827100000000000000000015
+:1051300002000F0067827100000000000000000004
+:1051400002000F00688271000000000000000000F3
+:1051500002000F00698271000000000000000000E2
+:1051600002000F006A8271000000000000000000D1
+:1051700002000F006B8271000000000000000000C0
+:1051800002000F006C8271000000000000000000AF
+:1051900002000F006D82710000000000000000009E
+:1051A00002000F006E82710000000000000000008D
+:1051B00002000F006F82710000000000000000007C
+:1051C00002000F007082710000000000000000006B
+:1051D00002000F007182710000000000000000005A
+:1051E00002000F0072827100000000000000000049
+:1051F00002000F0073827100000000000000000038
+:1052000002000F0074827100000000000000000026
+:1052100002000F0075827100000000000000000015
+:1052200002000F0076827100000000000000000004
+:1052300002000F00778271000000000000000000F3
+:1052400002000F00788271000000000000000000E2
+:1052500002000F00798271000000000000000000D1
+:1052600002000F007A8271000000000000000000C0
+:1052700002000F007B8271000000000000000000AF
+:1052800002000F007C82710000000000000000009E
+:1052900002000F007D82710000000000000000008D
+:1052A00002000F007E82710000000000000000007C
+:1052B00002000F007F82710000000000000000006B
+:1052C00002000F008082710000000000000000005A
+:1052D00002000F0081827100000000000000000049
+:1052E00002000F0082827100000000000000000038
+:1052F00002000F0083827100000000000000000027
+:1053000002000F0084827100000000000000000015
+:1053100002000F0085827100000000000000000004
+:1053200002000F00868271000000000000000000F3
+:1053300002000F00878271000000000000000000E2
+:1053400002000F00888271000000000000000000D1
+:1053500002000F00898271000000000000000000C0
+:1053600002000F008A8271000000000000000000AF
+:1053700002000F008B82710000000000000000009E
+:1053800002000F008C82710000000000000000008D
+:1053900002000F008D82710000000000000000007C
+:1053A00002000F008E82710000000000000000006B
+:1053B00002000F008F82710000000000000000005A
+:1053C00002000F0090827100000000000000000049
+:1053D00002000F0091827100000000000000000038
+:1053E00002000F0092827100000000000000000027
+:1053F00002000F0093827100000000000000000016
+:1054000002000F0094827100000000000000000004
+:1054100002000F00958271000000000000000000F3
+:1054200002000F00968271000000000000000000E2
+:1054300002000F00978271000000000000000000D1
+:1054400002000F00988271000000000000000000C0
+:1054500002000F00998271000000000000000000AF
+:1054600002000F009A82710000000000000000009E
+:1054700002000F009B82710000000000000000008D
+:1054800002000F009C82710000000000000000007C
+:1054900002000F009D82710000000000000000006B
+:1054A00002000F009E82710000000000000000005A
+:1054B00002000F009F827100000000000000000049
+:1054C00002000F00A0827100000000000000000038
+:1054D00002000F00A1827100000000000000000027
+:1054E00002000F00A2827100000000000000000016
+:1054F00002000F00A3827100000000000000000005
+:1055000002000F00A48271000000000000000000F3
+:1055100002000F00A58271000000000000000000E2
+:1055200002000F00A68271000000000000000000D1
+:1055300002000F00A78271000000000000000000C0
+:1055400002000F00A88271000000000000000000AF
+:1055500002000F00A982710000000000000000009E
+:1055600002000F00AA82710000000000000000008D
+:1055700002000F00AB82710000000000000000007C
+:1055800002000F00AC82710000000000000000006B
+:1055900002000F00AD82710000000000000000005A
+:1055A00002000F00AE827100000000000000000049
+:1055B00002000F00AF827100000000000000000038
+:1055C00002000F00B0827100000000000000000027
+:1055D00002000F00B1827100000000000000000016
+:1055E00002000F00B2827100000000000000000005
+:1055F00002000F00B38271000000000000000000F4
+:1056000002000F00B48271000000000000000000E2
+:1056100002000F00B58271000000000000000000D1
+:1056200002000F00B68271000000000000000000C0
+:1056300002000F00B78271000000000000000000AF
+:1056400002000F00B882710000000000000000009E
+:1056500002000F00B982710000000000000000008D
+:1056600002000F00BA82710000000000000000007C
+:1056700002000F00BB82710000000000000000006B
+:1056800002000F00BC82710000000000000000005A
+:1056900002000F00BD827100000000000000000049
+:1056A00002000F00BE827100000000000000000038
+:1056B00002000F00BF827100000000000000000027
+:1056C00002000F00C0827100000000000000000016
+:1056D00002000F00C1827100000000000000000005
+:1056E00002000F00C28271000000000000000000F4
+:1056F00002000F00C38271000000000000000000E3
+:1057000002000F00C48271000000000000000000D1
+:1057100002000F00C58271000000000000000000C0
+:1057200002000F00C68271000000000000000000AF
+:1057300002000F00C782710000000000000000009E
+:1057400002000F00C882710000000000000000008D
+:1057500002000F00C982710000000000000000007C
+:1057600002000F00CA82710000000000000000006B
+:1057700002000F00CB82710000000000000000005A
+:1057800002000F00CC827100000000000000000049
+:1057900002000F00CD827100000000000000000038
+:1057A00002000F00CE827100000000000000000027
+:1057B00002000F00CF827100000000000000000016
+:1057C00002000F00D0827100000000000000000005
+:1057D00002000F00D18271000000000000000000F4
+:1057E00002000F00D28271000000000000000000E3
+:1057F00002000F00D38271000000000000000000D2
+:1058000002000F00D48271000000000000000000C0
+:1058100002000F00D58271000000000000000000AF
+:1058200002000F00D682710000000000000000009E
+:1058300002000F00D782710000000000000000008D
+:1058400002000F00D882710000000000000000007C
+:1058500002000F00D982710000000000000000006B
+:1058600002000F00DA82710000000000000000005A
+:1058700002000F00DB827100000000000000000049
+:1058800002000F00DC827100000000000000000038
+:1058900002000F00DD827100000000000000000027
+:1058A00002000F00DE827100000000000000000016
+:1058B00002000F00DF827100000000000000000005
+:1058C00002000F00E08271000000000000000000F4
+:1058D00002000F00E18271000000000000000000E3
+:1058E00002000F00E28271000000000000000000D2
+:1058F00002000F00E38271000000000000000000C1
+:1059000002000F00E48271000000000000000000AF
+:1059100002000F00E582710000000000000000009E
+:1059200002000F00E682710000000000000000008D
+:1059300002000F00E782710000000000000000007C
+:1059400002000F00E882710000000000000000006B
+:1059500002000F00E982710000000000000000005A
+:1059600002000F00EA827100000000000000000049
+:1059700002000F00EB827100000000000000000038
+:1059800002000F00EC827100000000000000000027
+:1059900002000F00ED827100000000000000000016
+:1059A00002000F00EE827100000000000000000005
+:1059B00002000F00EF8271000000000000000000F4
+:1059C00002000F00F08271000000000000000000E3
+:1059D00002000F00F18271000000000000000000D2
+:1059E00002000F00F28271000000000000000000C1
+:1059F00002000F00F38271000000000000000000B0
+:105A000002000F00F482710000000000000000009E
+:105A100002000F00F582710000000000000000008D
+:105A200002000F00F682710000000000000000007C
+:105A300002000F00F782710000000000000000006B
+:105A400002000F00F882710000000000000000005A
+:105A500002000F00F9827100000000000000000049
+:105A600002000F00FA827100000000000000000038
+:105A700002000F00FB827100000000000000000027
+:105A800002000F00FC827100000000000000000016
+:105A900002000F00FD827100000000000000000005
+:105AA00002000F00FE8271000000000000000000F4
+:105AB00002000F00FF8271000000000000000000E3
+:105AC00002000F00008371000000000000000000D1
+:105AD00002000F00018371000000000000000000C0
+:105AE00002000F00028371000000000000000000AF
+:105AF00002000F000383710000000000000000009E
+:105B000002000F000483710000000000000000008C
+:105B100002000F000583710000000000000000007B
+:105B200002000F000683710000000000000000006A
+:105B300002000F0007837100000000000000000059
+:105B400002000F0008837100000000000000000048
+:105B500002000F0009837100000000000000000037
+:105B600002000F000A837100000000000000000026
+:105B700002000F000B837100000000000000000015
+:105B800002000F000C837100000000000000000004
+:105B900002000F000D8371000000000000000000F3
+:105BA00002000F000E8371000000000000000000E2
+:105BB00002000F000F8371000000000000000000D1
+:105BC00002000F00108371000000000000000000C0
+:105BD00002000F00118371000000000000000000AF
+:105BE00002000F001283710000000000000000009E
+:105BF00002000F001383710000000000000000008D
+:105C000002000F001483710000000000000000007B
+:105C100002000F001583710000000000000000006A
+:105C200002000F0016837100000000000000000059
+:105C300002000F0017837100000000000000000048
+:105C400002000F0018837100000000000000000037
+:105C500002000F0019837100000000000000000026
+:105C600002000F001A837100000000000000000015
+:105C700002000F001B837100000000000000000004
+:105C800002000F001C8371000000000000000000F3
+:105C900002000F001D8371000000000000000000E2
+:105CA00002000F001E8371000000000000000000D1
+:105CB00002000F001F8371000000000000000000C0
+:105CC00002000F00208371000000000000000000AF
+:105CD00002000F002183710000000000000000009E
+:105CE00002000F002283710000000000000000008D
+:105CF00002000F002383710000000000000000007C
+:105D000002000F002483710000000000000000006A
+:105D100002000F0025837100000000000000000059
+:105D200002000F0026837100000000000000000048
+:105D300002000F0027837100000000000000000037
+:105D400002000F0028837100000000000000000026
+:105D500002000F0029837100000000000000000015
+:105D600002000F002A837100000000000000000004
+:105D700002000F002B8371000000000000000000F3
+:105D800002000F002C8371000000000000000000E2
+:105D900002000F002D8371000000000000000000D1
+:105DA00002000F002E8371000000000000000000C0
+:105DB00002000F002F8371000000000000000000AF
+:105DC00002000F003083710000000000000000009E
+:105DD00002000F003183710000000000000000008D
+:105DE00002000F003283710000000000000000007C
+:105DF00002000F003383710000000000000000006B
+:105E000002000F0034837100000000000000000059
+:105E100002000F0035837100000000000000000048
+:105E200002000F0036837100000000000000000037
+:105E300002000F0037837100000000000000000026
+:105E400002000F0038837100000000000000000015
+:105E500002000F0039837100000000000000000004
+:105E600002000F003A8371000000000000000000F3
+:105E700002000F003B8371000000000000000000E2
+:105E800002000F003C8371000000000000000000D1
+:105E900002000F003D8371000000000000000000C0
+:105EA00002000F003E8371000000000000000000AF
+:105EB00002000F003F83710000000000000000009E
+:105EC00002000F004083710000000000000000008D
+:105ED00002000F004183710000000000000000007C
+:105EE00002000F004283710000000000000000006B
+:105EF00002000F004383710000000000000000005A
+:105F000002000F0044837100000000000000000048
+:105F100002000F0045837100000000000000000037
+:105F200002000F0046837100000000000000000026
+:105F300002000F0047837100000000000000000015
+:105F400002000F0048837100000000000000000004
+:105F500002000F00498371000000000000000000F3
+:105F600002000F004A8371000000000000000000E2
+:105F700002000F004B8371000000000000000000D1
+:105F800002000F004C8371000000000000000000C0
+:105F900002000F004D8371000000000000000000AF
+:105FA00002000F004E83710000000000000000009E
+:105FB00002000F004F83710000000000000000008D
+:105FC00002000F005083710000000000000000007C
+:105FD00002000F005183710000000000000000006B
+:105FE00002000F005283710000000000000000005A
+:105FF00002000F0053837100000000000000000049
+:1060000002000F0054837100000000000000000037
+:1060100002000F0055837100000000000000000026
+:1060200002000F0056837100000000000000000015
+:1060300002000F0057837100000000000000000004
+:1060400002000F00588371000000000000000000F3
+:1060500002000F00598371000000000000000000E2
+:1060600002000F005A8371000000000000000000D1
+:1060700002000F005B8371000000000000000000C0
+:1060800002000F005C8371000000000000000000AF
+:1060900002000F005D83710000000000000000009E
+:1060A00002000F005E83710000000000000000008D
+:1060B00002000F005F83710000000000000000007C
+:1060C00002000F006083710000000000000000006B
+:1060D00002000F006183710000000000000000005A
+:1060E00002000F0062837100000000000000000049
+:1060F00002000F0063837100000000000000000038
+:1061000002000F0064837100000000000000000026
+:1061100002000F0065837100000000000000000015
+:1061200002000F0066837100000000000000000004
+:1061300002000F00678371000000000000000000F3
+:1061400002000F00688371000000000000000000E2
+:1061500002000F00698371000000000000000000D1
+:1061600002000F006A8371000000000000000000C0
+:1061700002000F006B8371000000000000000000AF
+:1061800002000F006C83710000000000000000009E
+:1061900002000F006D83710000000000000000008D
+:1061A00002000F006E83710000000000000000007C
+:1061B00002000F006F83710000000000000000006B
+:1061C00002000F007083710000000000000000005A
+:1061D00002000F0071837100000000000000000049
+:1061E00002000F0072837100000000000000000038
+:1061F00002000F0073837100000000000000000027
+:1062000002000F0074837100000000000000000015
+:1062100002000F0075837100000000000000000004
+:1062200002000F00768371000000000000000000F3
+:1062300002000F00778371000000000000000000E2
+:1062400002000F00788371000000000000000000D1
+:1062500002000F00798371000000000000000000C0
+:1062600002000F007A8371000000000000000000AF
+:1062700002000F007B83710000000000000000009E
+:1062800002000F007C83710000000000000000008D
+:1062900002000F007D83710000000000000000007C
+:1062A00002000F007E83710000000000000000006B
+:1062B00002000F007F83710000000000000000005A
+:1062C00002000F0080837100000000000000000049
+:1062D00002000F0081837100000000000000000038
+:1062E00002000F0082837100000000000000000027
+:1062F00002000F0083837100000000000000000016
+:1063000002000F0084837100000000000000000004
+:1063100002000F00858371000000000000000000F3
+:1063200002000F00868371000000000000000000E2
+:1063300002000F00878371000000000000000000D1
+:1063400002000F00888371000000000000000000C0
+:1063500002000F00898371000000000000000000AF
+:1063600002000F008A83710000000000000000009E
+:1063700002000F008B83710000000000000000008D
+:1063800002000F008C83710000000000000000007C
+:1063900002000F008D83710000000000000000006B
+:1063A00002000F008E83710000000000000000005A
+:1063B00002000F008F837100000000000000000049
+:1063C00002000F0090837100000000000000000038
+:1063D00002000F0091837100000000000000000027
+:1063E00002000F0092837100000000000000000016
+:1063F00002000F0093837100000000000000000005
+:1064000002000F00948371000000000000000000F3
+:1064100002000F00958371000000000000000000E2
+:1064200002000F00968371000000000000000000D1
+:1064300002000F00978371000000000000000000C0
+:1064400002000F00988371000000000000000000AF
+:1064500002000F009983710000000000000000009E
+:1064600002000F009A83710000000000000000008D
+:1064700002000F009B83710000000000000000007C
+:1064800002000F009C83710000000000000000006B
+:1064900002000F009D83710000000000000000005A
+:1064A00002000F009E837100000000000000000049
+:1064B00002000F009F837100000000000000000038
+:1064C00002000F00A0837100000000000000000027
+:1064D00002000F00A1837100000000000000000016
+:1064E00002000F00A2837100000000000000000005
+:1064F00002000F00A38371000000000000000000F4
+:1065000002000F00A48371000000000000000000E2
+:1065100002000F00A58371000000000000000000D1
+:1065200002000F00A68371000000000000000000C0
+:1065300002000F00A78371000000000000000000AF
+:1065400002000F00A883710000000000000000009E
+:1065500002000F00A983710000000000000000008D
+:1065600002000F00AA83710000000000000000007C
+:1065700002000F00AB83710000000000000000006B
+:1065800002000F00AC83710000000000000000005A
+:1065900002000F00AD837100000000000000000049
+:1065A00002000F00AE837100000000000000000038
+:1065B00002000F00AF837100000000000000000027
+:1065C00002000F00B0837100000000000000000016
+:1065D00002000F00B1837100000000000000000005
+:1065E00002000F00B28371000000000000000000F4
+:1065F00002000F00B38371000000000000000000E3
+:1066000002000F00B48371000000000000000000D1
+:1066100002000F00B58371000000000000000000C0
+:1066200002000F00B68371000000000000000000AF
+:1066300002000F00B783710000000000000000009E
+:1066400002000F00B883710000000000000000008D
+:1066500002000F00B983710000000000000000007C
+:1066600002000F00BA83710000000000000000006B
+:1066700002000F00BB83710000000000000000005A
+:1066800002000F00BC837100000000000000000049
+:1066900002000F00BD837100000000000000000038
+:1066A00002000F00BE837100000000000000000027
+:1066B00002000F00BF837100000000000000000016
+:1066C00002000F00C0837100000000000000000005
+:1066D00002000F00C18371000000000000000000F4
+:1066E00002000F00C28371000000000000000000E3
+:1066F00002000F00C38371000000000000000000D2
+:1067000002000F00C48371000000000000000000C0
+:1067100002000F00C58371000000000000000000AF
+:1067200002000F00C683710000000000000000009E
+:1067300002000F00C783710000000000000000008D
+:1067400002000F00C883710000000000000000007C
+:1067500002000F00C983710000000000000000006B
+:1067600002000F00CA83710000000000000000005A
+:1067700002000F00CB837100000000000000000049
+:1067800002000F00CC837100000000000000000038
+:1067900002000F00CD837100000000000000000027
+:1067A00002000F00CE837100000000000000000016
+:1067B00002000F00CF837100000000000000000005
+:1067C00002000F00D08371000000000000000000F4
+:1067D00002000F00D18371000000000000000000E3
+:1067E00002000F00D28371000000000000000000D2
+:1067F00002000F00D38371000000000000000000C1
+:1068000002000F00D48371000000000000000000AF
+:1068100002000F00D583710000000000000000009E
+:1068200002000F00D683710000000000000000008D
+:1068300002000F00D783710000000000000000007C
+:1068400002000F00D883710000000000000000006B
+:1068500002000F00D983710000000000000000005A
+:1068600002000F00DA837100000000000000000049
+:1068700002000F00DB837100000000000000000038
+:1068800002000F00DC837100000000000000000027
+:1068900002000F00DD837100000000000000000016
+:1068A00002000F00DE837100000000000000000005
+:1068B00002000F00DF8371000000000000000000F4
+:1068C00002000F00E08371000000000000000000E3
+:1068D00002000F00E18371000000000000000000D2
+:1068E00002000F00E28371000000000000000000C1
+:1068F00002000F00E38371000000000000000000B0
+:1069000002000F00E483710000000000000000009E
+:1069100002000F00E583710000000000000000008D
+:1069200002000F00E683710000000000000000007C
+:1069300002000F00E783710000000000000000006B
+:1069400002000F00E883710000000000000000005A
+:1069500002000F00E9837100000000000000000049
+:1069600002000F00EA837100000000000000000038
+:1069700002000F00EB837100000000000000000027
+:1069800002000F00EC837100000000000000000016
+:1069900002000F00ED837100000000000000000005
+:1069A00002000F00EE8371000000000000000000F4
+:1069B00002000F00EF8371000000000000000000E3
+:1069C00002000F00F08371000000000000000000D2
+:1069D00002000F00F18371000000000000000000C1
+:1069E00002000F00F28371000000000000000000B0
+:1069F00002000F00F383710000000000000000009F
+:106A000002000F00F483710000000000000000008D
+:106A100002000F00F583710000000000000000007C
+:106A200002000F00F683710000000000000000006B
+:106A300002000F00F783710000000000000000005A
+:106A400002000F00F8837100000000000000000049
+:106A500002000F00F9837100000000000000000038
+:106A600002000F00FA837100000000000000000027
+:106A700002000F00FB837100000000000000000016
+:106A800002000F00FC837100000000000000000005
+:106A900002000F00FD8371000000000000000000F4
+:106AA00002000F00FE8371000000000000000000E3
+:106AB00002000F00FF8371000000000000000000D2
+:106AC00002000F00008471000000000000000000C0
+:106AD00002000F00018471000000000000000000AF
+:106AE00002000F000284710000000000000000009E
+:106AF00002000F000384710000000000000000008D
+:106B000002000F000484710000000000000000007B
+:106B100002000F000584710000000000000000006A
+:106B200002000F0006847100000000000000000059
+:106B300002000F0007847100000000000000000048
+:106B400002000F0008847100000000000000000037
+:106B500002000F0009847100000000000000000026
+:106B600002000F000A847100000000000000000015
+:106B700002000F000B847100000000000000000004
+:106B800002000F000C8471000000000000000000F3
+:106B900002000F000D8471000000000000000000E2
+:106BA00002000F000E8471000000000000000000D1
+:106BB00002000F000F8471000000000000000000C0
+:106BC00002000F00108471000000000000000000AF
+:106BD00002000F001184710000000000000000009E
+:106BE00002000F001284710000000000000000008D
+:106BF00002000F001384710000000000000000007C
+:106C000002000F001484710000000000000000006A
+:106C100002000F0015847100000000000000000059
+:106C200002000F0016847100000000000000000048
+:106C300002000F0017847100000000000000000037
+:106C400002000F0018847100000000000000000026
+:106C500002000F0019847100000000000000000015
+:106C600002000F001A847100000000000000000004
+:106C700002000F001B8471000000000000000000F3
+:106C800002000F001C8471000000000000000000E2
+:106C900002000F001D8471000000000000000000D1
+:106CA00002000F001E8471000000000000000000C0
+:106CB00002000F001F8471000000000000000000AF
+:106CC00002000F002084710000000000000000009E
+:106CD00002000F002184710000000000000000008D
+:106CE00002000F002284710000000000000000007C
+:106CF00002000F002384710000000000000000006B
+:106D000002000F0024847100000000000000000059
+:106D100002000F0025847100000000000000000048
+:106D200002000F0026847100000000000000000037
+:106D300002000F0027847100000000000000000026
+:106D400002000F0028847100000000000000000015
+:106D500002000F0029847100000000000000000004
+:106D600002000F002A8471000000000000000000F3
+:106D700002000F002B8471000000000000000000E2
+:106D800002000F002C8471000000000000000000D1
+:106D900002000F002D8471000000000000000000C0
+:106DA00002000F002E8471000000000000000000AF
+:106DB00002000F002F84710000000000000000009E
+:106DC00002000F003084710000000000000000008D
+:106DD00002000F003184710000000000000000007C
+:106DE00002000F003284710000000000000000006B
+:106DF00002000F003384710000000000000000005A
+:106E000002000F0034847100000000000000000048
+:106E100002000F0035847100000000000000000037
+:106E200002000F0036847100000000000000000026
+:106E300002000F0037847100000000000000000015
+:106E400002000F0038847100000000000000000004
+:106E500002000F00398471000000000000000000F3
+:106E600002000F003A8471000000000000000000E2
+:106E700002000F003B8471000000000000000000D1
+:106E800002000F003C8471000000000000000000C0
+:106E900002000F003D8471000000000000000000AF
+:106EA00002000F003E84710000000000000000009E
+:106EB00002000F003F84710000000000000000008D
+:106EC00002000F004084710000000000000000007C
+:106ED00002000F004184710000000000000000006B
+:106EE00002000F004284710000000000000000005A
+:106EF00002000F0043847100000000000000000049
+:106F000002000F0044847100000000000000000037
+:106F100002000F0045847100000000000000000026
+:106F200002000F0046847100000000000000000015
+:106F300002000F0047847100000000000000000004
+:106F400002000F00488471000000000000000000F3
+:106F500002000F00498471000000000000000000E2
+:106F600002000F004A8471000000000000000000D1
+:106F700002000F004B8471000000000000000000C0
+:106F800002000F004C8471000000000000000000AF
+:106F900002000F004D84710000000000000000009E
+:106FA00002000F004E84710000000000000000008D
+:106FB00002000F004F84710000000000000000007C
+:106FC00002000F005084710000000000000000006B
+:106FD00002000F005184710000000000000000005A
+:106FE00002000F0052847100000000000000000049
+:106FF00002000F0053847100000000000000000038
+:1070000002000F0054847100000000000000000026
+:1070100002000F0055847100000000000000000015
+:1070200002000F0056847100000000000000000004
+:1070300002000F00578471000000000000000000F3
+:1070400002000F00588471000000000000000000E2
+:1070500002000F00598471000000000000000000D1
+:1070600002000F005A8471000000000000000000C0
+:1070700002000F005B8471000000000000000000AF
+:1070800002000F005C84710000000000000000009E
+:1070900002000F005D84710000000000000000008D
+:1070A00002000F005E84710000000000000000007C
+:1070B00002000F005F84710000000000000000006B
+:1070C00002000F006084710000000000000000005A
+:1070D00002000F0061847100000000000000000049
+:1070E00002000F0062847100000000000000000038
+:1070F00002000F0063847100000000000000000027
+:1071000002000F0064847100000000000000000015
+:1071100002000F0065847100000000000000000004
+:1071200002000F00668471000000000000000000F3
+:1071300002000F00678471000000000000000000E2
+:1071400002000F00688471000000000000000000D1
+:1071500002000F00698471000000000000000000C0
+:1071600002000F006A8471000000000000000000AF
+:1071700002000F006B84710000000000000000009E
+:1071800002000F006C84710000000000000000008D
+:1071900002000F006D84710000000000000000007C
+:1071A00002000F006E84710000000000000000006B
+:1071B00002000F006F84710000000000000000005A
+:1071C00002000F0070847100000000000000000049
+:1071D00002000F0071847100000000000000000038
+:1071E00002000F0072847100000000000000000027
+:1071F00002000F0073847100000000000000000016
+:1072000002000F0074847100000000000000000004
+:1072100002000F00758471000000000000000000F3
+:1072200002000F00768471000000000000000000E2
+:1072300002000F00778471000000000000000000D1
+:1072400002000F00788471000000000000000000C0
+:1072500002000F00798471000000000000000000AF
+:1072600002000F007A84710000000000000000009E
+:1072700002000F007B84710000000000000000008D
+:1072800002000F007C84710000000000000000007C
+:1072900002000F007D84710000000000000000006B
+:1072A00002000F007E84710000000000000000005A
+:1072B00002000F007F847100000000000000000049
+:1072C00002000F0080847100000000000000000038
+:1072D00002000F0081847100000000000000000027
+:1072E00002000F0082847100000000000000000016
+:1072F00002000F0083847100000000000000000005
+:1073000002000F00848471000000000000000000F3
+:1073100002000F00858471000000000000000000E2
+:1073200002000F00868471000000000000000000D1
+:1073300002000F00878471000000000000000000C0
+:1073400002000F00888471000000000000000000AF
+:1073500002000F008984710000000000000000009E
+:1073600002000F008A84710000000000000000008D
+:1073700002000F008B84710000000000000000007C
+:1073800002000F008C84710000000000000000006B
+:1073900002000F008D84710000000000000000005A
+:1073A00002000F008E847100000000000000000049
+:1073B00002000F008F847100000000000000000038
+:1073C00002000F0090847100000000000000000027
+:1073D00002000F0091847100000000000000000016
+:1073E00002000F0092847100000000000000000005
+:1073F00002000F00938471000000000000000000F4
+:1074000002000F00948471000000000000000000E2
+:1074100002000F00958471000000000000000000D1
+:1074200002000F00968471000000000000000000C0
+:1074300002000F00978471000000000000000000AF
+:1074400002000F009884710000000000000000009E
+:1074500002000F009984710000000000000000008D
+:1074600002000F009A84710000000000000000007C
+:1074700002000F009B84710000000000000000006B
+:1074800002000F009C84710000000000000000005A
+:1074900002000F009D847100000000000000000049
+:1074A00002000F009E847100000000000000000038
+:1074B00002000F009F847100000000000000000027
+:1074C00002000F00A0847100000000000000000016
+:1074D00002000F00A1847100000000000000000005
+:1074E00002000F00A28471000000000000000000F4
+:1074F00002000F00A38471000000000000000000E3
+:1075000002000F00A48471000000000000000000D1
+:1075100002000F00A58471000000000000000000C0
+:1075200002000F00A68471000000000000000000AF
+:1075300002000F00A784710000000000000000009E
+:1075400002000F00A884710000000000000000008D
+:1075500002000F00A984710000000000000000007C
+:1075600002000F00AA84710000000000000000006B
+:1075700002000F00AB84710000000000000000005A
+:1075800002000F00AC847100000000000000000049
+:1075900002000F00AD847100000000000000000038
+:1075A00002000F00AE847100000000000000000027
+:1075B00002000F00AF847100000000000000000016
+:1075C00002000F00B0847100000000000000000005
+:1075D00002000F00B18471000000000000000000F4
+:1075E00002000F00B28471000000000000000000E3
+:1075F00002000F00B38471000000000000000000D2
+:1076000002000F00B48471000000000000000000C0
+:1076100002000F00B58471000000000000000000AF
+:1076200002000F00B684710000000000000000009E
+:1076300002000F00B784710000000000000000008D
+:1076400002000F00B884710000000000000000007C
+:1076500002000F00B984710000000000000000006B
+:1076600002000F00BA84710000000000000000005A
+:1076700002000F00BB847100000000000000000049
+:1076800002000F00BC847100000000000000000038
+:1076900002000F00BD847100000000000000000027
+:1076A00002000F00BE847100000000000000000016
+:1076B00002000F00BF847100000000000000000005
+:1076C00002000F00C08471000000000000000000F4
+:1076D00002000F00C18471000000000000000000E3
+:1076E00002000F00C28471000000000000000000D2
+:1076F00002000F00C38471000000000000000000C1
+:1077000002000F00C48471000000000000000000AF
+:1077100002000F00C584710000000000000000009E
+:1077200002000F00C684710000000000000000008D
+:1077300002000F00C784710000000000000000007C
+:1077400002000F00C884710000000000000000006B
+:1077500002000F00C984710000000000000000005A
+:1077600002000F00CA847100000000000000000049
+:1077700002000F00CB847100000000000000000038
+:1077800002000F00CC847100000000000000000027
+:1077900002000F00CD847100000000000000000016
+:1077A00002000F00CE847100000000000000000005
+:1077B00002000F00CF8471000000000000000000F4
+:1077C00002000F00D08471000000000000000000E3
+:1077D00002000F00D18471000000000000000000D2
+:1077E00002000F00D28471000000000000000000C1
+:1077F00002000F00D38471000000000000000000B0
+:1078000002000F00D484710000000000000000009E
+:1078100002000F00D584710000000000000000008D
+:1078200002000F00D684710000000000000000007C
+:1078300002000F00D784710000000000000000006B
+:1078400002000F00D884710000000000000000005A
+:1078500002000F00D9847100000000000000000049
+:1078600002000F00DA847100000000000000000038
+:1078700002000F00DB847100000000000000000027
+:1078800002000F00DC847100000000000000000016
+:1078900002000F00DD847100000000000000000005
+:1078A00002000F00DE8471000000000000000000F4
+:1078B00002000F00DF8471000000000000000000E3
+:1078C00002000F00E08471000000000000000000D2
+:1078D00002000F00E18471000000000000000000C1
+:1078E00002000F00E28471000000000000000000B0
+:1078F00002000F00E384710000000000000000009F
+:1079000002000F00E484710000000000000000008D
+:1079100002000F00E584710000000000000000007C
+:1079200002000F00E684710000000000000000006B
+:1079300002000F00E784710000000000000000005A
+:1079400002000F00E8847100000000000000000049
+:1079500002000F00E9847100000000000000000038
+:1079600002000F00EA847100000000000000000027
+:1079700002000F00EB847100000000000000000016
+:1079800002000F00EC847100000000000000000005
+:1079900002000F00ED8471000000000000000000F4
+:1079A00002000F00EE8471000000000000000000E3
+:1079B00002000F00EF8471000000000000000000D2
+:1079C00002000F00F08471000000000000000000C1
+:1079D00002000F00F18471000000000000000000B0
+:1079E00002000F00F284710000000000000000009F
+:1079F00002000F00F384710000000000000000008E
+:107A000002000F00F484710000000000000000007C
+:107A100002000F00F584710000000000000000006B
+:107A200002000F00F684710000000000000000005A
+:107A300002000F00F7847100000000000000000049
+:107A400002000F00F8847100000000000000000038
+:107A500002000F00F9847100000000000000000027
+:107A600002000F00FA847100000000000000000016
+:107A700002000F00FB847100000000000000000005
+:107A800002000F00FC8471000000000000000000F4
+:107A900002000F00FD8471000000000000000000E3
+:107AA00002000F00FE8471000000000000000000D2
+:107AB00002000F00FF8471000000000000000000C1
+:107AC00002000F00008571000000000000000000AF
+:107AD00002000F000185710000000000000000009E
+:107AE00002000F000285710000000000000000008D
+:107AF00002000F000385710000000000000000007C
+:107B000002000F000485710000000000000000006A
+:107B100002000F0005857100000000000000000059
+:107B200002000F0006857100000000000000000048
+:107B300002000F0007857100000000000000000037
+:107B400002000F0008857100000000000000000026
+:107B500002000F0009857100000000000000000015
+:107B600002000F000A857100000000000000000004
+:107B700002000F000B8571000000000000000000F3
+:107B800002000F000C8571000000000000000000E2
+:107B900002000F000D8571000000000000000000D1
+:107BA00002000F000E8571000000000000000000C0
+:107BB00002000F000F8571000000000000000000AF
+:107BC00002000F001085710000000000000000009E
+:107BD00002000F001185710000000000000000008D
+:107BE00002000F001285710000000000000000007C
+:107BF00002000F001385710000000000000000006B
+:107C000002000F0014857100000000000000000059
+:107C100002000F0015857100000000000000000048
+:107C200002000F0016857100000000000000000037
+:107C300002000F0017857100000000000000000026
+:107C400002000F0018857100000000000000000015
+:107C500002000F0019857100000000000000000004
+:107C600002000F001A8571000000000000000000F3
+:107C700002000F001B8571000000000000000000E2
+:107C800002000F001C8571000000000000000000D1
+:107C900002000F001D8571000000000000000000C0
+:107CA00002000F001E8571000000000000000000AF
+:107CB00002000F001F85710000000000000000009E
+:107CC00002000F002085710000000000000000008D
+:107CD00002000F002185710000000000000000007C
+:107CE00002000F002285710000000000000000006B
+:107CF00002000F002385710000000000000000005A
+:107D000002000F0024857100000000000000000048
+:107D100002000F0025857100000000000000000037
+:107D200002000F0026857100000000000000000026
+:107D300002000F0027857100000000000000000015
+:107D400002000F0028857100000000000000000004
+:107D500002000F00298571000000000000000000F3
+:107D600002000F002A8571000000000000000000E2
+:107D700002000F002B8571000000000000000000D1
+:107D800002000F002C8571000000000000000000C0
+:107D900002000F002D8571000000000000000000AF
+:107DA00002000F002E85710000000000000000009E
+:107DB00002000F002F85710000000000000000008D
+:107DC00002000F003085710000000000000000007C
+:107DD00002000F003185710000000000000000006B
+:107DE00002000F003285710000000000000000005A
+:107DF00002000F0033857100000000000000000049
+:107E000002000F0034857100000000000000000037
+:107E100002000F0035857100000000000000000026
+:107E200002000F0036857100000000000000000015
+:107E300002000F0037857100000000000000000004
+:107E400002000F00388571000000000000000000F3
+:107E500002000F00398571000000000000000000E2
+:107E600002000F003A8571000000000000000000D1
+:107E700002000F003B8571000000000000000000C0
+:107E800002000F003C8571000000000000000000AF
+:107E900002000F003D85710000000000000000009E
+:107EA00002000F003E85710000000000000000008D
+:107EB00002000F003F85710000000000000000007C
+:107EC00002000F004085710000000000000000006B
+:107ED00002000F004185710000000000000000005A
+:107EE00002000F0042857100000000000000000049
+:107EF00002000F0043857100000000000000000038
+:107F000002000F0044857100000000000000000026
+:107F100002000F0045857100000000000000000015
+:107F200002000F0046857100000000000000000004
+:107F300002000F00478571000000000000000000F3
+:107F400002000F00488571000000000000000000E2
+:107F500002000F00498571000000000000000000D1
+:107F600002000F004A8571000000000000000000C0
+:107F700002000F004B8571000000000000000000AF
+:107F800002000F004C85710000000000000000009E
+:107F900002000F004D85710000000000000000008D
+:107FA00002000F004E85710000000000000000007C
+:107FB00002000F004F85710000000000000000006B
+:107FC00002000F005085710000000000000000005A
+:107FD00002000F0051857100000000000000000049
+:107FE00002000F0052857100000000000000000038
+:107FF00002000F0053857100000000000000000027
+:1080000002000F0054857100000000000000000015
+:1080100002000F0055857100000000000000000004
+:1080200002000F00568571000000000000000000F3
+:1080300002000F00578571000000000000000000E2
+:1080400002000F00588571000000000000000000D1
+:1080500002000F00598571000000000000000000C0
+:1080600002000F005A8571000000000000000000AF
+:1080700002000F005B85710000000000000000009E
+:1080800002000F005C85710000000000000000008D
+:1080900002000F005D85710000000000000000007C
+:1080A00002000F005E85710000000000000000006B
+:1080B00002000F005F85710000000000000000005A
+:1080C00002000F0060857100000000000000000049
+:1080D00002000F0061857100000000000000000038
+:1080E00002000F0062857100000000000000000027
+:1080F00002000F0063857100000000000000000016
+:1081000002000F0064857100000000000000000004
+:1081100002000F00658571000000000000000000F3
+:1081200002000F00668571000000000000000000E2
+:1081300002000F00678571000000000000000000D1
+:1081400002000F00688571000000000000000000C0
+:1081500002000F00698571000000000000000000AF
+:1081600002000F006A85710000000000000000009E
+:1081700002000F006B85710000000000000000008D
+:1081800002000F006C85710000000000000000007C
+:1081900002000F006D85710000000000000000006B
+:1081A00002000F006E85710000000000000000005A
+:1081B00002000F006F857100000000000000000049
+:1081C00002000F0070857100000000000000000038
+:1081D00002000F0071857100000000000000000027
+:1081E00002000F0072857100000000000000000016
+:1081F00002000F0073857100000000000000000005
+:1082000002000F00748571000000000000000000F3
+:1082100002000F00758571000000000000000000E2
+:1082200002000F00768571000000000000000000D1
+:1082300002000F00778571000000000000000000C0
+:1082400002000F00788571000000000000000000AF
+:1082500002000F007985710000000000000000009E
+:1082600002000F007A85710000000000000000008D
+:1082700002000F007B85710000000000000000007C
+:1082800002000F007C85710000000000000000006B
+:1082900002000F007D85710000000000000000005A
+:1082A00002000F007E857100000000000000000049
+:1082B00002000F007F857100000000000000000038
+:1082C00002000F0080857100000000000000000027
+:1082D00002000F0081857100000000000000000016
+:1082E00002000F0082857100000000000000000005
+:1082F00002000F00838571000000000000000000F4
+:1083000002000F00848571000000000000000000E2
+:1083100002000F00858571000000000000000000D1
+:1083200002000F00868571000000000000000000C0
+:1083300002000F00878571000000000000000000AF
+:1083400002000F008885710000000000000000009E
+:1083500002000F008985710000000000000000008D
+:1083600002000F008A85710000000000000000007C
+:1083700002000F008B85710000000000000000006B
+:1083800002000F008C85710000000000000000005A
+:1083900002000F008D857100000000000000000049
+:1083A00002000F008E857100000000000000000038
+:1083B00002000F008F857100000000000000000027
+:1083C00002000F0090857100000000000000000016
+:1083D00002000F0091857100000000000000000005
+:1083E00002000F00928571000000000000000000F4
+:1083F00002000F00938571000000000000000000E3
+:1084000002000F00948571000000000000000000D1
+:1084100002000F00958571000000000000000000C0
+:1084200002000F00968571000000000000000000AF
+:1084300002000F009785710000000000000000009E
+:1084400002000F009885710000000000000000008D
+:1084500002000F009985710000000000000000007C
+:1084600002000F009A85710000000000000000006B
+:1084700002000F009B85710000000000000000005A
+:1084800002000F009C857100000000000000000049
+:1084900002000F009D857100000000000000000038
+:1084A00002000F009E857100000000000000000027
+:1084B00002000F009F857100000000000000000016
+:1084C00002000F00A0857100000000000000000005
+:1084D00002000F00A18571000000000000000000F4
+:1084E00002000F00A28571000000000000000000E3
+:1084F00002000F00A38571000000000000000000D2
+:1085000002000F00A48571000000000000000000C0
+:1085100002000F00A58571000000000000000000AF
+:1085200002000F00A685710000000000000000009E
+:1085300002000F00A785710000000000000000008D
+:1085400002000F00A885710000000000000000007C
+:1085500002000F00A985710000000000000000006B
+:1085600002000F00AA85710000000000000000005A
+:1085700002000F00AB857100000000000000000049
+:1085800002000F00AC857100000000000000000038
+:1085900002000F00AD857100000000000000000027
+:1085A00002000F00AE857100000000000000000016
+:1085B00002000F00AF857100000000000000000005
+:1085C00002000F00B08571000000000000000000F4
+:1085D00002000F00B18571000000000000000000E3
+:1085E00002000F00B28571000000000000000000D2
+:1085F00002000F00B38571000000000000000000C1
+:1086000002000F00B48571000000000000000000AF
+:1086100002000F00B585710000000000000000009E
+:1086200002000F00B685710000000000000000008D
+:1086300002000F00B785710000000000000000007C
+:1086400002000F00B885710000000000000000006B
+:1086500002000F00B985710000000000000000005A
+:1086600002000F00BA857100000000000000000049
+:1086700002000F00BB857100000000000000000038
+:1086800002000F00BC857100000000000000000027
+:1086900002000F00BD857100000000000000000016
+:1086A00002000F00BE857100000000000000000005
+:1086B00002000F00BF8571000000000000000000F4
+:1086C00002000F00C08571000000000000000000E3
+:1086D00002000F00C18571000000000000000000D2
+:1086E00002000F00C28571000000000000000000C1
+:1086F00002000F00C38571000000000000000000B0
+:1087000002000F00C485710000000000000000009E
+:1087100002000F00C585710000000000000000008D
+:1087200002000F00C685710000000000000000007C
+:1087300002000F00C785710000000000000000006B
+:1087400002000F00C885710000000000000000005A
+:1087500002000F00C9857100000000000000000049
+:1087600002000F00CA857100000000000000000038
+:1087700002000F00CB857100000000000000000027
+:1087800002000F00CC857100000000000000000016
+:1087900002000F00CD857100000000000000000005
+:1087A00002000F00CE8571000000000000000000F4
+:1087B00002000F00CF8571000000000000000000E3
+:1087C00002000F00D08571000000000000000000D2
+:1087D00002000F00D18571000000000000000000C1
+:1087E00002000F00D28571000000000000000000B0
+:1087F00002000F00D385710000000000000000009F
+:1088000002000F00D485710000000000000000008D
+:1088100002000F00D585710000000000000000007C
+:1088200002000F00D685710000000000000000006B
+:1088300002000F00D785710000000000000000005A
+:1088400002000F00D8857100000000000000000049
+:1088500002000F00D9857100000000000000000038
+:1088600002000F00DA857100000000000000000027
+:1088700002000F00DB857100000000000000000016
+:1088800002000F00DC857100000000000000000005
+:1088900002000F00DD8571000000000000000000F4
+:1088A00002000F00DE8571000000000000000000E3
+:1088B00002000F00DF8571000000000000000000D2
+:1088C00002000F00E08571000000000000000000C1
+:1088D00002000F00E18571000000000000000000B0
+:1088E00002000F00E285710000000000000000009F
+:1088F00002000F00E385710000000000000000008E
+:1089000002000F00E485710000000000000000007C
+:1089100002000F00E585710000000000000000006B
+:1089200002000F00E685710000000000000000005A
+:1089300002000F00E7857100000000000000000049
+:1089400002000F00E8857100000000000000000038
+:1089500002000F00E9857100000000000000000027
+:1089600002000F00EA857100000000000000000016
+:1089700002000F00EB857100000000000000000005
+:1089800002000F00EC8571000000000000000000F4
+:1089900002000F00ED8571000000000000000000E3
+:1089A00002000F00EE8571000000000000000000D2
+:1089B00002000F00EF8571000000000000000000C1
+:1089C00002000F00F08571000000000000000000B0
+:1089D00002000F00F185710000000000000000009F
+:1089E00002000F00F285710000000000000000008E
+:1089F00002000F00F385710000000000000000007D
+:108A000002000F00F485710000000000000000006B
+:108A100002000F00F585710000000000000000005A
+:108A200002000F00F6857100000000000000000049
+:108A300002000F00F7857100000000000000000038
+:108A400002000F00F8857100000000000000000027
+:108A500002000F00F9857100000000000000000016
+:108A600002000F00FA857100000000000000000005
+:108A700002000F00FB8571000000000000000000F4
+:108A800002000F00FC8571000000000000000000E3
+:108A900002000F00FD8571000000000000000000D2
+:108AA00002000F00FE8571000000000000000000C1
+:108AB00002000F00FF8571000000000000000000B0
+:108AC00002000F000086710000000000000000009E
+:108AD00002000F000186710000000000000000008D
+:108AE00002000F000286710000000000000000007C
+:108AF00002000F000386710000000000000000006B
+:108B000002000F0004867100000000000000000059
+:108B100002000F0005867100000000000000000048
+:108B200002000F0006867100000000000000000037
+:108B300002000F0007867100000000000000000026
+:108B400002000F0008867100000000000000000015
+:108B500002000F0009867100000000000000000004
+:108B600002000F000A8671000000000000000000F3
+:108B700002000F000B8671000000000000000000E2
+:108B800002000F000C8671000000000000000000D1
+:108B900002000F000D8671000000000000000000C0
+:108BA00002000F000E8671000000000000000000AF
+:108BB00002000F000F86710000000000000000009E
+:108BC00002000F001086710000000000000000008D
+:108BD00002000F001186710000000000000000007C
+:108BE00002000F001286710000000000000000006B
+:108BF00002000F001386710000000000000000005A
+:108C000002000F0014867100000000000000000048
+:108C100002000F0015867100000000000000000037
+:108C200002000F0016867100000000000000000026
+:108C300002000F0017867100000000000000000015
+:108C400002000F0018867100000000000000000004
+:108C500002000F00198671000000000000000000F3
+:108C600002000F001A8671000000000000000000E2
+:108C700002000F001B8671000000000000000000D1
+:108C800002000F001C8671000000000000000000C0
+:108C900002000F001D8671000000000000000000AF
+:108CA00002000F001E86710000000000000000009E
+:108CB00002000F001F86710000000000000000008D
+:108CC00002000F002086710000000000000000007C
+:108CD00002000F002186710000000000000000006B
+:108CE00002000F002286710000000000000000005A
+:108CF00002000F0023867100000000000000000049
+:108D000002000F0024867100000000000000000037
+:108D100002000F0025867100000000000000000026
+:108D200002000F0026867100000000000000000015
+:108D300002000F0027867100000000000000000004
+:108D400002000F00288671000000000000000000F3
+:108D500002000F00298671000000000000000000E2
+:108D600002000F002A8671000000000000000000D1
+:108D700002000F002B8671000000000000000000C0
+:108D800002000F002C8671000000000000000000AF
+:108D900002000F002D86710000000000000000009E
+:108DA00002000F002E86710000000000000000008D
+:108DB00002000F002F86710000000000000000007C
+:108DC00002000F003086710000000000000000006B
+:108DD00002000F003186710000000000000000005A
+:108DE00002000F0032867100000000000000000049
+:108DF00002000F0033867100000000000000000038
+:108E000002000F0034867100000000000000000026
+:108E100002000F0035867100000000000000000015
+:108E200002000F0036867100000000000000000004
+:108E300002000F00378671000000000000000000F3
+:108E400002000F00388671000000000000000000E2
+:108E500002000F00398671000000000000000000D1
+:108E600002000F003A8671000000000000000000C0
+:108E700002000F003B8671000000000000000000AF
+:108E800002000F003C86710000000000000000009E
+:108E900002000F003D86710000000000000000008D
+:108EA00002000F003E86710000000000000000007C
+:108EB00002000F003F86710000000000000000006B
+:108EC00002000F004086710000000000000000005A
+:108ED00002000F0041867100000000000000000049
+:108EE00002000F0042867100000000000000000038
+:108EF00002000F0043867100000000000000000027
+:108F000002000F0044867100000000000000000015
+:108F100002000F0045867100000000000000000004
+:108F200002000F00468671000000000000000000F3
+:108F300002000F00478671000000000000000000E2
+:108F400002000F00488671000000000000000000D1
+:108F500002000F00498671000000000000000000C0
+:108F600002000F004A8671000000000000000000AF
+:108F700002000F004B86710000000000000000009E
+:108F800002000F004C86710000000000000000008D
+:108F900002000F004D86710000000000000000007C
+:108FA00002000F004E86710000000000000000006B
+:108FB00002000F004F86710000000000000000005A
+:108FC00002000F0050867100000000000000000049
+:108FD00002000F0051867100000000000000000038
+:108FE00002000F0052867100000000000000000027
+:108FF00002000F0053867100000000000000000016
+:1090000002000F0054867100000000000000000004
+:1090100002000F00558671000000000000000000F3
+:1090200002000F00568671000000000000000000E2
+:1090300002000F00578671000000000000000000D1
+:1090400002000F00588671000000000000000000C0
+:1090500002000F00598671000000000000000000AF
+:1090600002000F005A86710000000000000000009E
+:1090700002000F005B86710000000000000000008D
+:1090800002000F005C86710000000000000000007C
+:1090900002000F005D86710000000000000000006B
+:1090A00002000F005E86710000000000000000005A
+:1090B00002000F005F867100000000000000000049
+:1090C00002000F0060867100000000000000000038
+:1090D00002000F0061867100000000000000000027
+:1090E00002000F0062867100000000000000000016
+:1090F00002000F0063867100000000000000000005
+:1091000002000F00648671000000000000000000F3
+:1091100002000F00658671000000000000000000E2
+:1091200002000F00668671000000000000000000D1
+:1091300002000F00678671000000000000000000C0
+:1091400002000F00688671000000000000000000AF
+:1091500002000F006986710000000000000000009E
+:1091600002000F006A86710000000000000000008D
+:1091700002000F006B86710000000000000000007C
+:1091800002000F006C86710000000000000000006B
+:1091900002000F006D86710000000000000000005A
+:1091A00002000F006E867100000000000000000049
+:1091B00002000F006F867100000000000000000038
+:1091C00002000F0070867100000000000000000027
+:1091D00002000F0071867100000000000000000016
+:1091E00002000F0072867100000000000000000005
+:1091F00002000F00738671000000000000000000F4
+:1092000002000F00748671000000000000000000E2
+:1092100002000F00758671000000000000000000D1
+:1092200002000F00768671000000000000000000C0
+:1092300002000F00778671000000000000000000AF
+:1092400002000F007886710000000000000000009E
+:1092500002000F007986710000000000000000008D
+:1092600002000F007A86710000000000000000007C
+:1092700002000F007B86710000000000000000006B
+:1092800002000F007C86710000000000000000005A
+:1092900002000F007D867100000000000000000049
+:1092A00002000F007E867100000000000000000038
+:1092B00002000F007F867100000000000000000027
+:1092C00002000F0080867100000000000000000016
+:1092D00002000F0081867100000000000000000005
+:1092E00002000F00828671000000000000000000F4
+:1092F00002000F00838671000000000000000000E3
+:1093000002000F00848671000000000000000000D1
+:1093100002000F00858671000000000000000000C0
+:1093200002000F00868671000000000000000000AF
+:1093300002000F008786710000000000000000009E
+:1093400002000F008886710000000000000000008D
+:1093500002000F008986710000000000000000007C
+:1093600002000F008A86710000000000000000006B
+:1093700002000F008B86710000000000000000005A
+:1093800002000F008C867100000000000000000049
+:1093900002000F008D867100000000000000000038
+:1093A00002000F008E867100000000000000000027
+:1093B00002000F008F867100000000000000000016
+:1093C00002000F0090867100000000000000000005
+:1093D00002000F00918671000000000000000000F4
+:1093E00002000F00928671000000000000000000E3
+:1093F00002000F00938671000000000000000000D2
+:1094000002000F00948671000000000000000000C0
+:1094100002000F00958671000000000000000000AF
+:1094200002000F009686710000000000000000009E
+:1094300002000F009786710000000000000000008D
+:1094400002000F009886710000000000000000007C
+:1094500002000F009986710000000000000000006B
+:1094600002000F009A86710000000000000000005A
+:1094700002000F009B867100000000000000000049
+:1094800002000F009C867100000000000000000038
+:1094900002000F009D867100000000000000000027
+:1094A00002000F009E867100000000000000000016
+:1094B00002000F009F867100000000000000000005
+:1094C00002000F00A08671000000000000000000F4
+:1094D00002000F00A18671000000000000000000E3
+:1094E00002000F00A28671000000000000000000D2
+:1094F00002000F00A38671000000000000000000C1
+:1095000002000F00A48671000000000000000000AF
+:1095100002000F00A586710000000000000000009E
+:1095200002000F00A686710000000000000000008D
+:1095300002000F00A786710000000000000000007C
+:1095400002000F00A886710000000000000000006B
+:1095500002000F00A986710000000000000000005A
+:1095600002000F00AA867100000000000000000049
+:1095700002000F00AB867100000000000000000038
+:1095800002000F00AC867100000000000000000027
+:1095900002000F00AD867100000000000000000016
+:1095A00002000F00AE867100000000000000000005
+:1095B00002000F00AF8671000000000000000000F4
+:1095C00002000F00B08671000000000000000000E3
+:1095D00002000F00B18671000000000000000000D2
+:1095E00002000F00B28671000000000000000000C1
+:1095F00002000F00B38671000000000000000000B0
+:1096000002000F00B486710000000000000000009E
+:1096100002000F00B586710000000000000000008D
+:1096200002000F00B686710000000000000000007C
+:1096300002000F00B786710000000000000000006B
+:1096400002000F00B886710000000000000000005A
+:1096500002000F00B9867100000000000000000049
+:1096600002000F00BA867100000000000000000038
+:1096700002000F00BB867100000000000000000027
+:1096800002000F00BC867100000000000000000016
+:1096900002000F00BD867100000000000000000005
+:1096A00002000F00BE8671000000000000000000F4
+:1096B00002000F00BF8671000000000000000000E3
+:1096C00002000F00C08671000000000000000000D2
+:1096D00002000F00C18671000000000000000000C1
+:1096E00002000F00C28671000000000000000000B0
+:1096F00002000F00C386710000000000000000009F
+:1097000002000F00C486710000000000000000008D
+:1097100002000F00C586710000000000000000007C
+:1097200002000F00C686710000000000000000006B
+:1097300002000F00C786710000000000000000005A
+:1097400002000F00C8867100000000000000000049
+:1097500002000F00C9867100000000000000000038
+:1097600002000F00CA867100000000000000000027
+:1097700002000F00CB867100000000000000000016
+:1097800002000F00CC867100000000000000000005
+:1097900002000F00CD8671000000000000000000F4
+:1097A00002000F00CE8671000000000000000000E3
+:1097B00002000F00CF8671000000000000000000D2
+:1097C00002000F00D08671000000000000000000C1
+:1097D00002000F00D18671000000000000000000B0
+:1097E00002000F00D286710000000000000000009F
+:1097F00002000F00D386710000000000000000008E
+:1098000002000F00D486710000000000000000007C
+:1098100002000F00D586710000000000000000006B
+:1098200002000F00D686710000000000000000005A
+:1098300002000F00D7867100000000000000000049
+:1098400002000F00D8867100000000000000000038
+:1098500002000F00D9867100000000000000000027
+:1098600002000F00DA867100000000000000000016
+:1098700002000F00DB867100000000000000000005
+:1098800002000F00DC8671000000000000000000F4
+:1098900002000F00DD8671000000000000000000E3
+:1098A00002000F00DE8671000000000000000000D2
+:1098B00002000F00DF8671000000000000000000C1
+:1098C00002000F00E08671000000000000000000B0
+:1098D00002000F00E186710000000000000000009F
+:1098E00002000F00E286710000000000000000008E
+:1098F00002000F00E386710000000000000000007D
+:1099000002000F00E486710000000000000000006B
+:1099100002000F00E586710000000000000000005A
+:1099200002000F00E6867100000000000000000049
+:1099300002000F00E7867100000000000000000038
+:1099400002000F00E8867100000000000000000027
+:1099500002000F00E9867100000000000000000016
+:1099600002000F00EA867100000000000000000005
+:1099700002000F00EB8671000000000000000000F4
+:1099800002000F00EC8671000000000000000000E3
+:1099900002000F00ED8671000000000000000000D2
+:1099A00002000F00EE8671000000000000000000C1
+:1099B00002000F00EF8671000000000000000000B0
+:1099C00002000F00F086710000000000000000009F
+:1099D00002000F00F186710000000000000000008E
+:1099E00002000F00F286710000000000000000007D
+:1099F00002000F00F386710000000000000000006C
+:109A000002000F00F486710000000000000000005A
+:109A100002000F00F5867100000000000000000049
+:109A200002000F00F6867100000000000000000038
+:109A300002000F00F7867100000000000000000027
+:109A400002000F00F8867100000000000000000016
+:109A500002000F00F9867100000000000000000005
+:109A600002000F00FA8671000000000000000000F4
+:109A700002000F00FB8671000000000000000000E3
+:109A800002000F00FC8671000000000000000000D2
+:109A900002000F00FD8671000000000000000000C1
+:109AA00002000F00FE8671000000000000000000B0
+:109AB00002000F00FF86710000000000000000009F
+:109AC00002000F000087710000000000000000008D
+:109AD00002000F000187710000000000000000007C
+:109AE00002000F000287710000000000000000006B
+:109AF00002000F000387710000000000000000005A
+:109B000002000F0004877100000000000000000048
+:109B100002000F0005877100000000000000000037
+:109B200002000F0006877100000000000000000026
+:109B300002000F0007877100000000000000000015
+:109B400002000F0008877100000000000000000004
+:109B500002000F00098771000000000000000000F3
+:109B600002000F000A8771000000000000000000E2
+:109B700002000F000B8771000000000000000000D1
+:109B800002000F000C8771000000000000000000C0
+:109B900002000F000D8771000000000000000000AF
+:109BA00002000F000E87710000000000000000009E
+:109BB00002000F000F87710000000000000000008D
+:109BC00002000F001087710000000000000000007C
+:109BD00002000F001187710000000000000000006B
+:109BE00002000F001287710000000000000000005A
+:109BF00002000F0013877100000000000000000049
+:109C000002000F0014877100000000000000000037
+:109C100002000F0015877100000000000000000026
+:109C200002000F0016877100000000000000000015
+:109C300002000F0017877100000000000000000004
+:109C400002000F00188771000000000000000000F3
+:109C500002000F00198771000000000000000000E2
+:109C600002000F001A8771000000000000000000D1
+:109C700002000F001B8771000000000000000000C0
+:109C800002000F001C8771000000000000000000AF
+:109C900002000F001D87710000000000000000009E
+:109CA00002000F001E87710000000000000000008D
+:109CB00002000F001F87710000000000000000007C
+:109CC00002000F002087710000000000000000006B
+:109CD00002000F002187710000000000000000005A
+:109CE00002000F0022877100000000000000000049
+:109CF00002000F0023877100000000000000000038
+:109D000002000F0024877100000000000000000026
+:109D100002000F0025877100000000000000000015
+:109D200002000F0026877100000000000000000004
+:109D300002000F00278771000000000000000000F3
+:109D400002000F00288771000000000000000000E2
+:109D500002000F00298771000000000000000000D1
+:109D600002000F002A8771000000000000000000C0
+:109D700002000F002B8771000000000000000000AF
+:109D800002000F002C87710000000000000000009E
+:109D900002000F002D87710000000000000000008D
+:109DA00002000F002E87710000000000000000007C
+:109DB00002000F002F87710000000000000000006B
+:109DC00002000F003087710000000000000000005A
+:109DD00002000F0031877100000000000000000049
+:109DE00002000F0032877100000000000000000038
+:109DF00002000F0033877100000000000000000027
+:109E000002000F0034877100000000000000000015
+:109E100002000F0035877100000000000000000004
+:109E200002000F00368771000000000000000000F3
+:109E300002000F00378771000000000000000000E2
+:109E400002000F00388771000000000000000000D1
+:109E500002000F00398771000000000000000000C0
+:109E600002000F003A8771000000000000000000AF
+:109E700002000F003B87710000000000000000009E
+:109E800002000F003C87710000000000000000008D
+:109E900002000F003D87710000000000000000007C
+:109EA00002000F003E87710000000000000000006B
+:109EB00002000F003F87710000000000000000005A
+:109EC00002000F0040877100000000000000000049
+:109ED00002000F0041877100000000000000000038
+:109EE00002000F0042877100000000000000000027
+:109EF00002000F0043877100000000000000000016
+:109F000002000F0044877100000000000000000004
+:109F100002000F00458771000000000000000000F3
+:109F200002000F00468771000000000000000000E2
+:109F300002000F00478771000000000000000000D1
+:109F400002000F00488771000000000000000000C0
+:109F500002000F00498771000000000000000000AF
+:109F600002000F004A87710000000000000000009E
+:109F700002000F004B87710000000000000000008D
+:109F800002000F004C87710000000000000000007C
+:109F900002000F004D87710000000000000000006B
+:109FA00002000F004E87710000000000000000005A
+:109FB00002000F004F877100000000000000000049
+:109FC00002000F0050877100000000000000000038
+:109FD00002000F0051877100000000000000000027
+:109FE00002000F0052877100000000000000000016
+:109FF00002000F0053877100000000000000000005
+:10A0000002000F00548771000000000000000000F3
+:10A0100002000F00558771000000000000000000E2
+:10A0200002000F00568771000000000000000000D1
+:10A0300002000F00578771000000000000000000C0
+:10A0400002000F00588771000000000000000000AF
+:10A0500002000F005987710000000000000000009E
+:10A0600002000F005A87710000000000000000008D
+:10A0700002000F005B87710000000000000000007C
+:10A0800002000F005C87710000000000000000006B
+:10A0900002000F005D87710000000000000000005A
+:10A0A00002000F005E877100000000000000000049
+:10A0B00002000F005F877100000000000000000038
+:10A0C00002000F0060877100000000000000000027
+:10A0D00002000F0061877100000000000000000016
+:10A0E00002000F0062877100000000000000000005
+:10A0F00002000F00638771000000000000000000F4
+:10A1000002000F00648771000000000000000000E2
+:10A1100002000F00658771000000000000000000D1
+:10A1200002000F00668771000000000000000000C0
+:10A1300002000F00678771000000000000000000AF
+:10A1400002000F006887710000000000000000009E
+:10A1500002000F006987710000000000000000008D
+:10A1600002000F006A87710000000000000000007C
+:10A1700002000F006B87710000000000000000006B
+:10A1800002000F006C87710000000000000000005A
+:10A1900002000F006D877100000000000000000049
+:10A1A00002000F006E877100000000000000000038
+:10A1B00002000F006F877100000000000000000027
+:10A1C00002000F0070877100000000000000000016
+:10A1D00002000F0071877100000000000000000005
+:10A1E00002000F00728771000000000000000000F4
+:10A1F00002000F00738771000000000000000000E3
+:10A2000002000F00748771000000000000000000D1
+:10A2100002000F00758771000000000000000000C0
+:10A2200002000F00768771000000000000000000AF
+:10A2300002000F007787710000000000000000009E
+:10A2400002000F007887710000000000000000008D
+:10A2500002000F007987710000000000000000007C
+:10A2600002000F007A87710000000000000000006B
+:10A2700002000F007B87710000000000000000005A
+:10A2800002000F007C877100000000000000000049
+:10A2900002000F007D877100000000000000000038
+:10A2A00002000F007E877100000000000000000027
+:10A2B00002000F007F877100000000000000000016
+:10A2C00002000F0080877100000000000000000005
+:10A2D00002000F00818771000000000000000000F4
+:10A2E00002000F00828771000000000000000000E3
+:10A2F00002000F00838771000000000000000000D2
+:10A3000002000F00848771000000000000000000C0
+:10A3100002000F00858771000000000000000000AF
+:10A3200002000F008687710000000000000000009E
+:10A3300002000F008787710000000000000000008D
+:10A3400002000F008887710000000000000000007C
+:10A3500002000F008987710000000000000000006B
+:10A3600002000F008A87710000000000000000005A
+:10A3700002000F008B877100000000000000000049
+:10A3800002000F008C877100000000000000000038
+:10A3900002000F008D877100000000000000000027
+:10A3A00002000F008E877100000000000000000016
+:10A3B00002000F008F877100000000000000000005
+:10A3C00002000F00908771000000000000000000F4
+:10A3D00002000F00918771000000000000000000E3
+:10A3E00002000F00928771000000000000000000D2
+:10A3F00002000F00938771000000000000000000C1
+:10A4000002000F00948771000000000000000000AF
+:10A4100002000F009587710000000000000000009E
+:10A4200002000F009687710000000000000000008D
+:10A4300002000F009787710000000000000000007C
+:10A4400002000F009887710000000000000000006B
+:10A4500002000F009987710000000000000000005A
+:10A4600002000F009A877100000000000000000049
+:10A4700002000F009B877100000000000000000038
+:10A4800002000F009C877100000000000000000027
+:10A4900002000F009D877100000000000000000016
+:10A4A00002000F009E877100000000000000000005
+:10A4B00002000F009F8771000000000000000000F4
+:10A4C00002000F00A08771000000000000000000E3
+:10A4D00002000F00A18771000000000000000000D2
+:10A4E00002000F00A28771000000000000000000C1
+:10A4F00002000F00A38771000000000000000000B0
+:10A5000002000F00A487710000000000000000009E
+:10A5100002000F00A587710000000000000000008D
+:10A5200002000F00A687710000000000000000007C
+:10A5300002000F00A787710000000000000000006B
+:10A5400002000F00A887710000000000000000005A
+:10A5500002000F00A9877100000000000000000049
+:10A5600002000F00AA877100000000000000000038
+:10A5700002000F00AB877100000000000000000027
+:10A5800002000F00AC877100000000000000000016
+:10A5900002000F00AD877100000000000000000005
+:10A5A00002000F00AE8771000000000000000000F4
+:10A5B00002000F00AF8771000000000000000000E3
+:10A5C00002000F00B08771000000000000000000D2
+:10A5D00002000F00B18771000000000000000000C1
+:10A5E00002000F00B28771000000000000000000B0
+:10A5F00002000F00B387710000000000000000009F
+:10A6000002000F00B487710000000000000000008D
+:10A6100002000F00B587710000000000000000007C
+:10A6200002000F00B687710000000000000000006B
+:10A6300002000F00B787710000000000000000005A
+:10A6400002000F00B8877100000000000000000049
+:10A6500002000F00B9877100000000000000000038
+:10A6600002000F00BA877100000000000000000027
+:10A6700002000F00BB877100000000000000000016
+:10A6800002000F00BC877100000000000000000005
+:10A6900002000F00BD8771000000000000000000F4
+:10A6A00002000F00BE8771000000000000000000E3
+:10A6B00002000F00BF8771000000000000000000D2
+:10A6C00002000F00C087710079000000769060FDE5
+:10A6D00002000F00C18771003D0000006F0080F78D
+:10A6E00002000F00C28771003D0000006F0080F77C
+:10A6F00002000F00C38771003D0000006F0080F76B
+:10A7000002000F00C48771803D0000006F0080F7D9
+:00000001FF
+/* Loading Firmware */
+/* INT_MEM Ver */
+ * Tehuti Networks(R) Network Driver
+ * Copyright (C) 2007 Tehuti Networks Ltd. All rights reserved
diff --git a/firmware/yam/1200.bin.ihex b/firmware/yam/1200.bin.ihex
new file mode 100644
index 0000000..9d34e56
--- /dev/null
+++ b/firmware/yam/1200.bin.ihex
@@ -0,0 +1,342 @@
+:10000000FFF200A5ADFFFE9FFFEFF3CBFFDBFCF29D
+:10001000FFF6FF3CBFFDBFDF6E3F6FF17DB4FDBF5C
+:10002000DF6F3F6FF70BFFDBFDF2FFF6FFFFFFFF18
+:10003000F0CFFFFFFFFEFFFFDFFFFFFFEFFFFFFF40
+:10004000FDFFFFFFFEFFFFFFFFFFF1FFFFFFFFBF11
+:10005000FFFFF7FFFFFBFFFFFFFCFFFEFFFFFFF0CF
+:100060005FFFFFFFFEFFFFFFFFFFFFFFFFFFFFFF41
+:10007000FFFFFFFFFFF7FFFFFFF1FFFFFE7FBFFF67
+:10008000FFFFFFFFFFFFFFFFF7FFFBFFFFFFF09FFB
+:10009000FFFFFFFEFFFDFFFFFFFFDFFFFFFFF7FF9B
+:1000A000FFFFFBFFFBFFFFFFF0FFFFFFFFFFFFFF77
+:1000B000F7FFFFFBFFFFFFFEFFFFFFEFFFF05FFF1C
+:1000C000FFFFFEFFFFEFFFFFFBFFFFFFFFFFFFFF55
+:1000D000FFBFFFFFDFF7FFF1FFFFFFFFFFFFFFFFA6
+:1000E000FFFFFFFFFFFBFEFFFFFFFFFFF0FFFFFF34
+:1000F000FFFEFFFFFFFFFFFFFFFFFFFFFFFFFFEB25
+:10010000FFFFFFFDFFBFF1FFFFFFFFDFFFFFFFFB73
+:10011000FFFFFFFFFFFFFFFFFFFFFFF06FFFFFFF8E
+:10012000FEFFFFFFFFFFFFFFFFFFDFFFFFFFFFFF00
+:10013000FFFFF7FFFFF1FFFFF7BFE7FFFFFFFFFB49
+:10014000FFFFFFFFFFFF77FFFFFFF0FFFFFFFFFE57
+:10015000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF
+:10016000FFFFFFFFF1FFFFFFFFFFFFFFFFFFFFFFAD
+:10017000FFFFFFFFFFFFFFFFFFF01FFFFFFFFEDBA3
+:10018000FFFFF5A5FD4B6EEF3332DDD34AD692FE6D
+:10019000B33FBDF1FADBFEF7F696BDBDFFBDFFED47
+:1001A0007F6B7FFBDFFEFBFE90CFFFFFFFFEBEEF0E
+:1001B000FFFFDB5FF6FFF68FFDA5DDFFFFFFFF6FA3
+:1001C0007FDBF1FCBFFF6FFFEFFC5B5DDADFF4FF6D
+:1001D000F2FFFDBFFFFFFFD01FFFFFFFFEFFFFFF8E
+:1001E000FFFBEFB7FC33FFFBFF046AF33C36FFF085
+:1001F0000FF10FFFFFFFF315720FF16FFFFE943F3A
+:10020000FFFFFF7BFFFFF0FFFFFFFFFEFFFFFFF0A1
+:10021000F7EFB7FC33FFFFFF046AF33C36FFF00F44
+:10022000F10FFFFFFFF315738FF26FFFFE943FFF97
+:10023000FFFF7D9FFFF00FFFFFFFFEFFFFFFFF9E11
+:10024000FFFCEFD3FBFF7FF55FFE59FFFFFFFCF1E3
+:10025000FE7FFFFFFA17FFE7EFEFFFFF3FF1FFFF22
+:10026000FFFFFFFFF0FFFFFFFFFEF5FFBFFFFCEA10
+:10027000FFF0FFFFBFF93FB1EFFFD7FFFBFFF0FF3C
+:10028000FFF3FFDFFF7BFFFDFFF6FFBFFFFFBFFFB9
+:10029000FFFFDAF0FFFFFFFFFEF2C00100000202E5
+:1002A0000202004040401000000020000001000059
+:1002B000000000001900040400000000000000100D
+:1002C000003CF0AFFFFFFFFEFDBFFFFFFBFFFDFFA8
+:1002D000FF7FFFFFBFFFEFFFFFFDFFFFF1FFDFFF2E
+:1002E000FFFFFFFFFFBFFEFFFFFEFFFFFFFFFFDF80
+:1002F000DBF06FFFFFFFFEF0BFDFFF7FFFFFFFFFC1
+:10030000DFDFFFEFFF9EEFFFFF7FFFF1EFFFFFFF5C
+:10031000F7FABFFFFFFE47EFFFBDF6FFFFDFF5F087
+:10032000F0EFFFFFFFFEF8300000000400010208BC
+:1003300016000000800001020080010C0200000194
+:100340000000200000060020001000140004C1F08E
+:100350002FFFFFFFFEFFFFFFFFFFFFFFFBFFFF7F02
+:10036000ECFFFFFAFFBFFF6FFFE1FFFFFFFFBDFEE6
+:1003700046FFEF7FCDDFFFFFFDFFBDFF7F7FF04F2B
+:10038000FFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFF7E
+:10039000FFFFFFFFFFFFFFFFF1FFFFFFFFFFFFFF7B
+:1003A000FFFFFFFFFFFFFFFFFFFFFFFFFFF00FFF5C
+:1003B000FFFFFEFFFFFFFDA4BCCD6D6B6F5BDC3369
+:1003C0005AF6F7F6B33FBDC1FA5AF6F6B6F7FFBDD7
+:1003D000BB3CCECF34EF33BBCCFFFFFFF04FFFFF72
+:1003E000FFFEBFFFFFFFDBFFF6D6FFFDFDBFFFAD4A
+:1003F000BFF97F6FFCDBF1FDBFFF6FFFFFDADBFCB6
+:10040000DBFF768FF6FFCDABFEFBFFD0FFFFFFFFDC
+:10041000FEFF9FFFF420AF6D0BC17BFFFFFFCBFF03
+:100420003FF0EF7F0FF1C33CFFFFFFFFFFFFF80B33
+:100430001D6A64056B9901FFFDEFF02FFFFFFFFEC2
+:10044000FFFFFFF4002FCC0BC37FFFFFFF0ADFBFCE
+:10045000FD7FFFFFF1C3BFFFFFFFFFFFFFF04A0E6D
+:10046000966402979910FFFFFFF0DFFFFFFFFEFF8A
+:10047000FFFFFE84F9D527F17FFFF8EBDFF3CF3FD5
+:100480001FFFF711FFCFFFFE67FFFFFFFFC4FFFF56
+:10049000B3A1FFF9E0FFFFFFF0EFFFFFFFFEF5FF65
+:1004A000FFFB7FE0FFC7FE7F3FFFFD778D7F0FFFE4
+:1004B000C3FFF1BF8FCFFFFFDD7BFFF6FAF7FF40F1
+:1004C0009FF97FD8FFFFFAF01FFFFFFFFEF1C0008A
+:1004D00000030000000000000000400010000010B9
+:1004E00000010010202000001000040105000000A1
+:1004F00000404000003CF01FFFFFFFFEFDBFFFFF7C
+:10050000FFFFFE7F7FFFEFFFFFDFFFFFDFFFEFF764
+:10051000F1FFFFFFFFDFFFFFF7FFFFFFFCFDFF7FA6
+:100520007EFFFFFFDBF06FFFFFFFFEF0BBFFFFFF73
+:10053000FFFFFEEBFD6FFFF7FEF57FFFFF7FBFB113
+:10054000FFFF9FBFFBFFFEFFFEFFF7EBDFBF5FDD9F
+:10055000FFDBFDD0F06FFFFFFFFEF8302000420010
+:100560000000301804080921828002000800010000
+:1005700000000C2010001100448400202084800022
+:100580000000C1F0DFFFFFFFFEFFF7FFFBDDF9FF1B
+:10059000DAFFDCDDFCFBFFBFFB3ED796FE61F7FF19
+:1005A0007FFF3FFDFFDFCFF7DFF7BFFDFFFEEFEF80
+:1005B000FEFFF07FFFFFFFFEFFFFFFFFFFFFFFFFDC
+:1005C000FFFFFFFFFFFFFFFFFFFFFFFFF1FFFFFF49
+:1005D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B
+:1005E000FFF02FFFFFFFFEFFFFFFF3BDFD4B74CFBA
+:1005F000735BCB3BDFFEF7FED375ACA1FBDFFEF7F1
+:100600007696B524BDA5AD492F692B525BBDFFFF82
+:10061000F0CFFFFFFFFEBFFFFFFFDBFFF6FEFFCCCB
+:10062000A7FBADFF7F6FFF6D7FDBF1FDBFFF6FFFAE
+:100630006FFFDBFFDBFFF697F6FFB5B5FFFFFFD0DF
+:10064000EFFFFFFFFEFFFFFFFDA5BC43FC7C03E7C0
+:10065000FFFF20FFFFFFCCFD7DF1FFFFFFFFD5591E
+:10066000BA56666AAD9AA99A97A5AABBFFFFF00F82
+:10067000FFFFFFFEFEFBFFFDF7FD43FFFD6BE7FF06
+:10068000FFDFFFFFFFFFFF3FF1FFFFFFFFD559B582
+:10069000A6666AAD9AA9996B5AAAFFFFB7F03FFF09
+:1006A000FFFFFEFFFFFFFE9CF7FDD241FFFFF27F41
+:1006B0008FFFFF3DF3FF17F1FFFFFFFFFF7FDFFC21
+:1006C0008F38FFEF23FFFBF7C8FFFFFFF09FFFFF0F
+:1006D000FFFEF57FFFFDFFE4FFEBFFCFBFFAFFABAF
+:1006E000EFFFFBFFF3FD61FFFFFFFFFAFFFBFD0DD7
+:1006F000FFFEFF437FFEBFD0FDFFFAF03FFFFFFF8D
+:10070000FEF3C0000000020002010060C0400000D3
+:100710000000340400010000000000000008880010
+:100720000003000040004000003CF03FFFFFFFFEE0
+:10073000FD3FFFFFFFFFFFFF7F7FBFFFFFFFFFFFCB
+:10074000FFFFFFF7F1FFFFFFFFFFF7FFFFFFFDFFD9
+:10075000FFFFFFFEFE5FFFFFCBF0DFFFFFFFFEF0BE
+:10076000FFFFFDFFEFE3DEEED9C593FFFFFEFEFFC7
+:10077000FBEEFEF1FFFFFFFFFFFDFFBFF7FFFF7F77
+:10078000AFBDDFDFFBF3F3F0F0AFFFFFFFFEF834A8
+:10079000000661001801A0051700200528200000B0
+:1007A0000500410000400009000120868208400346
+:1007B000803070081402C1F0CFFFFFFFFEFFFFFF83
+:1007C000FFFFBDEFFBFFFFFB9C7FEFDFFFBFEBDE1B
+:1007D000FFC17FFFFB7FFFFFFF5FFFFFFFDFBFEF7B
+:1007E0003FF78FEF7FFFF07FFFFFFFFEFFFFFFFF71
+:1007F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09
+:10080000F1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06
+:10081000FFFFFFFFFFF03FFFFFFFFEFFFFFFFFBDFA
+:10082000DFEF7D6D2B5A5DD2DFF692B6B2B3ACA18D
+:10083000FBDFFEF1EEF5F6BC6BBD7DAF1AEF5F6B33
+:10084000C6FFFFFFF05FFFFFFFFEBFFFFFFFDBFF05
+:10085000F6FFF6B7FDADFDBFF36FFF6FFFDBD1FD18
+:10086000BFFF6FF56BBC5B3CDAEF16AF16FFCDAB8D
+:10087000FF6FFFD0FFFFFFFFFEFFFFFFFCBFFFFF8B
+:10088000FF6C0310C1F3FFF33AF3CAFFAFF1FFFFB0
+:10089000FFFFD996A665A6666A9569696A5A5AFFE6
+:1008A000FF5FF01FFFFFFFFEFFFFFFFFBFFFFFFF28
+:1008B000EA0F50C3F37FFFF3F3C3FFAFF1FFFFFF76
+:1008C000FFD996A665A6666A9569696A5A5AFFFFB6
+:1008D000FFF03FFFFFFFFEFFFFFFFFD7FFFF5FC1FE
+:1008E0003FF75EF5CE9E5F3F17FFF3E1FFFFFFFF8F
+:1008F000D8FFFAFE67FFFEBF5AFFFFAFF5FFFFFF0D
+:10090000F02FFFFFFFFEF5FFFFFDFFF7FFFD4E3D60
+:100910003FE70BBF8FF9FFEBE3FFE1FFFFFCFFC7F2
+:100920009FFF3E39E5FFCF9BF9FFFFC5FFFFFAF0C0
+:100930005FFFFFFFFEF3C00000000000000040006A
+:100940000000006000000000000100000020002006
+:10095000000110080000000000000000003CF04F03
+:10096000FFFFFFFEFDBFFFFFFFFFFFFFFEFFFFBF1B
+:100970003FFFFFBFFFFFFFFBF1FFFFFFFFF7FFF7A9
+:10098000FFEDFFFBFEFF7FFF7FDFFFFFDDF03FFF9F
+:10099000FFFFFEF0FFFFF3FFF7FFFE5FFFF7FFFF34
+:1009A000DFFFFFFFF7FE7BF1FFFDFDFFDFDFFF7DD8
+:1009B00073F9FFC37EFEFFEFD7FFCFD0F06FFFFFCD
+:1009C000FFFEF83000004004000141200004000256
+:1009D000D50900028002010000000A04000700019E
+:1009E000500180026140410C1408C1F09FFFFFFFDD
+:1009F000FEFFFFFFFEFFFFFFFEDFCB5FFEEFFFFE10
+:100A0000FF3FFF7FFDC1FFFF7FFFDFFDFCFDF7EE36
+:100A1000FFFF4EFFDFCFDBEBFFFFF01FFFFFFFFE0F
+:100A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6
+:100A3000FFFFFFFFF1FFFFFFFFFFFFFFFFFFFFFFD4
+:100A4000FFFFFFFFFFFFFFFFFFF02FFFFFFFFE7F16
+:100A5000FFFFFFFDFFFFFFFFFFFFFFFFDFFFFFFFC8
+:100A6000F7FBFFF1FFFFFFFFFFFFFFFFFFFFFFFFB0
+:100A7000FFFF7FFFFFFF7FFFF01FFFFFFFFEDDFF98
+:100A8000FFFFA5FF6F6BE96FDACAFBDDEEF7F6B289
+:100A9000B3A4A15B5BF6D7F4F77BBDBDADCFEF7F11
+:100AA0006B7F3BDFDBFFFF30CFFFFFFFFEBFFFFFB2
+:100AB000FFFFFFF6FE96FFFDB5FDBFAD7FFF6FFFA9
+:100AC000DED1ADADE9FFF1ECEFDE3FCBFFF6FF325B
+:100AD000FFC5BDFFFFFFD0BFFFFFFFFEFEFBFFF422
+:100AE00028BFFFFDFBD3FFFF42FFFFFFEAB3FCC3BC
+:100AF000C1FF33FFC0156B70FFF0F24FFFFC3E9754
+:100B00003CFFFFFDEFF0BFFFFFFFFEFFFFFFFE78A2
+:100B1000BFFFFDF3EF55FF7EFFFFFFEAB3FCC3C14C
+:100B2000FF33FFC0156FFF0FF0F00FFFFC3D6BC3ED
+:100B3000FFFFFEF7F0CFFFFFFFFEFFFFFFFFFCFF11
+:100B4000FF23F87FFF4EFFFFFFFBF917FFF6F1FFD2
+:100B5000CFEFFFFF13DFE62FC7FFFFE7C1FDFFFE6B
+:100B6000FFFFFFF04FFFFFFFFEF5FFFFFFFEAEFFB1
+:100B7000FF7F3B3FFC7FFCEFFFFCE27BFFF1FDEDE5
+:100B8000EFFFFF3573FFFFFEFAFFFFFFFEBFFFFF22
+:100B9000FFFAF08FFFFFFFFEF1C000000000000031
+:100BA000000000800000400000000C0401404000F4
+:100BB00000302804000800000001000100000000CF
+:100BC00038F00FFFFFFFFEFDBFFFFFFFFFFBFF7FC2
+:100BD000FFFF9FFFFFFFFFFFFFFFFFF1FFDFDFFFD3
+:100BE000FFFFFFEDFFFDFFFFFFFFFFBFBFFFFFC3E5
+:100BF000F03FFFFFFFFEF0BFFDFFBFFFFFFDFFFF68
+:100C0000FFFFFFFD7BFF7FFFBDFFF1EFFFFFFDDF7C
+:100C1000FDFBFFFFBFBEFFCD7FFCF7F76FBFD8F036
+:100C2000EFFFFFFFFEF830000000040000A000000E
+:100C3000C0000020340000000C810020A42000101F
+:100C400008044808004093001000381820C1F03F05
+:100C5000FFFFFFFEFFFBFFFFB9DFFEB3FFFFE7FD76
+:100C6000FFFF3BFF7FFFBFFFC1FFFCFFFF3F77FEA2
+:100C7000FECFFFBFFDBFFFFEEDF2FDF7FFF02FFF40
+:100C8000FFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFF75
+:100C9000FFFFFFFFFFFFFFF1FFFFFFFFFFFFFFFF72
+:100CA000FFFFFFFFFFFFFFFFFFFFFFFFF0BFFFFFA3
+:100CB000FFFEFFFFFFF3ADCFEF70C9733BDF5B4A71
+:100CC000F6B7FED7F5BCC133CAD6B76EF7FBBDC5C4
+:100CD00024CF6F2F4D2BBA5AFFFFFFF0AFFFFFFF5E
+:100CE000FEBFFFFFFFFFF6F6D7FFFFADBDFFFFFF23
+:100CF000EFF77FFC5BB1FDBD756FEF6AFD5BFBDB62
+:100D00003ABF8E9FFFBFFDFF6FFFD06FFFFFFFFE5B
+:100D1000FFBBFFF03FFFFFFDFB7FDEFFFF5AD6BFAB
+:100D2000D82ABFBFF1E5FFCCC0A970FFF33C3CFD62
+:100D300057FD980300C3FFFFFFF0AFFFFFFFFEFF6B
+:100D4000FFFFFF3DBFFFFDFBFFDBFFFF0FFC3FD8B9
+:100D50002ABFBFF1EFFFCCC096BEFFF33FFFFD57A8
+:100D6000FD990FFFC3FFFFFFF04FFFFFFFFEFFFFE7
+:100D7000FFF1E7FFFFF38E7BFFA8FFDF7F8E787325
+:100D8000FFF15162FFFC4BFFF3FF7ECFF9FFFDFF48
+:100D9000FF7FFFE0FFFFFFF04FFFFFFFFEF5FFFFCC
+:100DA000FBFDAEFFFCFE6F3FF8FD77AFFE37FE7B2D
+:100DB000FFB18CFFEFFDF8E7BFFFF1FE3EF7FE95B8
+:100DC0003EBFFFFFFFFAF0BFFFFFFFFEF1C00000D4
+:100DD0000104000000008002000010001000100854
+:100DE0004180100000081084000C040261000081A2
+:100DF000000000003DF07FFFFFFFFEFDBFFFFFFF93
+:100E0000FFFF7FFFFEFDBFFFFFFFFFFFFFFFFFF1C3
+:100E10007FBFF77FEFFFEFFFF7FDFFFFFD7FFFBE17
+:100E2000DFFFFFD9F0BFFFFFFFFEF0BBFF7FFBFF3F
+:100E3000FBFFBFFFF37FFBFDEB7FDFFAFFDEF0ED93
+:100E4000FFB1F7F91FB55BFE7EF7BEFD7F5FB5F71B
+:100E5000FFFFD0F04FFFFFFFFEF830010007420117
+:100E6000006A185080000002400101200101241492
+:100E700021100208070800401080580084801810D4
+:100E800040C1F0BFFFFFFFFEFFFFFFF7FFDBB7F33F
+:100E9000DF7CF874FFFF6F7D3F7EEC7FC1F5FFCFF5
+:100EA0006F9FF9DFBEE5E7FFD7F3DDFBFFFCFFBF78
+:100EB000FFF0FFFFFFFFFEFFFFFFFFFFFFFFFFFF52
+:100EC000FFFFFFFFFFFFFFFFFFFFFFF1FFFFFFFF40
+:100ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22
+:100EE000F02FFFFFFFFED7FFFFFFB4CFEF776F7349
+:100EF0003A4A3ACBD4F72ED6BDBDA13BDFD6F7EEAA
+:100F0000D335BDFBBDCEEB2B4D2FBBDAFFFFFEB0C3
+:100F10005FFFFFFFFEBFFFFFFFDF5F36AF3FEDB7B5
+:100F2000F5FDF32BEF77FFFBDAB1BDA377697F4FB8
+:100F3000FFDBFA5BFFF2FEFF96FFFFFEDFFFD0AFA5
+:100F4000FFFFFFFEFFFFFFFD8FFD406F9E835A0FE7
+:100F5000FAC3FFFFFCE97FF301D000FEBFCD3FF0F5
+:100F6000EFFCC50C3FFD680BFFFFFFFEDFF0FFFF4E
+:100F7000FFFFFEFFBBFFFD85FFD46F9FC35A0FFF2E
+:100F8000FFFFFFFCE97FF301F0FBC2BFFC0037EF7E
+:100F9000FCCDBC3FFF0CBFFFFFFFFFFFF05FFFFF7B
+:100FA000FFFEFFFFFFFFD9F7D1B77E7FF1E4FDFF22
+:100FB000FBFBFF5FFF7FB1BC0F67EBB83FFFE2FFBA
+:100FC000E9FFFDE3FF3F9FC2FFFFFFF09FFFFFFF31
+:100FD000FEF57FFFF03FBCFFD5F5CE3FFEFFFE6D77
+:100FE000FFF1BF7BFFF1FDFF4FFF87FFAEFFB1F8C1
+:100FF000FEFFFF7801B9FFFFFFFAF02FFFFFFFFEB2
+:10100000F3C00000000402130200804000901000B2
+:1010100010000200012080121000400800040000AF
+:1010200002000140008000003CF0EFFFFFFFFEFDEA
+:101030001FFFFFFF7FFFFFFFFF7FFF7FF7DFF7FF50
+:10104000F7FBEBD1FFFFFFFFEFF7FFFFFBFFFEFF1B
+:10105000FF7EFFFBFFFFFFDBF0FFFFFFFFFEF0FF68
+:10106000FFB7EBF7DFFFFEF56BE7EDF73EECFF5464
+:10107000EF6FF1F5AF6FF6FDFFDD7BFFEFBF7FFF99
+:10108000FFF7FFF35FF7D0F0CFFFFFFFFEF8300070
+:1010900080400400812C0424000201C802000224C4
+:1010A0000001B442DC4402159002034839100224C6
+:1010B000A0BA000040C1F0BFFFFFFFFEFFFFFFFF2F
+:1010C000FEFCF7F0EEB65DFDF5FFDBF77F7FBEFFC0
+:1010D000C1FEBFFAFA5FFFADFFEFFF7FDF7FFEBF0C
+:1010E000B794BFFFFFF09FFFFFFFFEFFFFFFFFFF73
+:1010F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10E
+:10110000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF
+:10111000FFFFFFFFF08FFFFFFFFED7FFFFFBB5FFD5
+:10112000EF7CEB2B525B3BDAD4F33696B5BDF1FB8B
+:10113000DAEEF6FED335BDDFADCFEF7ECD6BBBDF94
+:10114000FFFFFDB0EFFFFFFFFEBFFFFFFFD35FF626
+:10115000FFF6FFFDADFDFF7FEFFF6F7FDBF1A5A386
+:101160007F6F6B4FFFDBFBCBFFF6FFF4D7FDBFFEBE
+:10117000DFFFD0CFFFFFFFFEFFFFFFF7DFFFFFFF27
+:101180003F7FFCE5FF20FEFFFFDF7FFFF17FFFFEDB
+:10119000FFF07C3D4FF3C33FFFFF6FC3FF0FFFFF27
+:1011A000AFF02FFFFFFFFEFFFFFBB7E00FFFFF2BAE
+:1011B000FF7DBFFFDFFFFFF89F7FFFF155FFFFFFC0
+:1011C000FD7C3CFFF3C33FFFFFEFC3FFDFFFFFFFEB
+:1011D000F09FFFFFFFFEFFFFFFFFEFFFFF9FBF7FBF
+:1011E000F919478EE79F3F17FFFC81C17EF3D9F9BC
+:1011F00073DFF47FFAFFFFFFFB7F77C7FFFFFFF08E
+:101200002FFFFFFFFEF5F7FFFBFFF73FFCBF3E3F61
+:10121000ECFF81AFFE4FF3BBFFF07EFF6FFF87FF58
+:10122000BBFFD5FCFF7FFC6FFFEFE7FFFFFAF03F4E
+:10123000FFFFFFFEF3C00000000000000000008080
+:101240000030106020000800012080001000040021
+:101250000000000000020080400008203CF06FFF0A
+:10126000FFFFFEF5BFFFFFFEFFFFFFFF7FFE3FFF1B
+:10127000FFFFFFFFEFFFFFF1DFDFFFFFFF7FDFFF7C
+:10128000FDBDFFFFFFFBDFFFFFFFFF5BF0FFFFFF89
+:10129000FFFEF0BFBFBFFFF7FBFFFEEEFAFFFFFF51
+:1012A0003D3BFFFFFEFBF1FFBF7BFFFFEFFFBFFFFB
+:1012B000FFFFFFFFFEFFF7EFFFFBD0F0DFFFFFFFB9
+:1012C000FEF83000000000000B10050100080002CD
+:1012D000010100001001C8080000000042020000E7
+:1012E000008002000040248000C1F03FFFFFFFFEAD
+:1012F000FFFFFFFFF7FDF7FAEFEEF9FDFFF7FEBF87
+:101300001FFD9EFDD1EFFFF77F9FFFEFFFF6FFFE72
+:10131000FE7BFFBDFF7EFFFFFFF03FFFFFFFFEFFF5
+:10132000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD
+:10133000FFFFFFF1FFFFFFFFFFFFFFFFFFFFFFFFCB
+:10134000FFFFFFFFFFFFFFFFF0AFFFFFFFFEFFFF0D
+:10135000FFF7FFFFFFFF7FFFFFFFDFFDFFFFDFFF67
+:10136000FF5FF1BFFFFFFFFFFFFFFFFFFFFFFFFF7B
+:10137000FFFFFFFFFFFFFFF0DFFFFFFFFEFFEFFFBD
+:10138000F7FFFFFFFFFFFFFFFF3FFBFFFFEFFBFD4F
+:10139000FFF1FFFFFBFFFFFFFFFFFFFFFFFFFFFF6F
+:1013A000FFFFFFFFFFFFF02FFFFFFFFEF7FFFFFF35
+:1013B000FFFFFFFFFDFFFFFFFFFFFF7FFFFFE7FFD7
+:1013C000F1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B
+:1013D000FFFFFFFFFFF0FFFFFFFFFEFFFFFFFFFF2D
+:1013E000FFFFFFFFFFFFFFFFFFFFCFFFFBFFFBF153
+:1013F000FFFFFBFFFFFFFFFFFFFFFFFFFFFFFFFF01
+:10140000FFFFFFFFF02FFFFFFFFEFFFFFFFFFFFFCC
+:10141000FFFFFFFFFFFFFFFF7BFFFFFF7FFFF1FFEE
+:10142000FFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFEC
+:10143000FFFFFFF07FFFFFFFFEFFFFFFEFFFFFFF5C
+:10144000FFFFFFFFFFFFFFDF57FFFEBFFBF1FFFFC7
+:10145000FDF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFA6
+:10146000D7FFF07FFFFFFFFEFFFFFFF7DBFFDBFD96
+:10147000F6FFF6FF3CBCBCBFDF6FEF2FF13CBFBCFB
+:10148000BFDF6FFF6FF7DBFFDBFDF6FFF6FFFFFF50
+:1014900001E2EFFFFFFFFEFFFFFFFFFFFFFFFFFF88
+:1014A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C
+:0614B000FFFFFFFFFFFF3C
+:00000001FF
+/*
+ *
+ * File yam1k2b5.mcs converted to h format by mcs2h
+ *
+ * (C) F6FBB 1998
+ *
+ * Tue Aug 25 20:24:08 1998
+ *
+ */
diff --git a/firmware/yam/9600.bin.ihex b/firmware/yam/9600.bin.ihex
new file mode 100644
index 0000000..817a34b
--- /dev/null
+++ b/firmware/yam/9600.bin.ihex
@@ -0,0 +1,342 @@
+:10000000FFF200A5ADFFFE9FFFEFFBCBFFDBFEF293
+:10001000FFF6FF9CBFFDBFEF2E3F6FF1FDB4FDBFAC
+:10002000FF6FFF6FFF0BFFDBFFF2FFF6FFFFFFFF2E
+:10003000F06FFFFFFFFEFFFDDFFFFFFFF7FFFFFF9A
+:10004000FBFFFFF7FFFFFFFEFF7FF1FFFEFFBFBFDC
+:10005000FFFFFFFFFFF7FFFFFFFEFFFEFFFFFFF0C9
+:10006000EFFFFFFFFEFFFFFFFFFFFFBFFFFFFFF7F9
+:10007000FFFFF7EFFFFFFFFFFFF1FFFFFF7EFFFF37
+:10008000FFFFFFFFDFFFFFFFFFFFFDFFFFFFF0DFD1
+:10009000FFFFFFFEFFFFDFFFFFFFFFFFFFFFFFFF91
+:1000A000FFFFEFFFF3FBFEFFF1FFFDFFFFFFFFFF91
+:1000B000FFFFFFFFFFFFFFFEFFFFFFDFFFF07FFF00
+:1000C000FFFFFEFFFFEFFFFFFFFFFFFFFFFFFFFF51
+:1000D000FFFFDFFFFFFFF7F1FFFFFFDFFFFFFFFF86
+:1000E000FFFFFFFFFFFFFFFEFFFFFFFFF00FFFFF20
+:1000F000FFFEFFFFFFFFFFFFFFFFFFFFFFFF7FFF91
+:10010000FFFFFFFFFFFFF1FFFFFFFFFFFFFFFFF517
+:10011000FFFFFFFFFFFFFFFFFFFFFFF02FFFFFFFCE
+:10012000FEFFFFFFFFFFFFFBFFFFFFEFFF7FFFEF84
+:10013000FFEFFF7FEFF1FFEFFF7FFFFFFFFFFFFF0D
+:10014000FFFFFFFFFFFEFFFFFFFFF09FFFFFFFFE30
+:10015000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF
+:10016000FFFFFFFFF1FFFFFFFFFFFFFFFFFFFFFFAD
+:10017000FFFFFFFFFFFFFFFFFFF0BFFFFFFFFEFFDF
+:10018000FFFFFFBDFFEF7FEF7FFBDFD35AFED7D628
+:10019000F77FBDF1BB5DD6F7FE96FFBDAFADBFEFFC
+:1001A0007F6B7FFBD6FEF7FF10EFFFFFFFFEBEEF7A
+:1001B000FFFFDBFFF6FFF6FFFDBFFDBFFF7FFF7F09
+:1001C000DFDBF1FD35FF6FFF6FFFDBFFCBFFF6FFDE
+:1001D000F2FDFDBFFFFFFFD0EFFFFFFFFEFFFFFFC0
+:1001E000FFFFFFFFFFFFFFFFFFFF55FFCCC03FFFFB
+:1001F000FFF124F0FFFFCFEF3FFFF0FFFFFFFC3FD9
+:10020000FFFFFFFFFFFFF0CFFFFFFFFEFFFFFFFF3E
+:10021000FFFFFFFFFFFFFFFFFF55FFCCC03FFFFFCA
+:10022000F100F0FFFFCFDFFFFFF0FFFFFFFC3FFF1C
+:10023000FFFF7DFFFFF0FFFFFFFFFEFFFFFFFFFF60
+:10024000FFFFFFFFFFFFFFFFDFFE7FDFFFFFFFF18D
+:10025000FFCFFFF3FF97FFFF8FE7FFFFFC71FFFF6B
+:10026000FFFFFFFFF0EFFFFFFFFEF5FFBFFFFFFF08
+:10027000FFFFFFFFFFFFE3F7EFFFFFFC7BFFF13F17
+:10028000FFEFFFCFE3E3FFFFFFFF3FFFFFFFBFFFF6
+:10029000BFFFDAF07FFFFFFFFEF2C00000000000AA
+:1002A000000000000000000000000000000100004D
+:1002B000000000000000000100000200000000003B
+:1002C000013CF0AFFFFFFFFEFDBFFFFFFFFFFFFFA1
+:1002D000FFFFFFFFFFDBFFFFFFFFFFFFF1FF9FFFC0
+:1002E000FFFFF7FFEFFFFFFFFFFFFFFFFFFFFFFF36
+:1002F000DBF07FFFFFFFFEF0BBDFFFFFFFFFFFFF35
+:10030000FFFFFFFFFFFFFFEFFBDFBFF1FEFDF7FF8A
+:10031000FFFFFFFFFEFFFFFFFFFFFFFFFF77FDF285
+:10032000F01FFFFFFFFEF838000000000000000390
+:100330000000000200900000000C010000042400F6
+:100340004001000000400000000002000001C0F079
+:100350004FFFFFFFFEFFFFFFFFFFFFFFFFFFFFFF5E
+:10036000FFFFBFFFFF6FFFDFFFD1FFFEFFFFFFFFBC
+:10037000FFFFDFFFFBFFFBEFFFFFEEFFFF7FF0DF85
+:10038000FFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFF7E
+:10039000FFFFFFFFFFFFFFFFF1FFFFFFFFFFFFFF7B
+:1003A000FFFFFFFFFFFFFFFFFFFFFFFFFFF08FFFDC
+:1003B000FFFFFEFFFFFFF5ADFF692AED6BFBDF3AA4
+:1003C000DCF496EEB33D35C1BBDDFEF6FED6B5AD31
+:1003D000BFA5AD492F4F2BDA5FFFFFFFF02FFFFFC7
+:1003E000FFFEBFFFFFFB5BF7F6FFF6FFFDBFFDA5BE
+:1003F000F36FF36EFA7BD1FDB5776FE96FFFDBFB2F
+:10040000DBDFF6FFF6FFFD3FFEF7FFD04FFFFFFFFC
+:10041000FEFF9FFFFF0FFFC03F9C03FFFF8BA5FE6A
+:10042000803EC2BFACB124FFFFFFFFFFFF0FFFA361
+:10043000FFFD6BFFFFF0A5FFFFFFF0AFFFFFFFFE2B
+:10044000FFFFFFFF0FFFC03FD46BFFFFDBFFFE8608
+:10045000BFC2BF30A124FFFFFFFFCCFF0FFFA3FFF0
+:10046000056BFFFFF0A5FFFFFFF07FFFFFFFFEFF23
+:10047000FFFFFBC7FFC4FFFF7FFFECFE7FDFD8B9A4
+:1004800047FC36C1DFFFFFF9FFF3FFF7FFFCFFFD7D
+:100490003FFFFFFF3FFFFFFFF07FFFFFFFFEF5FF86
+:1004A000FFFFFFFEFFFF7EBD3FFF2BFE2FF5A3FCEE
+:1004B0005BFE619F7FEFFFFFA7FBFFFFFAFEFF33AD
+:1004C000F1FFBFFFFFFFFAF07FFFFFFFFEF1C0006B
+:1004D0000000000000000000000000400030240484
+:1004E000000100804000080000000201010002003D
+:1004F00000000000013DF02FFFFFFFFEFDBDFFFDEE
+:10050000FFFFFFFFFFFBFFFF7FF6EFBFF7FF73EB80
+:10051000F1FFFFFFDFFFFFFFFFFFF9FFFDFEFFFF22
+:10052000FFFFFFFFD9F0DFFFFFFFFEF0BF7FFFFF00
+:10053000FF7FFFFFDEFFFFEFDDDE77F2FBEDE7F190
+:1005400073FDFDDFFF7DBEDFFFFBFFEFFFEFFFFF72
+:10055000FFFFFFD0F0BFFFFFFFFEF83020020022B8
+:1005600040C0000000080002410212002187810003
+:100570000080040B2801B000820040000000000051
+:100580000000C1F0DFFFFFFFFEFFFFFFFFFFFDFFE9
+:10059000F7FFFE7FED79FFDEEB7F74F7F7E1F9FF00
+:1005A000F65F7FFFFFFFD7DBEFFFBBFFFFFFCCFF57
+:1005B000FFFFF0CFFFFFFFFEFFFFFFFFFFFFFFFF8B
+:1005C000FFFFFFFFFFFFFFFFFFFFFFFFF1FFFFFF49
+:1005D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B
+:1005E000FFF00FFFFFFFFEFFFFFFFD3DCD497F6FD7
+:1005F0002BBA5CD2DAF6F33EF7FFBDF1FADFFEF775
+:10060000CCF6BBA5B3ADBF6F7D6F6BDBDFBDFFFE6F
+:10061000B05FFFFFFFFEBFFFFFFBDB57F6FE9FD57E
+:10062000B7FFAFE53FFFFF6FFFDBF1FDBFFF6F6976
+:100630006CDFDADFCBFFF6FF76FDFDBFFFFFFFD0FB
+:100640003FFFFFFFFEFFFFFFFFFDBD0803894F5A7D
+:100650000FF0FFF8BFFFFFFFFFF15AFFFFFFFFF3AF
+:10066000FAA0F0F2BFFFFFFFFFFFFFFFFFFFF0FF69
+:10067000FFFFFFFEFFFFFFFFFCFD006BFFFF5A0FB8
+:10068000F0FFFFFFFFFFFFFFF15AFFFFFFFFB3F592
+:1006900050F0F0FFFFFFD7FFFFFFFFFFFFF07FFFEE
+:1006A000FFFFFEFFFFFFFDBCFFE4E771FFF9C4F4AD
+:1006B0007F7FCFFFFFFFFFF1FFFFFFFBF773BF144B
+:1006C000FFE6FFFFE17DFFFFE7FFFFFFF03FFFFFDA
+:1006D000FFFEF5FFFFFED2FAFFC4F45CBFFAFFFF96
+:1006E000EC7EBFFFFFFFF1FFFFEFFFFF6BDBFFDFE4
+:1006F000F9FBBFFFF1FFBFFFFFFFFBF0BFFFFFFFF5
+:10070000FEF3C00002000000008200000000800034
+:10071000000000400001000000010820000000006F
+:100720000100010000800200013CF05FFFFFFFFEBE
+:10073000FDBFFFFFFFDFFFFFFFFF7FFFDFFFEFFFDB
+:10074000FFFFFFFFF1FFFFFFFFFFF7FFFBFFFDFFD5
+:10075000FFFFFFFFFFFDFFFFC3F0AFFFFFFFFEF056
+:10076000FFDFFFFFF723FFFFFDFFEFFFFE7F7DF7BA
+:10077000FEFF7F71FFFB7FFFFFFF6EFDF7FDFFBFF9
+:10078000FFBFF9FDFFDFEFF0F0AFFFFFFFFEF83036
+:10079000400100830000000C060804262600000625
+:1007A0000300010000000004007008800020012008
+:1007B000000200300000C1F05FFFFFFFFEFFFFFFFF
+:1007C000FFFF7B3FF7FFD7FEFEFBFE3BFEBDFF2F8B
+:1007D000FF71FFFB7FE7FFF9EFFFD7FAFFB7BBFE23
+:1007E000FFFF74FFF7FFF0CFFFFFFFFEFFFFFFFFEC
+:1007F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09
+:10080000F1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06
+:10081000FFFFFFFFFFF08FFFFFFFFEFFFFFFFFB5B2
+:10082000BD6F7CEB7FFBDBD34BEED6F6B7FDACA107
+:10083000FBDFFEF7F496BDB4C5A5AF6F694F7FBA75
+:10084000DBFFFFFFF03FFFFFFFFEBFFFFFFFDBFF10
+:10085000F6FFF6FFBDBFA5BFFF7D7FEFFFFBF1FDFC
+:10086000BFFF6FFF6B7ADBFFDBDFF6FEB6FDFDBF80
+:10087000FEF7FFD0EFFFFFFFFEFFFFFFFFF42FFFAC
+:10088000FC436BFFFFFF0DFFFC333FF05FF1FFFF09
+:10089000FFFFF9DEF04CFE77AFFFFFEFFFF0FFDB6D
+:1008A000FF5FF0EFFFFFFFFEFFFEF7FFF02FFFFD02
+:1008B000437FFFFFF10FFFFC333FFFAFF1FFFFFF6F
+:1008C000FFF6D7FFBCFDBDFFFFFFFFFFF0FFFFFFFF
+:1008D000FFF0EFFFFFFFFEFFFFFFFFFCFFFFFBF15D
+:1008E000BFFFF9FDCFF270FF1F9FF3F1FFFFFFFF86
+:1008F000FCF7FF139FFCFFFF84F7FFFF47FFFFFF9D
+:10090000F0BFFFFFFFFEF5FFFFFFF1FCFFFEFE79EA
+:100910003FFF1D46CFFFCFFC7BFFF1FFFFFFFFED49
+:10092000F3ABFFCBFFF8FFFCF5FFBFFFFFFFFAF0D3
+:100930008FFFFFFFFEF3C200000000000000010077
+:10094000000020002000000408010000000000203A
+:100950000C0000040100010000800000013CF07F59
+:10096000FFFFFFFEFDBFFFFFFDFEFFFFFFFFFEFFDE
+:10097000DFFFFFF7FFFFFFEFF1FFFFFFFFFFFFEBE1
+:10098000FFDFFFFFFBF77FFFFEFFFFBFDBF0FFFF97
+:10099000FFFFFEF0FFFFFFFFFFDFFFFFFF7FF7FF1F
+:1009A000BFBFCFFFFFFF3EF17FFFFFEFFFFFFFFE67
+:1009B000FFFDFFBFBDFEFFFBF7DFFBD0F09FFFFF9A
+:1009C000FFFEF8302000400180C030000020001001
+:1009D00050882000001301000000000000100000FB
+:1009E00000000180080000A00010C1F0EFFFFFFF31
+:1009F000FEFDEF7FFFFFBFFFF7FFEFFBFD77EFBFD0
+:100A0000F77FFFFFBFD17FFFFFF7FFFFFFFFAFFFC4
+:100A1000DFF7FBFFFDFFFCFFFDFFF0FFFFFFFFFE29
+:100A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6
+:100A3000FFFFFFFFF1FFFFFFFFFFFFFFFFFFFFFFD4
+:100A4000FFFFFFFFFFFFFFFFFFF05FFFFFFFFEFF66
+:100A5000FFFFFFFFFFFFFFFFFFFFFFFFDFFFFFFFC6
+:100A6000FFFFFFF1FFFFFFFFFFFFFFFFFFFFFFFFA4
+:100A7000FFFFFFFFFFFFFFFFE03FFFFFFFFEDDFF88
+:100A8000FFFFA5FD6F7D6D7F52DF5A4BEEB6EEF294
+:100A9000BBACA15B4DD6F7FEB2BD35B5B5DD6F7F02
+:100AA000E95F52DFBDFFFFF0DFFFFFFFFEBFFFFF8B
+:100AB000FFDBFEF6FFF6FFFDBFFDB5BFF97F6FFF61
+:100AC000DBF1FDBFFF6FFF697FDBFFD3FFF6FEF2B7
+:100AD000FFADBFFFFFFFD0DFFFFFFFFEFFFFFFF512
+:100AE000300FFFFFFD6BCAFFF00FD6BFCF3FFFFFF8
+:100AF000F1FFFFFFCAFEBFFFF005AF0FFFFCF0CF15
+:100B0000F0FFFFFFFFF0EFFFFFFFFEFFFFFFF530FD
+:100B10000FFFFFFC3FCAFF0F0FD6BFFFFFF55FF1CE
+:100B2000FF8BFFC3FFFFFFFFFFFF0FFFFCF0CFF0C6
+:100B3000FFFFFFFFF03FFFFFFFFEFFFFFFFFCFFFC5
+:100B4000FFBF9F3FFEFCFF4FFFFFFFFFFFF7F1FFDF
+:100B5000DFFE7E3F9FF4FC7FFCFFFF3FFF3FFE3F39
+:100B6000FFFFFFF04FFFFFFFFEF5FFFFFBFFFEFF64
+:100B7000FFFFFFBFFBFFF8EDFF8FFFBBFFB1F3EF00
+:100B80008FF7FFFFDBFFFFFFEFBFFD79BFBFFFFF69
+:100B9000FFFBF0DFFFFFFFFEF3C0000000040000DA
+:100BA000000000000000008000040808010100901F
+:100BB000000000040008000000000800040000011C
+:100BC0003CF0DFFFFFFFFEFDBFFFFFFFFFFFFFFF6A
+:100BD000FFFFFFFF9FFFAFDFFFFFFFF1FFFFFFFF03
+:100BE000BFEFFFFFFFEDFFFFFFEFFFBFFFFFFFC303
+:100BF000F03FFFFFFFFEF0FFFDFFFFFFFBFFBBFF2E
+:100C0000FFFF7FF6FF7FFBFDEDFFF1FFFE7FFFFFA4
+:100C1000FF5FFFF7FF7EFFFDFFEFFFFFFFEFF0F04D
+:100C20008FFFFFFFFEF83080000400004002000349
+:100C300000050420000001D0008100200404000011
+:100C4000810408801000C0000000200008C1F06F7F
+:100C5000FFFFFFFEFFFF7FFFFFFFFFF3FDFFEDFC48
+:100C6000FFFF9FFBFDFFFFFFF1FFFF7FFB3EFF9FAD
+:100C7000FFFFFFFFFDF9FFFFFFFDFFFFFFF06FFF2D
+:100C8000FFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFF75
+:100C9000FFFFFFFFFFFFFFF1FFFFFFFFFFFFFFFF72
+:100CA000FFFFFFFFFFFFFFFFFFFFFFFFF0CFFFFF93
+:100CB000FFFEFFFFFFFDBDFFEF7CEB7FFBDBFADC00
+:100CC000EEF7F6D7F52DA1BBDDEEF754F7FB2CB50B
+:100CD000B4BD6B6FEF6FBBDFFFFFFFF01FFFFFFFC8
+:100CE000FEBFFFFFFFFBFFF6FFF6FFFDBFFFBFEFFD
+:100CF0006FFF6FFADBF1C5BDF56FFF6FCADBFFDB7E
+:100D0000FBF697F6FFFDBFFEF7FFD09FFFFFFFFE4C
+:100D1000FFFFFFFFFFFFFFFF8B7FFFFFE763FFFF8B
+:100D2000FFFC77DFF1DBFFD6A83FFFFF082FF0FFC6
+:100D3000C3FFEBFFFFFFFFFF5FF0EFFFFFFFFEFFD3
+:100D4000FFFFFFFFFFFFFF8BFFFFFFFFFFFFFFFF27
+:100D5000FCFFCFF1DBFFD6A83FFFFF082FF0FFC35A
+:100D6000FFEBFFFFFFFFFFFFF05FFFFFFFFEFFFF57
+:100D7000FFFFFFFFFFFFF5BFFFCAFF9FFFFAB9E7C5
+:100D80009FF381FFFFFC73D7FFFF77FFFDFFFCFFA1
+:100D9000FFFFFFCFFFFFFFF01FFFFFFFFEF5FFFF8D
+:100DA000FFF7DEFFFE7EFFBFFFBFF1B3FFFFE3FBF8
+:100DB000FFE11F7FFFF878FFFB1EFFF7FEE7FFFF55
+:100DC000FFBFFFFFFFFAF04FFFFFFFFEF3C0000081
+:100DD00000000000000000000000500000000400BF
+:100DE00001804040200000080000000003000000D7
+:100DF000800000013CF0AFFFFFFFFEFDBFFFFBFFE7
+:100E0000FFFFFFFFFFFEFFFFFFFFFFFFFFEFF7F119
+:100E1000FDFFFFFFDFFFEFFFFFFFFFFFFFFF7FFF94
+:100E2000FFFFFFDBF08FFFFFFFFEF0FFDFFFFF7F25
+:100E3000FFFFFFBED7FFEDBD7EBFFEF67FBF71FF98
+:100E4000FFDAFFF9FFBF7FFEFF6F7FFFFFFFFFFFAE
+:100E50007FFFD0F0CFFFFFFFFEF830420000000020
+:100E600080C100009000C400001220432281840051
+:100E700000140001000880000200020004020000CB
+:100E800010C1F01FFFFFFFFEFFFFFDFFFFDDFEFFB4
+:100E9000B676E5BCF9F7AF5FBFFCDFCFF1FFEF79C6
+:100EA000FFBDFFEFFFFFF76F5FFFFFFDEFEFBFFF3E
+:100EB000FFF09FFFFFFFFEFFFFFFFFFFFFFFFFFFB2
+:100EC000FFFFFFFFFFFFFFFFFFFFFFF1FFFFFFFF40
+:100ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22
+:100EE000F0FFFFFFFFFEDBFFFFFD2DFF692AEF771D
+:100EF000BBDD5ADFF6F6D6F77DBDD1B24AD6B2BE1B
+:100F000097F5BDB3ADFFEF7F696BFBDFFFFFFFF030
+:100F10002FFFFFFFFEBFFFFFFFDBFFF6FE9FD4BFEB
+:100F2000EDAFFF6B6FF7FFDDDB31FDBFFF6F7FFFC5
+:100F3000FFDBFFCBDFF6FFF6FFFDBFFEF7FFD08F35
+:100F4000FFFFFFFEFFFFFFFD1FFF462F9FFFFFFF7D
+:100F5000A5FFFFFFDFB7FFFFF1FFFFFFF7E96ABF64
+:100F6000FFFFFDFFFFFD5557FFFFFFFFAFF04FFFF6
+:100F7000FFFFFEFEDFFFFD1FFF462F9FFFFFFFA5C8
+:100F8000FFFFFFC037FFFFF1998EDC7FE96ABFFFEB
+:100F9000F00FFFFFFD5557FFFFFFFFFFF00FFFFFB3
+:100FA000FFFEFFFFFFFF07FFC0BEFFFFCFEF9FFF6A
+:100FB000FFFBFFE7FFFFA1E3CE3C583FF3FFFDEF50
+:100FC000F9FFFFF7F17FFFCBFFFFFFF02FFFFFFFE0
+:100FD000FEF57FFFF0FFFEFFC475E7B9FFFFFFEFEF
+:100FE000FFC7373BFFF0139E0FF4FFFEFBFFFFF937
+:100FF000FCFFFFFFFFBFFFFFFFFAF0EFFFFFFFFE69
+:10100000F3C0010000020002220000C040004000C6
+:101010000408040A0101102020000004080804004C
+:1010200000000000010000013CF0CFFFFFFFFEFDCB
+:101030003FFFFFFFFFFFFF7FFF7FFF7FFFCF9DFF92
+:10104000FFF7FDF1FFFFFFEEBFFFFFFFFFFEFFFF1A
+:10105000FFFFFFFFFFFFFFDBF06FFFFFFFFEF0FF73
+:10106000FFFFF7F7FFFFFEBFF7FFFF5BFFBFF7FFD5
+:10107000FD7F71FDFFEDF7FEEFFFFF7FFFFFFFFF3D
+:10108000FFFFEFFF7FFFD0F0FFFFFFFFFEF8301103
+:10109000004860408260246000CC008004010000B1
+:1010A00014010C0400300000000808000100C20018
+:1010B0000002008000C1F05FFFFFFFFEFFFFFFFFA7
+:1010C000F77BFFF3EBBFFFF7FFFFFFE75D3FFFF6A7
+:1010D000D1FDFFEBF73DFFFFFF5FFF7F7FF3FFFFDA
+:1010E000EFFDBFFFFFF05FFFFFFFFEFFFFFFFFFF12
+:1010F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10E
+:10110000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF
+:10111000FFFFFFFFF0DFFFFFFFFEFFFFFFF5B5DF83
+:101120006F7D697FFBDF525FF6F7FEF6F3BDB1DA44
+:10113000CDFEF6EED2BDA5AFBDFF6F7CEB2BFADA8C
+:10114000FFFEDFF04FFFFFFFFEBFFFFFFFDBFFF6FD
+:10115000FFF6FFBDBFCDBFEB6FF76FDFDB51FDBD0E
+:10116000FF6FFF6FFB5BFFDBFFF6FEF6FDFDBFFED3
+:10117000F7FFD0FFFFFFFFFEFFFFFFFA50FFFFFF6B
+:10118000F06FFFFFF096FFFFC62BFFFFF1FCFFFFA4
+:10119000F7DBC3FF00FFFFFFFFFFC14FC3FFFFFFF0
+:1011A000AFF09FFFFFFFFEFFFFFFF5A0FFFFFFF087
+:1011B0006FFFFFF096FFFFC62BFFFFF15AFFFFFF07
+:1011C000F3C3FF00FFFFFFFFFFC14FC3FFFFFFFFA0
+:1011D000F0CFFFFFFFFEFFFFFFFFFCFFFF9FF07F51
+:1011E000FFF9FC4FF3FF27EBFFFC81FC7FFE7BFF49
+:1011F000F7FF127FFFFFFFFF18FFFFFFFFFFFFF06A
+:101200007FFFFFFFFEF5FFFFFFDFFEFFFC7E7FBFDE
+:10121000FFFFAFEFFFDFDFFBFFF1C3FE6FF1CF3F5B
+:10122000FBFFFFCFFEFFFFFE7FBFFFFFBFFAF0DF38
+:10123000FFFFFFFEF3C000000100000000010000FE
+:10124000200001001000000001000200000000006A
+:101250000000000200008000028000023CF02FFF2E
+:10126000FFFFFEFDBFFFFBFDFFFFFFFFFFFFFFFFD7
+:10127000FFFFFFFFFFFFF5F1FF7FFFFFFFFFEFFF26
+:10128000FFFFFFFFFEFFFFFFFFFFFFDBF02FFFFF72
+:10129000FFFEF0FFFFFFFBFFBFFFFFFFFFF7BFFBFE
+:1012A000FFFFFFDFF7FFF1F7BFFBFFFFFF7FDEFF71
+:1012B000FFFFFFFFFFEDF7FFFF7FD0F03FFFFFFFD6
+:1012C000FEF830000000004000000000E000008058
+:1012D0002001019200010100E01C6020300808009C
+:1012E000000000000000008000C1F06FFFFFFFFE63
+:1012F000FFFFFFFFFFDBFEFFFFDFFFFC7FFBBFFF0A
+:10130000FFFFFFFFF1F6FFF77E3FFF7FFFFFFFF7D5
+:10131000FFFFFFEDFFDFFFB7FFF03FFFFFFFFEFF27
+:10132000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD
+:10133000FFFFFFF1FFFFFFFFFFFFFFFFFFFFFFFFCB
+:10134000FFFFFFFFFFFFFFFFF0FFFFFFFFFEFFFFBD
+:10135000FFFFFFFFDFFFFFFFDFFFFFFFFFBFFFDF3D
+:1013600057EFF1FDFE7FFFFFFFFFFFFFFFDFFBFFFA
+:10137000FFFFFFFFFFFFFFF07FFFFFFFFEFFFFFF0D
+:10138000FFFFFF7FFFFFFFFFFFFFFFFFFBFFDFFF11
+:10139000FFF1FDFF7FBFFFFFFFFFFFFFFFFFFFFF2D
+:1013A000FFFEFFFFFFFFF09FFFFFFFFEF7FDFFFFC8
+:1013B000FFFFFFFFFFFFFFFFFFFFBFFFFFFFFFFF7D
+:1013C000F1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B
+:1013D000FFFFFFFFFFF06FFFFFFFFEFFFFFFFFFFBD
+:1013E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11B
+:1013F000FFFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFF
+:10140000FFFFFFFFF0CFFFFFFFFEFFFFFFFFFFFF2C
+:10141000FFFBFFFFFFFEFFFFFB6FFFFEBFFFF1FFC4
+:10142000F7FFFF7FFFFFFFFFFFFFFFFFFFFFFFFD56
+:10143000FFFFFFF0EFFFFFFFFEFFFFFFFFFFFFFFDC
+:10144000FBFFFFFFFEFFFFFF57FFFDBFFFF1FFEFB9
+:10145000FEFFBFFFFFFFFFFFFFFFFFFFFFFFFEFFDE
+:10146000DEFFF0CFFFFFFFFEFFFFFFF7DBFFDBFD3F
+:10147000F6FFF6FF3CBCBCBFDF6FE72FF13CBFFDC2
+:10148000BFDF6FFF6FF7DBFFDBFDF6FFF6FFFFFF50
+:101490000201DFFFFFFFFEFFFFFFFFFFFFFFFFFF78
+:1014A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C
+:0614B000FFFFFFFFFFFF3C
+:00000001FF
+/*
+ *
+ * File yam111.mcs converted to h format by mcs2h
+ *
+ * (C) F6FBB 1998
+ *
+ * Tue Aug 25 20:23:03 1998
+ *
+ */
OpenPOWER on IntegriCloud