summaryrefslogtreecommitdiffstats
path: root/drivers/staging/rtl8188eu/core/rtw_mp.c
diff options
context:
space:
mode:
authornavin patidar <navin.patidar@gmail.com>2014-06-11 22:51:36 +0530
committerGreg Kroah-Hartman <gregkh@linuxfoundation.org>2014-06-19 15:34:02 -0700
commitc7b2e995a1226a09a30ad0f9dd6e922f21b3dd28 (patch)
tree755b581c6af6259d4bde1d12c38ad2e7ade740ca /drivers/staging/rtl8188eu/core/rtw_mp.c
parent551a397227e34e9f895182946365f63fbd8e4b8d (diff)
downloadop-kernel-dev-c7b2e995a1226a09a30ad0f9dd6e922f21b3dd28.zip
op-kernel-dev-c7b2e995a1226a09a30ad0f9dd6e922f21b3dd28.tar.gz
staging: rtl8188eu: Remove function _rtw_read8()
_rtw_read8() is a wrapper function, being used to call usb_read8(). Call usb_read8() directly and drop _rtw_read8(). Signed-off-by: navin patidar <navin.patidar@gmail.com> Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
Diffstat (limited to 'drivers/staging/rtl8188eu/core/rtw_mp.c')
-rw-r--r--drivers/staging/rtl8188eu/core/rtw_mp.c6
1 files changed, 3 insertions, 3 deletions
diff --git a/drivers/staging/rtl8188eu/core/rtw_mp.c b/drivers/staging/rtl8188eu/core/rtw_mp.c
index 2f3d0ab..fa8704d 100644
--- a/drivers/staging/rtl8188eu/core/rtw_mp.c
+++ b/drivers/staging/rtl8188eu/core/rtw_mp.c
@@ -30,7 +30,7 @@ u32 read_macreg(struct adapter *padapter, u32 addr, u32 sz)
switch (sz) {
case 1:
- val = rtw_read8(padapter, addr);
+ val = usb_read8(padapter, addr);
break;
case 2:
val = usb_read16(padapter, addr);
@@ -302,7 +302,7 @@ static void disable_dm(struct adapter *padapter)
/* 3 1. disable firmware dynamic mechanism */
/* disable Power Training, Rate Adaptive */
- v8 = rtw_read8(padapter, REG_BCN_CTRL);
+ v8 = usb_read8(padapter, REG_BCN_CTRL);
v8 &= ~EN_BCN_FUNCTION;
usb_write8(padapter, REG_BCN_CTRL, v8);
@@ -421,7 +421,7 @@ end_of_mp_start_test:
if (res == _SUCCESS) {
/* set MSR to WIFI_FW_ADHOC_STATE */
- val8 = rtw_read8(padapter, MSR) & 0xFC; /* 0x0102 */
+ val8 = usb_read8(padapter, MSR) & 0xFC; /* 0x0102 */
val8 |= WIFI_FW_ADHOC_STATE;
usb_write8(padapter, MSR, val8); /* Link in ad hoc network */
}
OpenPOWER on IntegriCloud