summaryrefslogtreecommitdiffstats
path: root/include/hw/loader.h
diff options
context:
space:
mode:
authorEric Auger <eric.auger@linaro.org>2015-06-16 17:07:54 +0100
committerPaolo Bonzini <pbonzini@redhat.com>2015-07-07 11:03:16 +0200
commit6b3f7f639ed8861cd034292f9bb85b00c73658a6 (patch)
treeff302f94d2b7a969a9a1990ae7b1dcd92823c67e /include/hw/loader.h
parentf6e3035f75e5c6a73485335765ae070304c7a110 (diff)
downloadhqemu-6b3f7f639ed8861cd034292f9bb85b00c73658a6.zip
hqemu-6b3f7f639ed8861cd034292f9bb85b00c73658a6.tar.gz
vl: move rom_load_all after machine init done
On ARM, commit ac9d32e39664e060cd1b538ff190980d57ad69e4 postponed the memory preparation for boot until the machine init done notifier. This has for consequence to insert ROM at machine init done time. However the rom_load_all function stayed called before the ROM are inserted. As a consequence the rom_load_all function does not do everything it is expected to do, on ARM. It currently registers the ROM reset notifier but does not iterate through the registered ROM list. the isrom field is not set properly. This latter is used to report info in the monitor and also to decide whether the rom->data can be freed on ROM reset notifier. To fix that regression the patch moves the rom_load_all call after machine init done. We also take the opportunity to rename the rom_load_all function into rom_check_and_resgister_reset() and integrate the rom_load_done in it. Signed-off-by: Eric Auger <eric.auger@linaro.org> Reported-by: Peter Crosthwaite <peter.crosthwaite@xilinx.com> Message-Id: <1434470874-22573-1-git-send-email-eric.auger@linaro.org> Signed-off-by: Paolo Bonzini <pbonzini@redhat.com>
Diffstat (limited to 'include/hw/loader.h')
-rw-r--r--include/hw/loader.h3
1 files changed, 1 insertions, 2 deletions
diff --git a/include/hw/loader.h b/include/hw/loader.h
index 485ff8f..f7b43ab 100644
--- a/include/hw/loader.h
+++ b/include/hw/loader.h
@@ -75,8 +75,7 @@ MemoryRegion *rom_add_blob(const char *name, const void *blob, size_t len,
void *callback_opaque);
int rom_add_elf_program(const char *name, void *data, size_t datasize,
size_t romsize, hwaddr addr);
-int rom_load_all(void);
-void rom_load_done(void);
+int rom_check_and_register_reset(void);
void rom_set_fw(FWCfgState *f);
int rom_copy(uint8_t *dest, hwaddr addr, size_t size);
void *rom_ptr(hwaddr addr);
OpenPOWER on IntegriCloud