summaryrefslogtreecommitdiffstats
path: root/test/CodeGen/X86/widen_conv-2.ll
blob: 9b7ab74eb2e14f33129a541f5cec8f300c679fcd (plain)
1
2
3
4
5
6
7
8
9
10
; RUN: llc < %s -march=x86 -mattr=+sse42 -disable-mmx -o %t

; sign extension v2i32 to v2i16

define void @convert(<2 x i32>* %dst.addr, <2 x i16> %src) nounwind {
entry:
	%signext = sext <2 x i16> %src to <2 x i32>		; <<12 x i8>> [#uses=1]
	store <2 x i32> %signext, <2 x i32>* %dst.addr
	ret void
}
OpenPOWER on IntegriCloud