summaryrefslogtreecommitdiffstats
path: root/tools
Commit message (Expand)AuthorAgeFilesLines
* - Initial revamp of vop_table.tcl. This scripting is ugly and will bearr2005-01-051-0/+255
* Add a simple regression test for mlock()/munlock() to make sure theyrwatson2005-01-032-0/+97
* Replace a mention of the ia64_unaligned directory with one of themarcel2005-01-021-1/+1
* Regression test for unaligned loads and stores for short, int, long,marcel2005-01-022-0/+144
* new statsam2004-12-311-1/+2
* - Add some notes on what is required to run schedgraph.py successfully.arr2004-12-281-0/+11
* - For preemption and yield events, we want to skip the next two state eventsjeff2004-12-261-3/+4
* - Call self.checkstamp() in the cpu load parsing functions in case thejeff2004-12-261-0/+4
* - The regexp for the load should have been (/d+), fix this so loads over 9jeff2004-12-261-2/+3
* - Add 'schedgraph' a scheduler trace visualization tool written withjeff2004-12-261-0/+1209
* Wait a bit after creating device.pjd2004-12-217-7/+7
* When RAID3 device is configured in "verify" mode, synchronization of thepjd2004-12-211-2/+12
* Fix the build of netrate/ with WARNS=5 and add a Makefile.inc tokeramida2004-12-212-6/+10
* NOHTML -> NO_HTMLru2004-12-211-1/+1
* Overhaul ppp(8) build options so they are safe to use inru2004-12-211-1/+1
* NOINET6 -> NO_INET6ru2004-12-211-1/+1
* NOGAMES -> NO_GAMESru2004-12-211-1/+1
* NODOCCOMPRESS -> NO_DOCCOMPRESSru2004-12-211-2/+2
* NOATM -> NO_ATMru2004-12-211-1/+1
* NOLIBC_R -> NO_LIBC_Rru2004-12-211-1/+1
* Start the dreaded NOFOO -> NO_FOO conversion.ru2004-12-2148-49/+49
* Change terminal state, not terminal typephk2004-12-181-1/+1
* Make kttcp work in -stable (and -current)gallatin2004-12-132-537/+37
* Loadable modules that run test vectors for net80211 crypto plugins.sam2004-12-086-0/+1493
* o new tools for futzing with 80211 and ath debug masks symbolicallysam2004-12-085-166/+659
* Fix builds with a read-only directory and a make upgrade. This is doneharti2004-12-072-12/+8
* Raidtest is now in the ports collections.pjd2004-12-071-1/+0
* Print a warning if running as !root for aio_md_test rather than failingrwatson2004-12-061-1/+5
* Add a basic aio functionality regression test, which simply writes andrwatson2004-12-062-0/+702
* Make this work under debugging, e.g., "make -dl".ru2004-12-062-2/+2
* Now as a full blown port.obrien2004-12-053-488/+0
* Make the tests runnable on a read-only src. To do this you must make sureharti2004-12-024-98/+132
* The following code sets up two connected TCP sockets that send data to eachnik2004-12-013-0/+144
* Chmod the shell testscript to be executable if it isn't already. Accordingharti2004-11-302-0/+2
* Change CUSTOMIZE example.phk2004-11-281-3/+2
* Make it possible to specify a list of customize scripts.phk2004-11-281-7/+8
* Add various customize scripts.phk2004-11-284-0/+146
* Hardlink the generated /etc/fstab to /conf/base/etc/fstabphk2004-11-281-0/+1
* don't mv(1) nonexistent directories.phk2004-11-281-1/+1
* Reduce -j12 to -j3phk2004-11-281-1/+1
* Add some regression tests for the .SHELL target. I'm not sure that theharti2004-11-257-2/+124
* Remove the build32.sh hack, it is now slightly broken (missing somepeter2004-11-252-82/+4
* Remove regression tests for the obsolete rune interface.tjr2004-11-215-293/+1
* Remove the uarea column from the DDB 'ps' display, and from grog's gdbdas2004-11-202-6/+6
* Catch up with PHK's sio(4) cuaa->cuad rework [sys/dev/sio/sio.c rev. 1.456].obrien2004-11-192-3/+3
* Catch up with PHK's sio(4) cuaa->cuad rework [sys/dev/sio/sio.c rev. 1.456].obrien2004-11-191-7/+7
* Add knob NO_NIS (fka NO_YP_LIBC) and make world compileable when set.bz2004-11-131-1/+1
* Switch over to a different, more flexible test output protocol that'snik2004-11-1199-144/+963
* RELENG_5 is now -STABLE (but without powerpc)des2004-11-112-3/+3
* Install in /usr/local/bin.des2004-11-071-0/+1
OpenPOWER on IntegriCloud