summaryrefslogtreecommitdiffstats
path: root/tools
Commit message (Collapse)AuthorAgeFilesLines
* Markup nits.des2005-03-031-2/+2
|
* Correct the default value for %%TINDERBOX%%.des2005-03-031-1/+1
|
* Add hacks that I use to test cross-builds (by building onru2005-03-024-0/+23
| | | | | | | | native and foreign architectures and comparing products). They eliminate most of the differences caused by different object directory paths, timestamping, and identification. (Note WORLDTMP was renamed to ${OBJTREE}${.CURDIR}/tmp.)
* Repo-copy tools/regression/usr.bin/make to tools/build/make_checkharti2005-03-028-323/+1
| | | | | | | | and adjust the path in the Makefile for the upgrade_checks target. These checks are really feature upgrade checks that should be fast and just find out whether we need to build a new make before proceeding with other targets like buildworld. This makes the place free for a real regression test suite in the old place.
* This will not compile without:ambrisko2005-03-022-0/+252
| | | | | | | | | | | http://www.ambrisko.com/doug/listio_kqueue/listio_kqueue.patch Note: it is a good idea to run this against a physical drive to exercise the physio fast path (ie. lio_kqueue /dev/<something safe>) This will ensure op's counting per LIO request is correct. It is currently broken the above patch fixes it. Sponsored by: IronPort
* Add an AIO & kqueue regression test. It is a good idea to run thisambrisko2005-03-022-0/+203
| | | | | | | | | | | | | | | against a disk as the argument. If you don't it will use a temp file. The raw disk will use the kernel physio fast path method until the max number of pending op's is reached then it will queue them. File system op's are always queued. This is more important with LIO since operation can get split across and accounting of op's is broken with LIO. Note that this was broken when locking was added to kqueue (ie. 5.3) My fix needs to be better integrated with FreeBSD. Next is an LIO test and implementation. Sponsored by: IronPort
* Add sysdoc, a small set of scripts which will parse a kernel binary andtrhodes2005-03-015-0/+2734
| | | | | | | modules to rip out the available sysctls. It will then produce a manual page which may be installed with 'make install'. Currently typing 'make' in the directory uses the default /boot/kernel files. To use a specific directory, run sysdoc -k [location].
* - Split tests into three rough categories.das2005-03-011-6/+98
| | | | | | | | | | | - Use fesetround() instead of fpsetround(), and add tests for various rounding modes. - Test that all NaNs generated are quiet. Some of these tests won't pass until problems in vendor sources (gdtoa and gcc) are fixed and new versions imported, but I want to get these changes into the tree before I accidentally blow them away again. :-(
* - Split the printfloat test into 11 individually wrapped and packageddas2005-03-012-8/+100
| | | | | | | | | | | | | | tests. (Buy 10, get one free!) The separate categories were already there; they just weren't labeled. - Use fesetround() instead of fpsetround(), since the former is standard and implemented on all supported architectures. Add tests for each rounding mode. - Add additional tests for subnormals. Some of these tests won't pass until problems in vendor sources (gdtoa and gcc) are fixed and new versions imported, but I want to get these changes into the tree before I accidentally blow them away.
* Bootstrap gencat(1).ru2005-02-271-0/+5
| | | | OK'ed by: phantom
* As threatened, drop support for source upgrades from pre-5.3.ru2005-02-276-160/+0
| | | | Inspired by: obrien
* Make the format of LC_CTYPE files architecture independent byru2005-02-261-0/+5
| | | | | | | | | | | | | introducing the disk formats for _RuneLocale and friends. The disk formats do not have (useless) pointers and have 32-bit quantities instead of rune_t and long. (htonl(3) only works with 32-bit quantities, so there's no loss). Bootstrap mklocale(1) when necessary. (Bootstrapping from 4.x would be trivial (verified), but we no longer provide pre-5.3 source upgrades and this is the first commit to actually break it.)
* Add a simple regression test for stream UNIX domain sockets and therwatson2005-02-202-0/+325
| | | | | | bind()/connect() system calls, which is intended to confirm that the right successes and errors occur when rendezvousing via the file system name space.
* Also build the netblast sub-directory, not just netreceive and netsend.rwatson2005-02-121-1/+1
| | | | MFC after: 3 days
* Mark the signum argument to signal_handler() as __unused.rwatson2005-02-121-1/+1
| | | | MFC after: 3 days
* Add to CFLAGS.ru2005-02-121-1/+1
|
* Update a little bit.phk2005-02-101-19/+23
|
* Fix "to a file" example so it works (one must touch(1) the file first).obrien2005-02-071-0/+1
|
* Sort branches in correct numeric order.des2005-02-071-1/+26
|
* Replace RELENG_4_9 (no longer supported) with RELENG_4_11.des2005-02-062-2/+2
|
* Add to CFLAGS, rather than overriding it. Use LDFLAGS for the -L option,nik2005-02-011-2/+3
| | | | | | and LDLIBS to specify -ltap. Submitted by: ru
* Link against libtap (ports/devel/libtap). Replace the calls to assert()nik2005-02-012-31/+32
| | | | | with calls to libtap::ok1(), and make sure the correct number of tests is planned for, and that the exit code is correct.
* Use awk to correctly calculate partioning, round things off to cylindersphk2005-01-301-8/+13
| | | | and reserve first track etc.
* Wrap calls to memcpy(3) in a function called block_copy(). This way,marcel2005-01-281-2/+9
| | | | | | | | | and as long as we're not compiling with IPA, gcc(1) won't optimize the call away. The whole purpose of using memcpy(3) is to avoid misaligned loads and stores when we need to read or write the value in the unaligned memory location. But if gcc(1) optimizes the call to memcpy(3) away, it will typically introduce misaligned loads and stores. In this context that's not a good idea.
* Skip the register based postinc stores here and don't count themmarcel2005-01-271-5/+12
| | | | | | in the number of tests. This way we avoid skipped tests for non- existent memory access combinations. The number of tests dropped from 60 to 48.
* Make the local variables global so that the compiler cannot assumemarcel2005-01-271-4/+5
| | | | | too much about them. This prevents certain peephole optimizations at -O that invalidate the tests.
* Fix the unaligned store with post increment test: The misaligned pointermarcel2005-01-271-1/+1
| | | | | | stopped pointing to the value the moment we wrote it due to the post increment. So, grab the value for comparison out of the data structure directly.
* The unit test for unaligned loads/stores can be found under ../ia64.marcel2005-01-272-137/+0
|
* Add tests for post increment. This bumps the number of tests up tomarcel2005-01-272-37/+181
| | | | | | 60. The postinc store tests currently fail (value mismatch). Hence the score as of this commit is 48 out of 60. Either the kernel or the tests need to be fixed.
* Use WARNS?= instead of WARNS= in Makefiles so that global warningrwatson2005-01-223-3/+3
| | | | | | settings can override local ones. Pointed out by: ru
* Fixed xrefs.ru2005-01-211-1/+1
|
* Braino. Revert previous commit, NO_MAN was already corrected.bms2005-01-211-1/+1
| | | | Noticed by: imp
* Catch up with NO_MAN->NOMAN change.bms2005-01-211-1/+1
|
* If a device resides in physical slot 0, report it as being on-board asbms2005-01-211-2/+5
| | | | | per PIR specification. Add the VIA VT82C686 PCI interrupt routing function as a known chipset.
* Fix a masking error. Update copyright.bms2005-01-211-2/+2
|
* Do not treat an invalid PIR table checksum as a fatal error condition, butbms2005-01-211-4/+5
| | | | | | | | do print a warning about it. Correct a stupid logic error. Update copyrights. Fix whitespace bug. MFC after: 1 week
* Add two more pipe regression tests. Not yet hooked into the test framework.silby2005-01-212-0/+116
|
* ioctl(2) commands are u_long not int.phk2005-01-181-5/+5
| | | | Pass zero for sysctl new argument length, not NULL);
* In refactoring the test before committing, a pointer was passed insteadrwatson2005-01-161-2/+2
| | | | | | | of a structure for the sockaddr_in. Pass the pointer to connect() instead of the pointer to the pointer. Specify a port number to connect to.
* Add udpconnectjail, a simple regression test to exercise an (as yet)rwatson2005-01-162-0/+117
| | | | | | | unfixed bug in the jail() implementation relating to using the connect() system call on UDP sockets. PR: 26506
* Add test which excersises problem with unability to change association ofsobomax2005-01-122-0/+149
| | | | | already associated datagram unix domain socket by issuing connect() system call.
* Regression tests for [l]lrint[f]() and [l]lround[f]().das2005-01-115-1/+258
|
* Introduce a new GEOM class - SHSEC. It provides sharing secret betweenpjd2005-01-114-0/+109
| | | | | | | | the given providers. Without even one of the configured components there should be no way to get the secret. Supported by: WHEEL Sp. z o.o. http://www.wheel.pl
* Fix incorrect comment.pjd2005-01-111-1/+1
| | | | MFC after: 3 days
* - Initial revamp of vop_table.tcl. This scripting is ugly and will bearr2005-01-051-0/+255
| | | | | | | | cleaned up; just wanted to make a place holder. The biggest issue is that it is an incomplete revamp; that is... the inconsistent naming table generation is not done yet ... will be added soon with the clean up. The purpose of this script is to handle the vop_vector changes that phk@ did over the recent weeks.
* Add a simple regression test for mlock()/munlock() to make sure theyrwatson2005-01-032-0/+97
| | | | | | work on a single page as root, and fails on a single page as nobody. Intended to help diagnose reports regarding insecure memory use with gnupg.
* Replace a mention of the ia64_unaligned directory with one of themarcel2005-01-021-1/+1
| | | | | ia64 subdirectory. All ia64 specific regression tests live under the ia64 directory.
* Regression test for unaligned loads and stores for short, int, long,marcel2005-01-022-0/+144
| | | | | | float, double and long double types. No post-increment tests yet. All tests are skipped if the debug.unaligned_test sysctl variable cannot be set to 1.
* new statsam2004-12-311-1/+2
|
* - Add some notes on what is required to run schedgraph.py successfully.arr2004-12-281-0/+11
| | | | | | This was pulled from Jeff Roberson's email to current@ "the other day." Approved by: jeff@
OpenPOWER on IntegriCloud