summaryrefslogtreecommitdiffstats
path: root/sys/dev/uart
Commit message (Expand)AuthorAgeFilesLines
* Allow callers of OF_decode_addr to get the size of the found mapping. Thisandrew2016-02-162-2/+2
* UART: Fix spurious interrupts generated by ns8250 and lpc drivers:mmel2016-02-122-4/+6
* Explicitly include <sys/systm.h>. Previously we were pulling it in due toandrew2016-02-101-0/+1
* - Make the code consistent with itself style-wise and bring it closermarius2016-01-251-8/+18
* Fix busy-detect when using DesignWare UARTzbb2016-01-201-2/+5
* Add compatibility string for dw-apb-uart in ns8250 driverzbb2016-01-201-0/+1
* Fix tty_drain() and, thus, TIOCDRAIN of the current tty(4) incarnationmarius2016-01-191-0/+13
* Use OF_decode_addr() to create a bus_space tag and handle for the consoleian2016-01-181-12/+1
* Restore uart PPS signal capture polarity to its historical norm, and add anian2016-01-124-67/+201
* Create a generic PCCARD_PNP_INFO from the MODULE_PNP_INFO buildingimp2015-12-111-1/+5
* Remove a duplicate definition.kevlo2015-12-051-1/+0
* uart(4) - make the 8250 uart baudrate tolerance build time tweakable.adrian2015-11-181-2/+13
* Add ID for Intel Panther Point KT Controllermav2015-09-131-0/+1
* Allow us to set the console device tree node. This is needed as not allandrew2015-09-081-10/+18
* Add support for the Broadcom TruManage integrated serial port.marcel2015-08-121-0/+2
* Use bus_alloc_resource_any(), rather than bus_alloc_resource()marcel2015-08-121-7/+6
* Style fix, no functional changes -- do the braces for switches correctly.ian2015-08-111-6/+3
* Correct the polarity of the PPS assert and clear events with respect to theian2015-08-101-1/+7
* Allow the choice of PPS signal captured by uart(4) to be runtime-configured,ian2015-08-102-15/+111
* Provide the tty-layer mutex when initializing the pps api. This allowsian2015-08-083-7/+22
* - Since r253161, uart_intr() abuses FILTER_SCHEDULE_THREAD for signalingmarius2015-07-242-9/+16
* If uart interrupts are not functioning then schedule the callout to do theneel2015-07-161-2/+10
* Add new UART device presented on newer AMT enabled systems/laptops.sbruno2015-06-221-0/+1
* Add support for the Intel Atom E3800 series SoC (aka Bay Trail).marcel2015-06-201-1/+6
* Actually check the DTS node value to enable the uart quirks.loos2015-05-301-4/+4
* Switch TI platform support code from using FreeBSD's custom-baked DTSgonzo2015-05-221-21/+10
* Add support for the uart classes to set their default register shift value.andrew2015-04-1114-25/+39
* Get the fdt uart driver working on arm64, there is no machine/fdt.h, andandrew2015-04-072-1/+13
* Fix uart_fdt_get_clock. It should have beed using the cell variable passedandrew2015-04-071-9/+6
* Move uart_fdt_get_clock and uart_fdt_get_shift to uart_bus_fdt.c, we mayandrew2015-04-042-29/+29
* Remove the extra copy of uart_fdt_get_clock and uart_fdt_get_shift. Whileandrew2015-04-043-37/+6
* Use OF_getencprop over OF_getprop and fdt32_to_cpu. The latter may giveandrew2015-04-042-8/+4
* And it turns out someone beat me to it....eadler2015-03-301-2/+0
* Add support for "MosChip MCS9922 PCIe to Peripheral Controller" to uarteadler2015-03-301-0/+2
* Move the uart_class definitions and fdt compat data into the individualian2015-03-079-48/+56
* Define new linker set, UART_FDT_CLASS_AND_DEVICE, for registering fullian2015-03-074-22/+126
* Fix the pl011 driver to work when the uart will write in zero cycles. Thisandrew2015-03-031-5/+13
* Enable 'receive timeout' interrupt allowing us to notbr2015-02-241-10/+25
* Add the device ID for the AMT serial port on my Thinkpad T400.jhb2015-02-051-0/+1
* Rename Exynos UART driver. No functional change.br2015-01-132-1/+2
* Add PCI ID for the Oxford Semiconductor OXPCIe952 device.grehan2015-01-121-0/+2
* Don't use a sub-device/-vendor wildcard for probing MCS9922 as othermarius2014-12-281-2/+2
* Add bunch of PCI IDs of Intel Wildcat Point (9 Series) chipsets.mav2014-11-261-0/+1
* Make uart_bus_fdt a decendant of ofwbuszbb2014-11-121-0/+1
* Make PL011 UART to wait on putc only when TX FIFO is fullzbb2014-11-121-1/+3
* Drop __DECONST as well as few fixes of style(9).araujo2014-10-281-8/+15
* Fix a leaked Storage Variable.araujo2014-10-241-6/+11
* Follow up to r225617. In order to maximize the re-usability of kernel codedavide2014-10-161-2/+2
* Use the FIFOs in the imx5/imx6 uart hardware instead of interrupting onian2014-10-121-31/+75
* Use documented compat string for msm uart.ganbold2014-10-061-1/+1
OpenPOWER on IntegriCloud