summaryrefslogtreecommitdiffstats
path: root/sys/dev/hfa/fore_load.c
diff options
context:
space:
mode:
Diffstat (limited to 'sys/dev/hfa/fore_load.c')
-rw-r--r--sys/dev/hfa/fore_load.c1
1 files changed, 1 insertions, 0 deletions
diff --git a/sys/dev/hfa/fore_load.c b/sys/dev/hfa/fore_load.c
index d6e659c..7043345 100644
--- a/sys/dev/hfa/fore_load.c
+++ b/sys/dev/hfa/fore_load.c
@@ -37,6 +37,7 @@
#include <sys/param.h>
#include <sys/kernel.h>
+#include <sys/systm.h>
#include <sys/socket.h>
#include <sys/socketvar.h>
#include <sys/syslog.h>
OpenPOWER on IntegriCloud