summaryrefslogtreecommitdiffstats
path: root/sys/dev/bge/if_bge.c
diff options
context:
space:
mode:
Diffstat (limited to 'sys/dev/bge/if_bge.c')
-rw-r--r--sys/dev/bge/if_bge.c6
1 files changed, 3 insertions, 3 deletions
diff --git a/sys/dev/bge/if_bge.c b/sys/dev/bge/if_bge.c
index 99523c3..da701fc 100644
--- a/sys/dev/bge/if_bge.c
+++ b/sys/dev/bge/if_bge.c
@@ -719,12 +719,12 @@ bge_newbuf_std(sc, i, m)
struct bge_rx_bd *r;
if (m == NULL) {
- MGETHDR(m_new, M_DONTWAIT, MT_DATA);
+ MGETHDR(m_new, M_NOWAIT, MT_DATA);
if (m_new == NULL) {
return(ENOBUFS);
}
- MCLGET(m_new, M_DONTWAIT);
+ MCLGET(m_new, M_NOWAIT);
if (!(m_new->m_flags & M_EXT)) {
m_freem(m_new);
return(ENOBUFS);
@@ -765,7 +765,7 @@ bge_newbuf_jumbo(sc, i, m)
caddr_t *buf = NULL;
/* Allocate the mbuf. */
- MGETHDR(m_new, M_DONTWAIT, MT_DATA);
+ MGETHDR(m_new, M_NOWAIT, MT_DATA);
if (m_new == NULL) {
return(ENOBUFS);
}
OpenPOWER on IntegriCloud