summaryrefslogtreecommitdiffstats
path: root/sys/pc98
diff options
context:
space:
mode:
authorimp <imp@FreeBSD.org>2005-01-04 06:25:24 +0000
committerimp <imp@FreeBSD.org>2005-01-04 06:25:24 +0000
commit9edfc93eac19977ff8733de442e2bdfdef0a9695 (patch)
tree024d71f1bedccf67f6a0a2e2f2c7ac2b11da403a /sys/pc98
parent79c363eb00a4eca868df885e0522a67caf1fa774 (diff)
downloadFreeBSD-src-9edfc93eac19977ff8733de442e2bdfdef0a9695.zip
FreeBSD-src-9edfc93eac19977ff8733de442e2bdfdef0a9695.tar.gz
Remove last vestiges of old wd driver. ata works well on pc98 and
there was no objection on the pc98 list when I asked if it could be removed a while ago.
Diffstat (limited to 'sys/pc98')
-rw-r--r--sys/pc98/pc98/atapi.c965
-rw-r--r--sys/pc98/pc98/atapi.h294
-rw-r--r--sys/pc98/pc98/wd.c2065
-rw-r--r--sys/pc98/pc98/wd_cd.c1433
-rw-r--r--sys/pc98/pc98/wd_cd.h358
-rw-r--r--sys/pc98/pc98/wdreg.h316
-rw-r--r--sys/pc98/pc98/wormio.h117
7 files changed, 0 insertions, 5548 deletions
diff --git a/sys/pc98/pc98/atapi.c b/sys/pc98/pc98/atapi.c
deleted file mode 100644
index b8c7817..0000000
--- a/sys/pc98/pc98/atapi.c
+++ /dev/null
@@ -1,965 +0,0 @@
-/*
- * Device-independent level for ATAPI drivers.
- *
- * Copyright (C) 1995 Cronyx Ltd.
- * Author Serge Vakulenko, <vak@cronyx.ru>
- *
- * This software is distributed with NO WARRANTIES, not even the implied
- * warranties for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
- *
- * Authors grant any other persons or organisations permission to use
- * or modify this software as long as this message is kept with the software,
- * all derivative works or modified versions.
- *
- * Version 1.9, Mon Oct 9 22:34:47 MSK 1995
- *
- * $FreeBSD$
- */
-
-/*
- * The ATAPI level is implemented as a machine-dependent layer
- * between the device driver and the IDE controller.
- * All the machine- and controller dependency is isolated inside
- * the ATAPI level, while all the device dependency is located
- * in the device subdriver.
- *
- * It seems that an ATAPI bus will became popular for medium-speed
- * storage devices such as CD-ROMs, magneto-optical disks, tape streamers etc.
- *
- * To ease the development of new ATAPI drivers, the subdriver
- * interface was designed to be as simple as possible.
- *
- * Three routines are available for the subdriver to access the device:
- *
- * struct atapires atapi_request_wait (ata, unit, cmd, a1, a2, a3, a4, a5,
- * a6, a7, a8, a9, a10, a11, a12, a13, a14, a15, addr, count);
- * struct atapi *ata; -- atapi controller descriptor
- * int unit; -- device unit number on the IDE bus
- * u_char cmd; -- ATAPI command code
- * u_char a1..a15; -- ATAPI command arguments
- * char *addr; -- address of the data buffer for i/o
- * int count; -- data length, >0 for read ops, <0 for write ops
- *
- * The atapi_request_wait() function puts the op in the queue of ATAPI
- * commands for the IDE controller, starts the controller, the waits for
- * operation to be completed (using tsleep).
- * The function should be called from the user phase only (open(), close(),
- * ioctl() etc).
- * Ata and unit args are the values which the subdriver gets from the ATAPI
- * level via attach() call.
- * Buffer pointed to by *addr should be placed in core memory, static
- * or dynamic, but not in stack.
- * The function returns the error code structure, which consists of:
- * - atapi driver code value
- * - controller status port value
- * - controller error port value
- *
- * struct atapires atapi_request_immediate (ata, unit, cmd, a1, a2, a3,
- * a4, a5, a6, a7, a8, a9, a10, a11, a12, a13, a14, a15,
- * addr, count);
- *
- * The atapi_request_immediate() function is similar to atapi_request_wait(),
- * but it does not use interrupts for performing the request.
- * It should be used during an attach phase to get parameters from the device.
- *
- * void atapi_request_callback (ata, unit, cmd, a1, a2, a3, a4, a5,
- * a6, a7, a8, a9, a10, a11, a12, a13, a14, a15,
- * addr, count, done, x, y);
- * struct atapi *ata; -- atapi controller descriptor
- * int unit; -- device unit number on the IDE bus
- * u_char cmd; -- ATAPI command code
- * u_char a1..a15; -- ATAPI command arguments
- * char *addr; -- address of the data buffer for i/o
- * int count; -- data length, >0 for read ops, <0 for write ops
- * void (*done)(); -- function to call when op finished
- * void *x, *y; -- arguments for done() function
- *
- * The atapi_request_callback() function puts the op in the queue of ATAPI
- * commands for the IDE controller, starts the controller, then returns.
- * When the operation finishes, then the callback function done()
- * will be called on the interrupt level.
- * The function is designed to be callable from the interrupt phase.
- * The done() functions is called with the following arguments:
- * (void) (*done) (x, y, count, errcode)
- * void *x, *y; -- arguments from the atapi_request_callback()
- * int count; -- the data residual count
- * struct atapires errcode; -- error code structure, see above
- *
- * The new driver could be added in three steps:
- * 1. Add entries for the new driver to bdevsw and cdevsw tables in conf.c.
- * You will need to make at least three routines: open(), close(),
- * strategy() and possibly ioctl().
- * 2. Make attach() routine, which should allocate all the needed data
- * structures and print the device description string (see xxxattach()).
- * 3. Add an appropriate case to the switch in atapi_attach() routine,
- * call attach() routine of the new driver here. Add the appropriate
- * #include line at the top of attach.c.
- * That's all!
- *
- * Use #define DEBUG in atapi.c to enable tracing of all i/o operations
- * on the IDE bus.
- */
-#undef DEBUG
-
-#include "opt_wcd.h"
-#include "opt_wdc.h"
-
-#include <sys/param.h>
-#include <sys/systm.h>
-#include <sys/malloc.h>
-
-
-#include <pc98/pc98/atapi.h>
-
-/* this code is compiled part of the module */
-
-#ifdef DEBUG
-# define print(s) printf s
-#else
-# define print(s) {/*void*/}
-#endif
-
-/*
- * ATAPI packet command phase.
- */
-#define PHASE_CMDOUT (ARS_DRQ | ARI_CMD)
-#define PHASE_DATAIN (ARS_DRQ | ARI_IN)
-#define PHASE_DATAOUT ARS_DRQ
-#define PHASE_COMPLETED (ARI_IN | ARI_CMD)
-#define PHASE_ABORTED 0 /* nonstandard - for NEC 260 */
-
-static struct atapi atapitab[NWDC];
-
-static struct atapi_params *atapi_probe (int port, int unit);
-static int atapi_wait (int port, u_char bits_wanted);
-static void atapi_send_cmd (struct atapi *ata, struct atapicmd *ac);
-static int atapi_io (struct atapi *ata, struct atapicmd *ac);
-static int atapi_start_cmd (struct atapi *ata, struct atapicmd *ac);
-static int atapi_wait_cmd (struct atapi *ata, struct atapicmd *ac);
-
-extern void wdstart (int ctrlr);
-extern int acdattach(struct atapi*, int, struct atapi_params*, int);
-extern int wfdattach(struct atapi*, int, struct atapi_params*, int);
-extern int wstattach(struct atapi*, int, struct atapi_params*, int);
-
-/*
- * Probe the ATAPI device at IDE controller `ctlr', drive `unit'.
- * Called at splbio().
- */
-int atapi_attach (int ctlr, int unit, int port)
-{
- struct atapi *ata = atapitab + ctlr;
- struct atapi_params *ap;
- char buf [sizeof(ap->model) + 1];
- char revbuf [sizeof(ap->revision) + 1];
- struct atapicmd *ac;
-
- print (("atapi%d.%d at 0x%x: attach called\n", ctlr, unit, port));
- ap = atapi_probe (port, unit);
- if (! ap)
- return (0);
-
- bcopy (ap->model, buf, sizeof(buf)-1);
- buf[sizeof(buf)-1] = 0;
-
- bcopy (ap->revision, revbuf, sizeof(revbuf)-1);
- revbuf[sizeof(revbuf)-1] = 0;
-
- printf ("wdc%d: unit %d (atapi): <%s/%s>", ctlr, unit, buf, revbuf);
-
- /* device is removable */
- if (ap->removable)
- printf (", removable");
-
- /* packet command size */
- switch (ap->cmdsz) {
- case AT_PSIZE_12: break;
- case AT_PSIZE_16: printf (", cmd16"); ata->cmd16 = 1; break;
- default: printf (", cmd%d", ap->cmdsz);
- }
-
- /* DRQ type */
- switch (ap->drqtype) {
- case AT_DRQT_MPROC: ata->slow = 1; break;
- case AT_DRQT_INTR: printf (", intr"); ata->intrcmd = 1; break;
- case AT_DRQT_ACCEL: printf (", accel"); ata->accel = 1; break;
- default: printf (", drq%d", ap->drqtype);
- }
- if (ata->slow)
- ata->intrcmd = 0;
-
- /*
- * If we have two devices, one supporting INTR and one ACCEL, we
- * have to pessimise - clear INTR and set slow.
- */
- if (ata->accel && ata->intrcmd) {
- ata->intrcmd = 0;
- ata->slow = 1;
- }
-
- /* overlap operation supported */
- if (ap->ovlapflag)
- printf (", ovlap");
-
- /* interleaved DMA supported */
- if (ap->idmaflag)
- printf (", idma");
- /* DMA supported */
- else if (ap->dmaflag)
- printf (", dma");
-
- /* IORDY can be disabled */
- if (ap->iordydis)
- printf (", iordis");
- /* IORDY supported */
- else if (ap->iordyflag)
- printf (", iordy");
-
- printf ("\n");
-
- ata->port = port;
- ata->ctrlr = ctlr;
- ata->attached[unit] = 0;
-#ifdef DEBUG
- ata->debug = 1;
-#else
- ata->debug = 0;
-#endif
- /* Initialize free queue. */
- ata->cmdrq[15].next = 0;
- for (ac = ata->cmdrq+14; ac >= ata->cmdrq; --ac)
- ac->next = ac+1;
- ata->free = ata->cmdrq;
-
- if (ap->proto != AT_PROTO_ATAPI) {
- printf ("wdc%d: unit %d: unknown ATAPI protocol=%d\n",
- ctlr, unit, ap->proto);
- free (ap, M_TEMP);
- return (0);
- }
- switch (ap->devtype) {
- default:
- /* unknown ATAPI device */
- printf ("wdc%d: unit %d: unknown ATAPI type=%d\n",
- ctlr, unit, ap->devtype);
- break;
-
- case AT_TYPE_DIRECT: /* direct-access */
-#ifdef DEV_WCD
- /* FALLTHROUGH */
-#else
- printf ("wdc%d: ATAPI Floppies not configured\n", ctlr);
- break;
-#endif
- case AT_TYPE_CDROM: /* CD-ROM device */
-#ifdef DEV_WCD
- /* ATAPI CD-ROM & CD-R/RW drives */
- if (acdattach (ata, unit, ap, ata->debug) < 0)
- break;
- ata->attached[unit] = 1;
- return (1);
-#else
- printf ("wdc%d: ATAPI CD-ROMs not configured\n", ctlr);
- break;
-#endif
-
- case AT_TYPE_TAPE: /* streaming tape */
- printf ("wdc%d: ATAPI streaming tapes not configured\n", ctlr);
- break;
-
- case AT_TYPE_OPTICAL: /* optical disk */
-#if NWMD > 0
- /* Add your driver here */
-#else
- printf ("wdc%d: ATAPI optical disks not supported yet\n", ctlr);
-#endif
- break;
- }
- /* Attach failed. */
- free (ap, M_TEMP);
- return (0);
-}
-
-static char *cmdname (u_char cmd)
-{
- static char buf[8];
-
- switch (cmd) {
- case 0x00: return ("TEST_UNIT_READY");
- case 0x01: return ("REZERO_UNIT");
- case 0x03: return ("REQUEST_SENSE");
- case 0x04: return ("FORMAT_UNIT");
- case 0x1b: return ("START_STOP");
- case 0x1e: return ("PREVENT_ALLOW");
- case 0x25: return ("READ_CAPACITY");
- case 0x28: return ("READ_BIG");
- case 0x2a: return ("WRITE_BIG");
- case 0x35: return ("SYNCHRONIZE_CACHE");
- case 0x42: return ("READ_SUBCHANNEL");
- case 0x43: return ("READ_TOC");
- case 0x51: return ("READ_DISC_INFO");
- case 0x52: return ("READ_TRACK_INFO");
- case 0x53: return ("RESERVE_TRACK");
- case 0x54: return ("SEND_OPC_INFO");
- case 0x55: return ("MODE_SELECT");
- case 0x58: return ("REPAIR_TRACK");
- case 0x59: return ("READ_MASTER_CUE");
- case 0x5a: return ("MODE_SENSE");
- case 0x5b: return ("CLOSE_TRACK/SESSION");
- case 0x5c: return ("READ_BUFFER_CAPACITY");
- case 0x5d: return ("SEND_CUE_SHEET");
- case 0x47: return ("PLAY_MSF");
- case 0x4b: return ("PAUSE");
- case 0x48: return ("PLAY_TRACK");
- case 0xa1: return ("BLANK_CMD");
- case 0xa5: return ("PLAY_BIG");
- case 0xb4: return ("PLAY_CD");
- case 0xbd: return ("ATAPI_MECH_STATUS");
- case 0xbe: return ("READ_CD");
- }
- snprintf (buf, sizeof(buf), "[0x%x]", cmd);
- return (buf);
-}
-
-static void bswap (char *buf, int len)
-{
- u_short *p = (u_short*) (buf + len);
- while (--p >= (u_short*) buf)
- *p = ntohs (*p);
-}
-
-static void btrim (char *buf, int len)
-{
- char *p;
-
- /* Remove the trailing spaces. */
- for (p=buf; p<buf+len; ++p)
- if (! *p)
- *p = ' ';
- for (p=buf+len-1; p>=buf && *p==' '; --p)
- *p = 0;
-}
-
-/*
- * Issue IDENTIFY command to ATAPI drive to ask it what it is.
- */
-static struct atapi_params *atapi_probe (int port, int unit)
-{
- struct atapi_params *ap;
- char tb [DEV_BSIZE];
-#ifdef PC98
- int cnt;
-
- outb(0x432,unit%2);
- print(("unit = %d,select %d\n",unit,unit%2));
-#endif
- /* Wait for controller not busy. */
-#ifdef PC98
- outb (port + AR_DRIVE, unit / 2 ? ARD_DRIVE1 : ARD_DRIVE0);
-#else
- outb (port + AR_DRIVE, unit ? ARD_DRIVE1 : ARD_DRIVE0);
-#endif
- if (atapi_wait (port, 0) < 0) {
- print (("atapiX.%d at 0x%x: controller busy, status=%b\n",
- unit, port, inb (port + AR_STATUS), ARS_BITS));
- return (0);
- }
-
- /* Issue ATAPI IDENTIFY command. */
-#ifdef PC98
- outb (port + AR_DRIVE, unit/2 ? ARD_DRIVE1 : ARD_DRIVE0);
-
- /* Wait for DRQ deassert. */
- for (cnt=2000; cnt>0; --cnt)
- if (! (inb (port + AR_STATUS) & ARS_DRQ))
- break;
-
- outb (port + AR_COMMAND, ATAPIC_IDENTIFY);
- DELAY(500);
-#else
- outb (port + AR_DRIVE, unit ? ARD_DRIVE1 : ARD_DRIVE0);
- outb (port + AR_COMMAND, ATAPIC_IDENTIFY);
-#endif
-
- /* Check that device is present. */
- if (inb (port + AR_STATUS) == 0xff) {
- print (("atapiX.%d at 0x%x: no device\n", unit, port));
-#ifdef PC98
- if (unit / 2)
-#else
- if (unit == 1)
-#endif
- /* Select unit 0. */
- outb (port + AR_DRIVE, ARD_DRIVE0);
- return (0);
- }
-
- /* Wait for data ready. */
- if (atapi_wait (port, ARS_DRQ) != 0) {
- print (("atapiX.%d at 0x%x: identify not ready, status=%b\n",
- unit, port, inb (port + AR_STATUS), ARS_BITS));
-#ifdef PC98
- if (unit / 2)
-#else
- if (unit == 1)
-#endif
- /* Select unit 0. */
- outb (port + AR_DRIVE, ARD_DRIVE0);
- return (0);
- }
-
- /* check that DRQ isn't a fake */
- if (inb (port + AR_STATUS) == 0xff) {
- print (("atapiX.%d at 0x%x: no device\n", unit, port));
-#ifdef PC98
- if (unit / 2)
-#else
- if (unit == 1)
-#endif
- /* Select unit 0. */
- outb (port + AR_DRIVE, ARD_DRIVE0);
- return (0);
- }
-
- /* Obtain parameters. */
- insw (port + AR_DATA, tb, sizeof(tb) / sizeof(short));
-
- ap = malloc (sizeof *ap, M_TEMP, M_NOWAIT);
- if (! ap)
- return (0);
- bcopy (tb, ap, sizeof *ap);
-
-#ifdef PC98
- /*
- * Check model string.
- * If all of it makes unprintable characters, ignore this device.
- */
- for (cnt = 0; cnt < sizeof(ap->model)-1; cnt++) {
- if (ap->model[cnt] >= ' ')
- break;
- }
- if (cnt >= sizeof(ap->model)-1) {
- free (ap, M_TEMP);
- return (0);
- }
-#endif
-
- /*
- * Shuffle string byte order.
- * Mitsumi and NEC drives don't need this.
- */
- if (! ((ap->model[0] == 'N' && ap->model[1] == 'E') ||
- (ap->model[0] == 'F' && ap->model[1] == 'X')))
- bswap (ap->model, sizeof(ap->model));
- bswap (ap->serial, sizeof(ap->serial));
- bswap (ap->revision, sizeof(ap->revision));
-
- /* Clean up the model name, serial and revision numbers. */
- btrim (ap->model, sizeof(ap->model));
- btrim (ap->serial, sizeof(ap->serial));
- btrim (ap->revision, sizeof(ap->revision));
- return (ap);
-}
-
-/*
- * Wait uninterruptibly until controller is not busy and certain
- * status bits are set.
- * The wait is usually short unless it is for the controller to process
- * an entire critical command.
- * Return 1 for (possibly stale) controller errors, -1 for timeout errors,
- * or 0 for no errors.
- */
-static int atapi_wait (int port, u_char bits_wanted)
-{
- int cnt;
- u_char s;
-
- /* Wait 5 sec for BUSY deassert. */
- for (cnt=500000; cnt>0; --cnt) {
- s = inb (port + AR_STATUS);
- if (! (s & ARS_BSY))
- break;
- DELAY (10);
- }
- if (cnt <= 0)
- return (-1);
- if (! bits_wanted)
- return (s & ARS_CHECK);
-
- /* Wait 50 msec for bits wanted. */
- for (cnt=5000; cnt>0; --cnt) {
- s = inb (port + AR_STATUS);
- if ((s & bits_wanted) == bits_wanted)
- return (s & ARS_CHECK);
- DELAY (10);
- }
- return (-1);
-}
-
-void atapi_debug (struct atapi *ata, int on)
-{
- ata->debug = on;
-}
-
-static struct atapicmd *atapi_alloc (struct atapi *ata)
-{
- struct atapicmd *ac;
-
- while (! ata->free)
- tsleep (ata, PRIBIO, "atacmd", 100);
- ac = ata->free;
- ata->free = ac->next;
- ac->busy = 1;
- return (ac);
-}
-
-static void atapi_free (struct atapi *ata, struct atapicmd *ac)
-{
- if (! ata->free)
- wakeup (ata);
- ac->busy = 0;
- ac->next = ata->free;
- ata->free = ac;
-}
-
-/*
- * Add new command request to the end of the queue.
- */
-static void atapi_enqueue (struct atapi *ata, struct atapicmd *ac)
-{
- ac->next = 0;
- if (ata->tail)
- ata->tail->next = ac;
- else
- ata->queue = ac;
- ata->tail = ac;
-}
-
-static void atapi_done (struct atapi *ata)
-{
- struct atapicmd *ac = ata->queue;
-
- if (! ac)
- return; /* cannot happen */
-
- ata->queue = ac->next;
- if (! ata->queue)
- ata->tail = 0;
-
- if (ac->callback) {
- (*ac->callback) (ac->cbarg1, ac->cbarg2, ac->count, ac->result);
- atapi_free (ata, ac);
- } else
- wakeup (ac);
-}
-
-/*
- * Start new packet op. Called from wdstart().
- * Return 1 if op started, and we are waiting for interrupt.
- * Return 0 when idle.
- */
-int atapi_start (int ctrlr)
-{
- struct atapi *ata = atapitab + ctrlr;
- struct atapicmd *ac;
-again:
- ac = ata->queue;
- if (! ac)
- return (0);
-
- /* Start packet command. */
- if (atapi_start_cmd (ata, ac) < 0) {
- atapi_done (ata);
- goto again;
- }
-
- if (ata->intrcmd)
- /* Wait for interrupt before sending packet command */
- return (1);
-
- /* Wait for DRQ. */
- if (atapi_wait_cmd (ata, ac) < 0) {
- atapi_done (ata);
- goto again;
- }
-
- /* Send packet command. */
- atapi_send_cmd (ata, ac);
- return (1);
-}
-
-/*
- * Start new packet op. Returns -1 on errors.
- */
-int atapi_start_cmd (struct atapi *ata, struct atapicmd *ac)
-{
- ac->result.error = 0;
- ac->result.status = 0;
-
-#ifdef PC98
- outb(0x432,(ac->unit)%2);
- print(("(ac->unit) = %d,select %d (2) \n",(ac->unit),(ac->unit)%2));
- outb (ata->port + AR_DRIVE, (ac->unit)/2 ? ARD_DRIVE1 : ARD_DRIVE0);
-#else
- outb (ata->port + AR_DRIVE, ac->unit ? ARD_DRIVE1 : ARD_DRIVE0);
-#endif
- if (atapi_wait (ata->port, 0) < 0) {
- printf ("atapi%d.%d: controller not ready for cmd\n",
- ata->ctrlr, ac->unit);
- ac->result.code = RES_NOTRDY;
- return (-1);
- }
-
- /* Set up the controller registers. */
- outb (ata->port + AR_FEATURES, 0);
- outb (ata->port + AR_IREASON, 0);
- outb (ata->port + AR_TAG, 0);
- outb (ata->port + AR_CNTLO, ac->count & 0xff);
- outb (ata->port + AR_CNTHI, ac->count >> 8);
- outb (ata->port + AR_COMMAND, ATAPIC_PACKET);
-
- if (ata->debug)
- printf ("atapi%d.%d: start\n", ata->ctrlr, ac->unit);
- return (0);
-}
-
-/*
- * Wait for DRQ before sending packet cmd. Returns -1 on errors.
- */
-int atapi_wait_cmd (struct atapi *ata, struct atapicmd *ac)
-{
- /* Wait for DRQ from 100 usec to 3 msec for slow devices */
- int cnt = ata->intrcmd ? 10000 : ata->slow ? 3000 : 100;
- int ireason = 0, phase = 0;
-
- /* Wait for command phase. */
- for (; cnt>0; cnt-=10) {
- ireason = inb (ata->port + AR_IREASON);
- ac->result.status = inb (ata->port + AR_STATUS);
- phase = (ireason & (ARI_CMD | ARI_IN)) |
- (ac->result.status & (ARS_DRQ | ARS_BSY));
- if (phase == PHASE_CMDOUT)
- break;
- DELAY (10);
- }
-
- if (phase != PHASE_CMDOUT) {
- ac->result.code = RES_NODRQ;
- ac->result.error = inb (ata->port + AR_ERROR);
- printf ("atapi%d.%d: invalid command phase, ireason=0x%x, status=%b, error=%b\n",
- ata->ctrlr, ac->unit, ireason,
- ac->result.status, ARS_BITS,
- ac->result.error, AER_BITS);
- return (-1);
- }
- return (0);
-}
-
-/*
- * Send packet cmd.
- */
-void atapi_send_cmd (struct atapi *ata, struct atapicmd *ac)
-{
- outsw (ata->port + AR_DATA, ac->cmd, ata->cmd16 ? 8 : 6);
- if (ata->debug)
- printf ("atapi%d.%d: send cmd %s %x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x\n",
- ata->ctrlr, ac->unit, cmdname (ac->cmd[0]), ac->cmd[0],
- ac->cmd[1], ac->cmd[2], ac->cmd[3], ac->cmd[4],
- ac->cmd[5], ac->cmd[6], ac->cmd[7], ac->cmd[8],
- ac->cmd[9], ac->cmd[10], ac->cmd[11], ac->cmd[12],
- ac->cmd[13], ac->cmd[14], ac->cmd[15]);
-}
-
-/*
- * Interrupt routine for the controller. Called from wdintr().
- * Finish the started op, wakeup wait-type commands,
- * run callbacks for callback-type commands, then return.
- * Do not start new op here, it will be done by wdstart,
- * which is called just after us.
- * Return 1 if op continues, and we are waiting for new interrupt.
- * Return 0 when idle.
- */
-int atapi_intr (int ctrlr)
-{
- struct atapi *ata = atapitab + ctrlr;
- struct atapicmd *ac = ata->queue;
-
-#ifdef PC98
- outb(0x432,(ac->unit)%2);
- print(("atapi_intr:(ac->unit)= %d,select %d\n",ac->unit,(ac->unit)%2));
-#endif
-
- if (! ac) {
- printf ("atapi%d: stray interrupt\n", ata->ctrlr);
- return (0);
- }
- if (atapi_io (ata, ac) > 0)
- return (1);
- atapi_done (ata);
- return (0);
-}
-
-/*
- * Process the i/o phase, transferring the command/data to/from the device.
- * Return 1 if op continues, and we are waiting for new interrupt.
- * Return 0 when idle.
- */
-int atapi_io (struct atapi *ata, struct atapicmd *ac)
-{
- u_char ireason;
- u_short len, i;
-
- if (atapi_wait (ata->port, 0) < 0) {
- ac->result.status = inb (ata->port + AR_STATUS);
- ac->result.error = inb (ata->port + AR_ERROR);
- ac->result.code = RES_NOTRDY;
- printf ("atapi%d.%d: controller not ready, status=%b, error=%b\n",
- ata->ctrlr, ac->unit, ac->result.status, ARS_BITS,
- ac->result.error, AER_BITS);
- return (0);
- }
-
- ac->result.status = inb (ata->port + AR_STATUS);
- ac->result.error = inb (ata->port + AR_ERROR);
- len = inb (ata->port + AR_CNTLO);
- len |= inb (ata->port + AR_CNTHI) << 8;
- ireason = inb (ata->port + AR_IREASON);
-
- if (ata->debug) {
- printf ("atapi%d.%d: intr ireason=0x%x, len=%d, status=%b, error=%b\n",
- ata->ctrlr, ac->unit, ireason, len,
- ac->result.status, ARS_BITS,
- ac->result.error, AER_BITS);
- }
- switch ((ireason & (ARI_CMD | ARI_IN)) | (ac->result.status & ARS_DRQ)) {
- default:
- printf ("atapi%d.%d: unknown phase\n", ata->ctrlr, ac->unit);
- ac->result.code = RES_ERR;
- break;
-
- case PHASE_CMDOUT:
- /* Send packet command. */
- if (! (ac->result.status & ARS_DRQ)) {
- printf ("atapi%d.%d: no cmd drq\n",
- ata->ctrlr, ac->unit);
- ac->result.code = RES_NODRQ;
- break;
- }
- atapi_send_cmd (ata, ac);
- return (1);
-
- case PHASE_DATAOUT:
- /* Write data */
- if (ac->count > 0) {
- printf ("atapi%d.%d: invalid data direction\n",
- ata->ctrlr, ac->unit);
- ac->result.code = RES_INVDIR;
- break;
- }
- if (-ac->count < len) {
- print (("atapi%d.%d: send data underrun, %d bytes left\n",
- ata->ctrlr, ac->unit, -ac->count));
- ac->result.code = RES_UNDERRUN;
- outsw (ata->port + AR_DATA, ac->addr,
- -ac->count / sizeof(short));
- for (i= -ac->count; i<len; i+=sizeof(short))
- outw (ata->port + AR_DATA, 0);
- } else
- outsw (ata->port + AR_DATA, ac->addr,
- len / sizeof(short));
- ac->addr += len;
- ac->count += len;
- return (1);
-
- case PHASE_DATAIN:
- /* Read data */
- if (ac->count < 0) {
- printf ("atapi%d.%d: invalid data direction\n",
- ata->ctrlr, ac->unit);
- ac->result.code = RES_INVDIR;
- break;
- }
- if (ac->count < len) {
- print (("atapi%d.%d: recv data overrun, %d bytes left\n",
- ata->ctrlr, ac->unit, ac->count));
- ac->result.code = RES_OVERRUN;
- insw (ata->port + AR_DATA, ac->addr,
- ac->count / sizeof(short));
- for (i=ac->count; i<len; i+=sizeof(short))
- inw (ata->port + AR_DATA);
- } else
- insw (ata->port + AR_DATA, ac->addr,
- len / sizeof(short));
- ac->addr += len;
- ac->count -= len;
- return (1);
-
- case PHASE_ABORTED:
- case PHASE_COMPLETED:
- if (ac->result.status & (ARS_CHECK | ARS_DF))
- ac->result.code = RES_ERR;
- else if (ac->count < 0) {
- print (("atapi%d.%d: send data overrun, %d bytes left\n",
- ata->ctrlr, ac->unit, -ac->count));
- ac->result.code = RES_OVERRUN;
- } else if (ac->count > 0) {
- print (("atapi%d.%d: recv data underrun, %d bytes left\n",
- ata->ctrlr, ac->unit, ac->count));
- ac->result.code = RES_UNDERRUN;
- bzero (ac->addr, ac->count);
- } else
- ac->result.code = RES_OK;
- break;
- }
- return (0);
-}
-
-/*
- * Queue new packet request, then call wdstart().
- * Called on splbio().
- */
-void atapi_request_callback (struct atapi *ata, int unit,
- u_char cmd, u_char a1, u_char a2, u_char a3, u_char a4,
- u_char a5, u_char a6, u_char a7, u_char a8, u_char a9,
- u_char a10, u_char a11, u_char a12, u_char a13, u_char a14, u_char a15,
- char *addr, int count, atapi_callback_t *done, void *x, void *y)
-{
- struct atapicmd *ac;
-
- ac = atapi_alloc (ata);
- ac->cmd[0] = cmd; ac->cmd[1] = a1;
- ac->cmd[2] = a2; ac->cmd[3] = a3;
- ac->cmd[4] = a4; ac->cmd[5] = a5;
- ac->cmd[6] = a6; ac->cmd[7] = a7;
- ac->cmd[8] = a8; ac->cmd[9] = a9;
- ac->cmd[10] = a10; ac->cmd[11] = a11;
- ac->cmd[12] = a12; ac->cmd[13] = a13;
- ac->cmd[14] = a14; ac->cmd[15] = a15;
- ac->unit = unit;
- ac->addr = addr;
- ac->count = count;
- ac->callback = done;
- ac->cbarg1 = x;
- ac->cbarg2 = y;
-
- if (ata->debug)
- printf ("atapi%d.%d: req cb %x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x len=%d\n",
- ata->ctrlr, ac->unit, ac->cmd[0], ac->cmd[1],
- ac->cmd[2], ac->cmd[3], ac->cmd[4], ac->cmd[5],
- ac->cmd[6], ac->cmd[7], ac->cmd[8], ac->cmd[9],
- ac->cmd[10], ac->cmd[11], ac->cmd[12],
- ac->cmd[13], ac->cmd[14], ac->cmd[15], count);
- atapi_enqueue (ata, ac);
- wdstart (ata->ctrlr);
-}
-
-/*
- * Queue new packet request, then call wdstart().
- * Wait until the request is finished.
- * Called on spl0().
- * Return atapi error.
- * Buffer pointed to by *addr should be placed in core memory, not in stack!
- */
-struct atapires atapi_request_wait (struct atapi *ata, int unit,
- u_char cmd, u_char a1, u_char a2, u_char a3, u_char a4,
- u_char a5, u_char a6, u_char a7, u_char a8, u_char a9,
- u_char a10, u_char a11, u_char a12, u_char a13, u_char a14, u_char a15,
- char *addr, int count)
-{
- struct atapicmd *ac;
- int x = splbio ();
- struct atapires result;
-
- ac = atapi_alloc (ata);
- ac->cmd[0] = cmd; ac->cmd[1] = a1;
- ac->cmd[2] = a2; ac->cmd[3] = a3;
- ac->cmd[4] = a4; ac->cmd[5] = a5;
- ac->cmd[6] = a6; ac->cmd[7] = a7;
- ac->cmd[8] = a8; ac->cmd[9] = a9;
- ac->cmd[10] = a10; ac->cmd[11] = a11;
- ac->cmd[12] = a12; ac->cmd[13] = a13;
- ac->cmd[14] = a14; ac->cmd[15] = a15;
- ac->unit = unit;
- ac->addr = addr;
- ac->count = count;
- ac->callback = 0;
- ac->cbarg1 = 0;
- ac->cbarg2 = 0;
-
- if (ata->debug)
- printf ("atapi%d.%d: req w %x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x len=%d\n",
- ata->ctrlr, ac->unit, ac->cmd[0], ac->cmd[1],
- ac->cmd[2], ac->cmd[3], ac->cmd[4], ac->cmd[5],
- ac->cmd[6], ac->cmd[7], ac->cmd[8], ac->cmd[9],
- ac->cmd[10], ac->cmd[11], ac->cmd[12],
- ac->cmd[13], ac->cmd[14], ac->cmd[15], count);
- atapi_enqueue (ata, ac);
- wdstart (ata->ctrlr);
- if (ata->tail == ac)
- tsleep (ac, PRIBIO, "atareq", 0);
-
- result = ac->result;
- atapi_free (ata, ac);
- splx (x);
- return (result);
-}
-
-/*
- * Perform a packet command on the device.
- * Should be called on splbio().
- * Return atapi error.
- */
-struct atapires atapi_request_immediate (struct atapi *ata, int unit,
- u_char cmd, u_char a1, u_char a2, u_char a3, u_char a4,
- u_char a5, u_char a6, u_char a7, u_char a8, u_char a9,
- u_char a10, u_char a11, u_char a12, u_char a13, u_char a14, u_char a15,
- char *addr, int count)
-{
- struct atapicmd cmdbuf, *ac = &cmdbuf;
- int cnt;
-
- ac->cmd[0] = cmd; ac->cmd[1] = a1;
- ac->cmd[2] = a2; ac->cmd[3] = a3;
- ac->cmd[4] = a4; ac->cmd[5] = a5;
- ac->cmd[6] = a6; ac->cmd[7] = a7;
- ac->cmd[8] = a8; ac->cmd[9] = a9;
- ac->cmd[10] = a10; ac->cmd[11] = a11;
- ac->cmd[12] = a12; ac->cmd[13] = a13;
- ac->cmd[14] = a14; ac->cmd[15] = a15;
- ac->unit = unit;
- ac->addr = addr;
- ac->count = count;
- ac->callback = 0;
- ac->cbarg1 = 0;
- ac->cbarg2 = 0;
-
- if (ata->debug)
- printf ("atapi%d.%d: req im %x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x-%x len=%d\n",
- ata->ctrlr, ac->unit, ac->cmd[0], ac->cmd[1],
- ac->cmd[2], ac->cmd[3], ac->cmd[4], ac->cmd[5],
- ac->cmd[6], ac->cmd[7], ac->cmd[8], ac->cmd[9],
- ac->cmd[10], ac->cmd[11], ac->cmd[12],
- ac->cmd[13], ac->cmd[14], ac->cmd[15], count);
-
- /* Start packet command, wait for DRQ. */
- if (atapi_start_cmd (ata, ac) >= 0 && atapi_wait_cmd (ata, ac) >= 0) {
- /* Send packet command. */
- atapi_send_cmd (ata, ac);
-
- /* Wait for data i/o phase. */
- for (cnt=20000; cnt>0; --cnt)
- if (((inb (ata->port + AR_IREASON) & (ARI_CMD | ARI_IN)) |
- (inb (ata->port + AR_STATUS) & ARS_DRQ)) != PHASE_CMDOUT)
- break;
-
- /* Do all needed i/o. */
- while (atapi_io (ata, ac))
- /* Wait for DRQ deassert. */
- for (cnt=2000; cnt>0; --cnt) {
- if (! (inb (ata->port + AR_STATUS) & ARS_DRQ))
- break;
- DELAY(10);
- }
- }
- return (ac->result);
-}
diff --git a/sys/pc98/pc98/atapi.h b/sys/pc98/pc98/atapi.h
deleted file mode 100644
index 66989a4..0000000
--- a/sys/pc98/pc98/atapi.h
+++ /dev/null
@@ -1,294 +0,0 @@
-/*
- * Device-independent level for ATAPI drivers.
- *
- * Copyright (C) 1995 Cronyx Ltd.
- * Author Serge Vakulenko, <vak@cronyx.ru>
- *
- * This software is distributed with NO WARRANTIES, not even the implied
- * warranties for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
- *
- * Authors grant any other persons or organizations permission to use
- * or modify this software as long as this message is kept with the software,
- * all derivative works or modified versions.
- *
- * Version 1.9, Thu Oct 12 15:53:50 MSK 1995
- * $FreeBSD$
- */
-
-#define atapi_attach wdc_atapi_attach
-#define acdattach wdc_acdattach
-
-/*
- * Disk Controller ATAPI register definitions.
- */
-#ifdef PC98
-#define AR_DATA 0x0 /* RW - data register (16 bits) */
-#define AR_ERROR 0x2 /* R - error register */
-#define AR_FEATURES 0x2 /* W - features */
-#define AR_IREASON 0x4 /* RW - interrupt reason */
-#define AR_TAG 0x6 /* - reserved for SAM TAG byte */
-#define AR_CNTLO 0x8 /* RW - byte count, low byte */
-#define AR_CNTHI 0xa /* RW - byte count, high byte */
-#define AR_DRIVE 0xc /* RW - drive select */
-#define AR_COMMAND 0xe /* W - command register */
-#define AR_STATUS 0xe /* R - immediate status */
-#else
-#define AR_DATA 0x0 /* RW - data register (16 bits) */
-#define AR_ERROR 0x1 /* R - error register */
-#define AR_FEATURES 0x1 /* W - features */
-#define AR_IREASON 0x2 /* RW - interrupt reason */
-#define AR_TAG 0x3 /* - reserved for SAM TAG byte */
-#define AR_CNTLO 0x4 /* RW - byte count, low byte */
-#define AR_CNTHI 0x5 /* RW - byte count, high byte */
-#define AR_DRIVE 0x6 /* RW - drive select */
-#define AR_COMMAND 0x7 /* W - command register */
-#define AR_STATUS 0x7 /* R - immediate status */
-#endif
-
-/*
- * Status register bits
- */
-#define ARS_CHECK 0x01 /* error occured, see sense key/code */
- /* bit 0x02 reserved */
-#define ARS_CORR 0x04 /* correctable error occured */
-#define ARS_DRQ 0x08 /* data request / ireason valid */
-#define ARS_DSC 0x10 /* immediate operation completed */
-#define ARS_DF 0x20 /* drive fault */
-#define ARS_DRDY 0x40 /* ready to get command */
-#define ARS_BSY 0x80 /* registers busy */
- /* for overlap mode only: */
-#define ARS_SERVICE 0x10 /* service is requested */
-#define ARS_DMARDY 0x20 /* ready to start a DMA transfer */
-#define ARS_BITS "\20\010busy\7ready\6fault\5opdone\4drq\3corr\1check"
-
-/*
- * Error register bits
- */
-#define AER_ILI 0x01 /* illegal length indication */
-#define AER_EOM 0x02 /* end of media detected */
-#define AER_ABRT 0x04 /* command aborted */
-#define AER_MCR 0x08 /* media change requested */
-#define AER_SKEY 0xf0 /* sense key mask */
-#define AER_SK_NO_SENSE 0x00 /* no specific sense key info */
-#define AER_SK_RECOVERED_ERROR 0x10 /* command succeeded, data recovered */
-#define AER_SK_NOT_READY 0x20 /* no access to drive */
-#define AER_SK_MEDIUM_ERROR 0x30 /* non-recovered data error */
-#define AER_SK_HARDWARE_ERROR 0x40 /* non-recoverable hardware failure */
-#define AER_SK_ILLEGAL_REQUEST 0x50 /* invalid command parameter(s) */
-#define AER_SK_UNIT_ATTENTION 0x60 /* media changed */
-#define AER_SK_DATA_PROTECT 0x70 /* reading read-protected sector */
-#define AER_SK_BLANK_CHECK 0x80 /* blank check */
-#define AER_SK_VENDOR_SPECIFIC 0x90 /* vendor specific skey */
-#define AER_SK_COPY_ABORTED 0xa0 /* copy aborted */
-#define AER_SK_ABORTED_COMMAND 0xb0 /* command aborted, try again */
-#define AER_SK_EQUAL 0xc0 /* equal */
-#define AER_SK_VOLUME_OVERFLOW 0xd0 /* volume overflow */
-#define AER_SK_MISCOMPARE 0xe0 /* data did not match the medium */
-#define AER_SK_RESERVED 0xf0
-#define AER_BITS "\20\4mchg\3abort\2eom\1ili"
-
-/*
- * Feature register bits
- */
-#define ARF_DMA 0x01 /* transfer data via DMA */
-#define ARF_OVERLAP 0x02 /* release the bus until completion */
-
-/*
- * Interrupt reason register bits
- */
-#define ARI_CMD 0x01 /* command(1) or data(0) */
-#define ARI_IN 0x02 /* transfer to(1) or from(0) the host */
-#define ARI_RELEASE 0x04 /* bus released until completion */
-
-/*
- * Drive register values
- */
-#define ARD_DRIVE0 0xa0 /* drive 0 selected */
-#define ARD_DRIVE1 0xb0 /* drive 1 selected */
-
-/*
- * ATA commands
- */
-#define ATAPIC_IDENTIFY 0xa1 /* get drive parameters */
-#define ATAPIC_PACKET 0xa0 /* execute packet command */
-
-/*
- * Mandatory packet commands
- */
-#define ATAPI_TEST_UNIT_READY 0x00 /* check if the device is ready */
-#define ATAPI_REZERO_UNIT 0x01 /* reinit device */
-#define ATAPI_REQUEST_SENSE 0x03 /* get sense data */
-#define ATAPI_START_STOP 0x1b /* start/stop the media */
-#define ATAPI_PREVENT_ALLOW 0x1e /* prevent/allow media removal */
-#define ATAPI_READ_CAPACITY 0x25 /* get volume capacity */
-#define ATAPI_READ_BIG 0x28 /* read data */
-#define ATAPI_WRITE_BIG 0x2a /* write data */
-#define ATAPI_SYNCHRONIZE_CACHE 0x35 /* flush write buf, close write chan */
-#define ATAPI_READ_SUBCHANNEL 0x42 /* get subchannel info */
-#define ATAPI_READ_TOC 0x43 /* get table of contents */
-#define ATAPI_READ_TRACK_INFO 0x52 /* get track information structure */
-#define ATAPI_MODE_SELECT 0x55 /* set device parameters */
-#define ATAPI_MODE_SENSE 0x5a /* get device parameters */
-#define ATAPI_CLOSE_TRACK 0x5b /* close track/session */
-#define ATAPI_LOAD_UNLOAD 0xa6 /* changer control command */
-#define ATAPI_PLAY_CD 0xb4 /* universal play command */
-#define ATAPI_MECH_STATUS 0xbd /* get changer mechanism status */
-#define ATAPI_READ_CD 0xbe /* read data */
-/*
- * Optional packet commands
- */
-#define ATAPI_PLAY_MSF 0x47 /* play by MSF address */
-#define ATAPI_PAUSE 0x4b /* stop/start audio operation */
-
-/*
- * Nonstandard packet commands
- */
-#define ATAPI_PLAY_TRACK 0x48 /* play by track number */
-#define ATAPI_PLAY_BIG 0xa5 /* play by logical block address */
-
-#define DSC_POLL_INTERVAL 10
-
-/*
- * Drive parameter information
- */
-struct atapi_params {
- unsigned cmdsz : 2; /* packet command size */
-#define AT_PSIZE_12 0 /* 12 bytes */
-#define AT_PSIZE_16 1 /* 16 bytes */
- unsigned : 3;
- unsigned drqtype : 2; /* DRQ type */
-#define AT_DRQT_MPROC 0 /* microprocessor DRQ - 3 msec delay */
-#define AT_DRQT_INTR 1 /* interrupt DRQ - 10 msec delay */
-#define AT_DRQT_ACCEL 2 /* accelerated DRQ - 50 usec delay */
- unsigned removable : 1; /* device is removable */
- unsigned devtype : 5; /* device type */
-#define AT_TYPE_DIRECT 0 /* direct-access (magnetic disk) */
-#define AT_TYPE_TAPE 1 /* streaming tape (QIC-121 model) */
-#define AT_TYPE_CDROM 5 /* CD-ROM device */
-#define AT_TYPE_OPTICAL 7 /* optical disk */
- unsigned : 1;
- unsigned proto : 2; /* command protocol */
-#define AT_PROTO_ATAPI 2
- short reserved1[9];
- char serial[20]; /* serial number - optional */
- short reserved2[3];
- char revision[8]; /* firmware revision */
- char model[40]; /* model name */
- short reserved3[2];
- u_char vendor_cap; /* vendor unique capabilities */
- unsigned dmaflag : 1; /* DMA supported */
- unsigned lbaflag : 1; /* LBA supported - always 1 */
- unsigned iordydis : 1; /* IORDY can be disabled */
- unsigned iordyflag : 1; /* IORDY supported */
- unsigned : 1;
- unsigned ovlapflag : 1; /* overlap operation supported */
- unsigned : 1;
- unsigned idmaflag : 1; /* interleaved DMA supported */
- short reserved4;
- u_short pio_timing; /* PIO cycle timing */
- u_short dma_timing; /* DMA cycle timing */
- u_short flags;
-#define AT_FLAG_54_58 1 /* words 54-58 valid */
-#define AT_FLAG_64_70 2 /* words 64-70 valid */
- short reserved5[8];
- u_char swdma_flag; /* singleword DMA mode supported */
- u_char swdma_active; /* singleword DMA mode active */
- u_char mwdma_flag; /* multiword DMA mode supported */
- u_char mwdma_active; /* multiword DMA mode active */
- u_char apio_flag; /* advanced PIO mode supported */
- u_char reserved6;
- u_short mwdma_min; /* min. M/W DMA time per word (ns) */
- u_short mwdma_dflt; /* recommended M/W DMA time (ns) - optional */
- u_short pio_nfctl_min; /* min. PIO cycle time w/o flow ctl - optional */
- u_short pio_iordy_min; /* min. PIO c/t with IORDY flow ctl - optional */
- short reserved7[2];
- u_short rls_ovlap; /* release time (us) for overlap cmd - optional */
- u_short rls_service; /* release time (us) for service cmd - optional */
-};
-
-/*
- * ATAPI operation result structure
- */
-struct atapires {
- u_char code; /* result code */
-#define RES_OK 0 /* i/o done */
-#define RES_ERR 1 /* i/o finished with error */
-#define RES_NOTRDY 2 /* controller not ready */
-#define RES_NODRQ 3 /* no data request */
-#define RES_INVDIR 4 /* invalid bus phase direction */
-#define RES_OVERRUN 5 /* data overrun */
-#define RES_UNDERRUN 6 /* data underrun */
- u_char status; /* status register contents */
- u_char error; /* error register contents */
-};
-
-struct atapidrv { /* delayed attach info */
- int ctlr; /* IDE controller, 0/1 */
- int unit; /* drive unit, 0/1 */
- int port; /* controller base port */
- int attached; /* the drive is attached */
-};
-
-struct buf;
-struct dmy;
-typedef void atapi_callback_t(struct dmy *, struct buf *, int, struct atapires);
-
-struct atapicmd { /* ATAPI command block */
- struct atapicmd *next; /* next command in queue */
- int busy; /* busy flag */
- u_char cmd[16]; /* command and args */
- int unit; /* drive unit number */
- int count; /* byte count, >0 - read, <0 - write */
- char *addr; /* data to transfer */
- atapi_callback_t *callback; /* call when done */
- void *cbarg1; /* callback arg 1 */
- void *cbarg2; /* callback arg 1 */
- struct atapires result; /* resulting error code */
-};
-
-struct atapi { /* ATAPI controller data */
- u_short port; /* i/o port base */
- u_char ctrlr; /* physical controller number */
- u_char debug : 1; /* trace enable flag */
- u_char cmd16 : 1; /* 16-byte command flag */
- u_char intrcmd : 1; /* interrupt before cmd flag */
- u_char slow : 1; /* slow reaction device */
- u_char accel : 1; /* accelerated reaction device */
- u_char use_dsc : 1; /* use DSC completition handeling */
- u_char wait_for_dsc : 1;
- u_int dsc_timeout;
- u_char attached[2]; /* units are attached to subdrivers */
- struct atapi_params *params[2]; /* params for units 0,1 */
- struct atapicmd *queue; /* queue of commands to perform */
- struct atapicmd *tail; /* tail of queue */
- struct atapicmd *free; /* queue of free command blocks */
- struct atapicmd cmdrq[16]; /* pool of command requests */
-};
-
-#ifdef _KERNEL
-struct atapi;
-
-extern struct atapidrv atapi_drvtab[4]; /* delayed attach info */
-extern int atapi_ndrv; /* the number of potential drives */
-extern struct atapi *atapi_tab; /* the table of atapi controllers */
-
-int atapi_attach (int ctlr, int unit, int port);
-int atapi_start (int ctrlr);
-int atapi_intr (int ctrlr);
-void atapi_debug (struct atapi *ata, int on);
-struct atapires atapi_request_wait (struct atapi *ata, int unit,
- u_char cmd, u_char a1, u_char a2, u_char a3, u_char a4,
- u_char a5, u_char a6, u_char a7, u_char a8, u_char a9,
- u_char a10, u_char a11, u_char a12, u_char a13, u_char a14, u_char a15,
- char *addr, int count);
-void atapi_request_callback (struct atapi *ata, int unit,
- u_char cmd, u_char a1, u_char a2, u_char a3, u_char a4,
- u_char a5, u_char a6, u_char a7, u_char a8, u_char a9,
- u_char a10, u_char a11, u_char a12, u_char a13, u_char a14, u_char a15,
- char *addr, int count, atapi_callback_t *done, void *x, void *y);
-struct atapires atapi_request_immediate (struct atapi *ata, int unit,
- u_char cmd, u_char a1, u_char a2, u_char a3, u_char a4,
- u_char a5, u_char a6, u_char a7, u_char a8, u_char a9,
- u_char a10, u_char a11, u_char a12, u_char a13, u_char a14, u_char a15,
- char *addr, int count);
-#endif
diff --git a/sys/pc98/pc98/wd.c b/sys/pc98/pc98/wd.c
deleted file mode 100644
index 6d4d90f..0000000
--- a/sys/pc98/pc98/wd.c
+++ /dev/null
@@ -1,2065 +0,0 @@
-/*-
- * Copyright (c) 1990 The Regents of the University of California.
- * All rights reserved.
- *
- * This code is derived from software contributed to Berkeley by
- * William Jolitz.
- *
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions
- * are met:
- * 1. Redistributions of source code must retain the above copyright
- * notice, this list of conditions and the following disclaimer.
- * 2. Redistributions in binary form must reproduce the above copyright
- * notice, this list of conditions and the following disclaimer in the
- * documentation and/or other materials provided with the distribution.
- * 4. Neither the name of the University nor the names of its contributors
- * may be used to endorse or promote products derived from this software
- * without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS ``AS IS'' AND
- * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
- * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
- * ARE DISCLAIMED. IN NO EVENT SHALL THE REGENTS OR CONTRIBUTORS BE LIABLE
- * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
- * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
- * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
- * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
- * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY
- * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
- * SUCH DAMAGE.
- *
- * from: @(#)wd.c 7.2 (Berkeley) 5/9/91
- * $FreeBSD$
- */
-
-/* TODO:
- * o Bump error count after timeout.
- * o Satisfy ATA timing in all cases.
- * o Finish merging berry/sos timeout code (bump error count...).
- * o Don't use polling except for initialization. Need to
- * reorganize the state machine. Then "extra" interrupts
- * shouldn't happen (except maybe one for initialization).
- * o Support extended DOS partitions.
- * o Support swapping to DOS partitions.
- * o Handle bad sectors, clustering, disklabelling, DOS
- * partitions and swapping driver-independently. Use
- * i386/dkbad.c for bad sectors. Swapping will need new
- * driver entries for polled reinit and polled write).
- */
-
-#include "opt_wdc.h"
-#undef NWD
-#define NWD (NWDC * 4) /* 4 drives per wdc on PC98 */
-
-#include <sys/param.h>
-#include <sys/systm.h>
-#include <sys/kernel.h>
-#include <sys/conf.h>
-#include <sys/bus.h>
-#include <sys/disk.h>
-#include <geom/geom_disk.h>
-#include <sys/bio.h>
-#include <sys/malloc.h>
-#include <machine/bootinfo.h>
-#include <sys/cons.h>
-#include <machine/md_var.h>
-#ifdef PC98
-#include <pc98/pc98/pc98.h>
-#include <pc98/pc98/pc98_machdep.h>
-#include <pc98/pc98/epsonio.h>
-#else
-#include <i386/isa/isa.h>
-#endif
-#include <i386/isa/isa_device.h>
-#include <pc98/pc98/wdreg.h>
-#include <sys/syslog.h>
-#include <vm/vm.h>
-#include <vm/pmap.h>
-
-#include <pc98/pc98/atapi.h>
-
-#ifndef COMPAT_OLDISA
-#error "The wdc device requires the old isa compatibility shims"
-#endif
-
-extern void wdstart(int ctrlr);
-
-#ifdef IDE_DELAY
-#define TIMEOUT IDE_DELAY
-#else
-#define TIMEOUT 10000
-#endif
-#define RETRIES 5 /* number of retries before giving up */
-#define RECOVERYTIME 500000 /* usec for controller to recover after err */
-#define MAXTRANSFER 255 /* max size of transfer in sectors */
- /* correct max is 256 but some controllers */
- /* can't handle that in all cases */
-#define WDOPT_32BIT 0x8000
-#define WDOPT_SLEEPHACK 0x4000
-#define WDOPT_DMA 0x2000
-#define WDOPT_LBA 0x1000
-#define WDOPT_FORCEHD(x) (((x)&0x0f00)>>8)
-#define WDOPT_MULTIMASK 0x00ff
-
-#ifdef PC98
-static __inline u_char
-epson_errorf(int wdc)
-{
- u_char wdc_error;
-
- outb(wdc, inb(0x82) | 0x40);
- wdc_error = (u_char)epson_inb(wdc);
- outb(wdc, inb(0x82) & ~0x40);
- return ((u_char)wdc_error);
-}
-#endif
-
-/*
- * Drive states. Used to initialize drive.
- */
-
-#define CLOSED 0 /* disk is closed. */
-#define WANTOPEN 1 /* open requested, not started */
-#define RECAL 2 /* doing restore */
-#define OPEN 3 /* done with open */
-
-#define PRIMARY 0
-
-/*
- * Disk geometry. A small part of struct disklabel.
- * XXX disklabel.5 contains an old clone of disklabel.h.
- */
-struct diskgeom {
- u_long d_secsize; /* # of bytes per sector */
- u_long d_nsectors; /* # of data sectors per track */
- u_long d_ntracks; /* # of tracks per cylinder */
- u_long d_ncylinders; /* # of data cylinders per unit */
- u_long d_secpercyl; /* # of data sectors per cylinder */
- u_long d_secperunit; /* # of data sectors per unit */
- u_long d_precompcyl; /* XXX always 0 */
-};
-
-/*
- * The structure of a disk drive.
- */
-struct softc {
- u_int dk_bc; /* byte count left */
- short dk_skip; /* blocks already transferred */
- int dk_ctrlr; /* physical controller number */
- int dk_ctrlr_cmd640;/* controller number for CMD640 quirk */
- u_int32_t dk_unit; /* physical unit number */
- u_int32_t dk_lunit; /* logical unit number */
- u_int32_t dk_interface; /* interface (two ctrlrs per interface) */
- char dk_state; /* control state */
- u_char dk_status; /* copy of status reg. */
- u_char dk_error; /* copy of error reg. */
- u_char dk_timeout; /* countdown to next timeout */
- u_int32_t dk_port; /* i/o port base */
- u_int32_t dk_altport; /* altstatus port base */
- u_long cfg_flags; /* configured characteristics */
- short dk_flags; /* drive characteristics found */
-#define DKFL_SINGLE 0x00004 /* sector at a time mode */
-#define DKFL_ERROR 0x00008 /* processing a disk error */
-#define DKFL_LABELLING 0x00080 /* readdisklabel() in progress */
-#define DKFL_32BIT 0x00100 /* use 32-bit i/o mode */
-#define DKFL_MULTI 0x00200 /* use multi-i/o mode */
-#define DKFL_BADSCAN 0x00400 /* report all errors */
-#define DKFL_USEDMA 0x00800 /* use DMA for data transfers */
-#define DKFL_DMA 0x01000 /* using DMA on this transfer-- DKFL_SINGLE
- * overrides this
- */
-#define DKFL_LBA 0x02000 /* use LBA for data transfers */
- struct wdparams dk_params; /* ESDI/IDE drive/controller parameters */
- unsigned int dk_multi; /* multi transfers */
- int dk_currentiosize; /* current io size */
- struct diskgeom dk_dd; /* device configuration data */
- struct diskslices *dk_slices; /* virtual drives */
- void *dk_dmacookie; /* handle for DMA services */
- struct disk *disk;
-};
-
-#define WD_COUNT_RETRIES
-static int wdtest = 0;
-
-static struct softc *wddrives[NWD]; /* table of units */
-static struct bio_queue_head drive_queue[NWD]; /* head of queue per drive */
-static struct {
- int b_active;
-} wdutab[NWD];
-/*
-static struct bio wdtab[NWDC];
-*/
-static struct {
- struct bio_queue_head controller_queue;
- int b_errcnt;
- int b_active;
-} wdtab[NWDC];
-
-struct wddma wddma[NWDC];
-
-#ifdef notyet
-static struct bio rwdbuf[NWD]; /* buffers for raw IO */
-#endif
-#ifdef PC98
-static short wd_ctlr;
-static int old_epson_note;
-#endif
-
-static int wdprobe(struct isa_device *dvp);
-static int wdattach(struct isa_device *dvp);
-static void wdustart(struct softc *du);
-static int wdcontrol(struct bio *bp);
-static int wdcommand(struct softc *du, u_int cylinder, u_int head,
- u_int sector, u_int count, u_int command);
-static int wdsetctlr(struct softc *du);
-#if 0
-static int wdwsetctlr(struct softc *du);
-#endif
-static int wdsetmode(int mode, void *wdinfo);
-static int wdgetctlr(struct softc *du);
-static void wderror(struct bio *bp, struct softc *du, char *mesg);
-static void wdflushirq(struct softc *du, int old_ipl);
-static int wdreset(struct softc *du);
-static void wdsleep(int ctrlr, char *wmesg);
-static disk_open_t wdopen;
-static disk_strategy_t wdstrategy;
-static timeout_t wdtimeout;
-static int wdunwedge(struct softc *du);
-static int wdwait(struct softc *du, u_char bits_wanted, int timeout);
-
-struct isa_driver wdcdriver = {
- INTR_TYPE_BIO,
- wdprobe,
- wdattach,
- "wdc",
-};
-COMPAT_ISA_DRIVER(wdc, wdcdriver);
-
-static int atapictrlr;
-static int eide_quirks;
-
-
-/*
- * Here we use the pci-subsystem to find out, whether there is
- * a cmd640b-chip attached on this pci-bus. This public routine
- * will be called by ide_pci.c
- */
-
-void
-wdc_pci(int quirks)
-{
- eide_quirks = quirks;
-}
-
-/*
- * Probe for controller.
- */
-static int
-wdprobe(struct isa_device *dvp)
-{
- int unit = dvp->id_unit;
- int interface;
- struct softc *du;
-
- if (unit >= NWDC)
- return (0);
-
- du = malloc(sizeof *du, M_TEMP, M_NOWAIT | M_ZERO);
- if (du == NULL)
- return (0);
- du->dk_ctrlr = dvp->id_unit;
- interface = du->dk_ctrlr / 2;
- du->dk_interface = interface;
- du->dk_port = dvp->id_iobase;
- if (wddma[interface].wdd_candma != NULL) {
- du->dk_dmacookie =
- wddma[interface].wdd_candma(dvp->id_iobase, du->dk_ctrlr,
- du->dk_unit);
- du->dk_altport =
- wddma[interface].wdd_altiobase(du->dk_dmacookie);
- }
- if (du->dk_altport == 0)
- du->dk_altport = du->dk_port + wd_ctlr;
-
- /* check if we have registers that work */
-#ifdef PC98
- /* XXX ATAPI support isn't imported */
- wd_ctlr = wd_ctlr_nec; /* wdreg.h */
- old_epson_note=0;
-
- if (pc98_machine_type & M_EPSON_PC98 ) {
- switch (epson_machine_id) {
- case 0x20: case 0x22: case 0x2a: /* note A/W/WR */
- du->dk_port = IO_WD1_EPSON; /* pc98.h */
- dvp->id_iobase = IO_WD1_EPSON; /* pc98.h */
- wd_ctlr = wd_ctlr_epson; /* wdreg.h */
- old_epson_note = 1; /* for OLD EPSON NOTE */
- break;
- default:
- break;
- }
- }
- du->dk_altport = du->dk_port + wd_ctlr;
-#if 0
- if ((PC98_SYSTEM_PARAMETER(0x55d) & 3) == 0) {
- goto nodevice;
- }
-#endif
- outb(0x432,(du->dk_unit)%2);
-#else /* IBM-PC */
- outb(du->dk_port + wd_sdh, WDSD_IBM); /* set unit 0 */
- outb(du->dk_port + wd_cyl_lo, 0xa5); /* wd_cyl_lo is read/write */
- if (inb(du->dk_port + wd_cyl_lo) == 0xff) { /* XXX too weak */
- /* There is no master, try the ATAPI slave. */
- du->dk_unit = 1;
- outb(du->dk_port + wd_sdh, WDSD_IBM | 0x10);
- outb(du->dk_port + wd_cyl_lo, 0xa5);
- if (inb(du->dk_port + wd_cyl_lo) == 0xff)
- goto nodevice;
- }
-#endif /* PC98 */
-
- if (wdreset(du) == 0)
- goto reset_ok;
- /* test for ATAPI signature */
- outb(du->dk_port + wd_sdh, WDSD_IBM); /* master */
- if (inb(du->dk_port + wd_cyl_lo) == 0x14 &&
- inb(du->dk_port + wd_cyl_hi) == 0xeb)
- goto reset_ok;
-#ifdef PC98
- du->dk_unit = 2;
-#else
- du->dk_unit = 1;
-#endif
- outb(du->dk_port + wd_sdh, WDSD_IBM | 0x10); /* slave */
- if (inb(du->dk_port + wd_cyl_lo) == 0x14 &&
- inb(du->dk_port + wd_cyl_hi) == 0xeb)
- goto reset_ok;
-#ifdef PC98
- du->dk_unit = 1;
- outb(0x432,(du->dk_unit)%2);
- if (wdreset(du) == 0)
- goto reset_ok;
- /* test for ATAPI signature */
- outb(du->dk_port + wd_sdh, WDSD_IBM); /* master */
- if (inb(du->dk_port + wd_cyl_lo) == 0x14 &&
- inb(du->dk_port + wd_cyl_hi) == 0xeb)
- goto reset_ok;
- du->dk_unit = 3;
- outb(du->dk_port + wd_sdh, WDSD_IBM | 0x10); /* slave */
- if (inb(du->dk_port + wd_cyl_lo) == 0x14 &&
- inb(du->dk_port + wd_cyl_hi) == 0xeb)
- goto reset_ok;
-#endif
- DELAY(RECOVERYTIME);
- if (wdreset(du) != 0) {
- goto nodevice;
- }
-reset_ok:
-
- /* execute a controller only command */
- if (wdcommand(du, 0, 0, 0, 0, WDCC_DIAGNOSE) != 0
- || wdwait(du, 0, TIMEOUT) < 0) {
- goto nodevice;
- }
-
- /*
- * drive(s) did not time out during diagnostic :
- * Get error status and check that both drives are OK.
- * Table 9-2 of ATA specs suggests that we must check for
- * a value of 0x01
- *
- * Strangely, some controllers will return a status of
- * 0x81 (drive 0 OK, drive 1 failure), and then when
- * the DRV bit is set, return status of 0x01 (OK) for
- * drive 2. (This seems to contradict the ATA spec.)
- */
- if (old_epson_note)
- du->dk_error = epson_errorf(du->dk_port + wd_error);
- else
- du->dk_error = inb(du->dk_port + wd_error);
-
- if(du->dk_error != 0x01 && du->dk_error != 0) {
- if(du->dk_error & 0x80) { /* drive 1 failure */
-
- /* first set the DRV bit */
- u_int sdh;
- if (old_epson_note)
- sdh = epson_inb(du->dk_port+ wd_sdh);
- else
- sdh = inb(du->dk_port+ wd_sdh);
- sdh = sdh | 0x10;
- if (old_epson_note)
- epson_outb(du->dk_port+ wd_sdh, sdh);
- else
- outb(du->dk_port+ wd_sdh, sdh);
-
- /* Wait, to make sure drv 1 has completed diags */
- if ( wdwait(du, 0, TIMEOUT) < 0)
- goto nodevice;
-
- /* Get status for drive 1 */
- if (old_epson_note)
- du->dk_error =
- epson_errorf(du->dk_port + wd_error);
- else
- du->dk_error = inb(du->dk_port + wd_error);
- /* printf("Error (drv 1) : %x\n", du->dk_error); */
- /*
- * Sometimes (apparently mostly with ATAPI
- * drives involved) 0x81 really means 0x81
- * (drive 0 OK, drive 1 failed).
- */
- if(du->dk_error != 0x01 && du->dk_error != 0x81)
- goto nodevice;
- } else /* drive 0 fail */
- goto nodevice;
- }
-
-
- free(du, M_TEMP);
- return (IO_WDCSIZE);
-
-nodevice:
- free(du, M_TEMP);
- return (0);
-}
-
-/*
- * Attach each drive if possible.
- */
-static int
-wdattach(struct isa_device *dvp)
-{
- int unit, lunit, flags, i;
- struct softc *du;
- struct wdparams *wp;
- static char buf[] = "wdcXXX";
- const char *dname;
-
- dvp->id_intr = wdintr;
-
- if (dvp->id_unit >= NWDC)
- return (0);
-
- if (eide_quirks & Q_CMD640B) {
- if (dvp->id_unit == PRIMARY) {
- printf("wdc0: CMD640B workaround enabled\n");
- bioq_init(&wdtab[PRIMARY].controller_queue);
- }
- } else
- bioq_init(&wdtab[dvp->id_unit].controller_queue);
-
- sprintf(buf, "wdc%d", dvp->id_unit);
- i = 0;
- while ((resource_find_match(&i, &dname, &lunit, "at", buf)) == 0) {
- if (strcmp(dname, "wd"))
- /* Avoid a bit of foot shooting. */
- continue;
-
- if (lunit >= NWD)
- continue;
-#ifdef PC98
- if ((lunit%2)!=0) {
- if ((PC98_SYSTEM_PARAMETER(0x457) & 0x40)==0) {
- continue;
- }
- }
-#endif
-
- if (resource_int_value("wd", lunit, "drive", &unit) != 0)
- continue;
- if (resource_int_value("wd", lunit, "flags", &flags) != 0)
- flags = 0;
-
- du = malloc(sizeof *du, M_TEMP, M_NOWAIT | M_ZERO);
- if (du == NULL)
- continue;
- if (wddrives[lunit] != NULL)
- panic("drive attached twice");
- wddrives[lunit] = du;
- bioq_init(&drive_queue[lunit]);
- du->dk_ctrlr = dvp->id_unit;
- if (eide_quirks & Q_CMD640B) {
- du->dk_ctrlr_cmd640 = PRIMARY;
- } else {
- du->dk_ctrlr_cmd640 = du->dk_ctrlr;
- }
- du->dk_unit = unit;
- du->dk_lunit = lunit;
- du->dk_port = dvp->id_iobase;
-
- du->dk_altport = du->dk_port + wd_ctlr;
- /*
- * Use the individual device flags or the controller
- * flags.
- */
- du->cfg_flags = flags |
- ((dvp->id_flags) >> (16 * unit));
-
- if (wdgetctlr(du) == 0) {
- /*
- * Print out description of drive.
- * wdp_model may not be null terminated.
- */
- printf("wdc%d: unit %d (wd%d): <%.*s>",
- dvp->id_unit, unit, lunit,
- (int)sizeof(du->dk_params.wdp_model),
- du->dk_params.wdp_model);
- if (du->dk_flags & DKFL_LBA)
- printf(", LBA");
- if (du->dk_flags & DKFL_USEDMA)
- printf(", DMA");
- if (du->dk_flags & DKFL_32BIT)
- printf(", 32-bit");
- if (du->dk_multi > 1)
- printf(", multi-block-%d", du->dk_multi);
- if (du->cfg_flags & WDOPT_SLEEPHACK)
- printf(", sleep-hack");
- printf("\n");
- if (du->dk_params.wdp_heads == 0)
- printf("wd%d: size unknown, using %s values\n",
- lunit, du->dk_dd.d_secperunit > 17
- ? "BIOS" : "fake");
- printf( "wd%d: %luMB (%lu sectors), "
- "%lu cyls, %lu heads, %lu S/T, %lu B/S\n",
- lunit,
- du->dk_dd.d_secperunit
- / ((1024L * 1024L) / du->dk_dd.d_secsize),
- du->dk_dd.d_secperunit,
- du->dk_dd.d_ncylinders,
- du->dk_dd.d_ntracks,
- du->dk_dd.d_nsectors,
- du->dk_dd.d_secsize);
-
- if (bootverbose) {
- wp = &du->dk_params;
- printf( "wd%d: ATA INQUIRE valid = %04x, "
- "dmamword = %04x, apio = %04x, "
- "udma = %04x\n",
- du->dk_lunit,
- wp->wdp_atavalid,
- wp->wdp_dmamword,
- wp->wdp_eidepiomodes,
- wp->wdp_udmamode);
- }
-
- /*
- * Start timeout routine for this drive.
- * XXX timeout should be per controller.
- */
- wdtimeout(du);
-
- /*
- * Register this media as a disk
- */
- du->disk = disk_alloc();
- du->disk->d_open = wdopen;
- du->disk->d_strategy = wdstrategy;
- du->disk->d_drv1 = du;
- du->disk->d_maxsize = 248 * 512;
- du->disk->d_name = "wd";
- du->disk->d_unit = lunit;
- du->disk->d_flags = DISKFLAG_NEEDSGIANT;
- disk_create(du->disk, DISK_VERSION);
-
- } else {
- free(du, M_TEMP);
- wddrives[lunit] = NULL;
- }
- }
- /*
- * Probe all free IDE units, searching for ATAPI drives.
- */
-#ifdef PC98
- for (unit=0; unit<4; ++unit) {
- outb(0x432,unit%2);
-#else
- for (unit=0; unit<2; ++unit) {
-#endif /* PC98 */
- for (lunit=0; lunit<NWD; ++lunit)
- if (wddrives[lunit] &&
- wddrives[lunit]->dk_ctrlr == dvp->id_unit &&
- wddrives[lunit]->dk_unit == unit)
- goto next;
- if (atapi_attach (dvp->id_unit, unit, dvp->id_iobase))
- atapictrlr = dvp->id_unit;
-next: ;
- }
- /*
- * Discard any interrupts generated by wdgetctlr(). wdflushirq()
- * doesn't work now because the ambient ipl is too high.
- */
- if (eide_quirks & Q_CMD640B) {
- wdtab[PRIMARY].b_active = 2;
- } else {
- wdtab[dvp->id_unit].b_active = 2;
- }
-
- return (1);
-}
-
-/* Read/write routine for a buffer. Finds the proper unit, range checks
- * arguments, and schedules the transfer. Does not wait for the transfer
- * to complete. Multi-page transfers are supported. All I/O requests must
- * be a multiple of a sector in length.
- */
-void
-wdstrategy(struct bio *bp)
-{
- struct softc *du;
- int lunit;
- int s;
-
- du = bp->bio_disk->d_drv1;
- if (du == NULL || bp->bio_pblkno < 0 ||
- bp->bio_bcount % DEV_BSIZE != 0) {
-
- bp->bio_error = EINVAL;
- bp->bio_flags |= BIO_ERROR;
- goto done;
- }
- lunit = du->dk_lunit;
-
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
-
- /* queue transfer on drive, activate drive and controller if idle */
- s = splbio();
-
- /* Pick up changes made by readdisklabel(). */
- if (du->dk_flags & DKFL_LABELLING && du->dk_state > RECAL) {
- wdsleep(du->dk_ctrlr, "wdlab");
- du->dk_state = WANTOPEN;
- }
-
- bioq_disksort(&drive_queue[lunit], bp);
-
- if (wdutab[lunit].b_active == 0)
- wdustart(du); /* start drive */
-
- if (wdtab[du->dk_ctrlr_cmd640].b_active == 0)
- wdstart(du->dk_ctrlr); /* start controller */
-
- splx(s);
- return;
-
-done:
- /* toss transfer, we're done early */
- biodone(bp);
-}
-
-/*
- * Routine to queue a command to the controller. The unit's
- * request is linked into the active list for the controller.
- * If the controller is idle, the transfer is started.
- */
-static void
-wdustart(register struct softc *du)
-{
- register struct bio *bp;
- int ctrlr = du->dk_ctrlr_cmd640;
-
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
- /* unit already active? */
- if (wdutab[du->dk_lunit].b_active)
- return;
-
-
- bp = bioq_takefirst(&drive_queue[du->dk_lunit]);
- if (bp == NULL)
- return;
- /*
- * store away which device we came from.
- */
- bp->bio_driver1 = du;
-
- /* link onto controller queue */
- bioq_insert_tail(&wdtab[ctrlr].controller_queue, bp);
-
- /* mark the drive unit as busy */
- wdutab[du->dk_lunit].b_active = 1;
-
-}
-
-/*
- * Controller startup routine. This does the calculation, and starts
- * a single-sector read or write operation. Called to start a transfer,
- * or from the interrupt routine to continue a multi-sector transfer.
- * RESTRICTIONS:
- * 1. The transfer length must be an exact multiple of the sector size.
- */
-
-void
-wdstart(int ctrlr)
-{
- register struct softc *du;
- register struct bio *bp;
- struct diskgeom *lp; /* XXX sic */
- long blknum;
- long secpertrk, secpercyl;
- u_int lunit;
- u_int count;
- int ctrlr_atapi;
-
- if (eide_quirks & Q_CMD640B) {
- ctrlr = PRIMARY;
- ctrlr_atapi = atapictrlr;
- } else {
- ctrlr_atapi = ctrlr;
- }
-
- if (wdtab[ctrlr].b_active == 2)
- wdtab[ctrlr].b_active = 0;
- if (wdtab[ctrlr].b_active)
- return;
- /* is there a drive for the controller to do a transfer with? */
- bp = bioq_first(&wdtab[ctrlr].controller_queue);
- if (bp == NULL) {
- if (atapi_start && atapi_start (ctrlr_atapi))
- /* mark controller active in ATAPI mode */
- wdtab[ctrlr].b_active = 3;
- return;
- }
-
- /* obtain controller and drive information */
- du = bp->bio_dev->si_drv1;
- lunit = du->dk_lunit;
-
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
-
- /* if not really a transfer, do control operations specially */
- if (du->dk_state < OPEN) {
- if (du->dk_state != WANTOPEN)
- printf("wd%d: wdstart: weird dk_state %d\n",
- du->dk_lunit, du->dk_state);
- if (wdcontrol(bp) != 0)
- printf("wd%d: wdstart: wdcontrol returned nonzero, state = %d\n",
- du->dk_lunit, du->dk_state);
- return;
- }
-
- /* calculate transfer details */
- blknum = bp->bio_pblkno + du->dk_skip;
-#ifdef WDDEBUG
- if (du->dk_skip == 0)
- printf("wd%d: wdstart: %s %d@%d; map ", lunit,
- (bp->bio_cmd == BIO_READ) ? "read" : "write",
- bp->bio_bcount, blknum);
- else {
- if (old_epson_note)
- printf(" %d)%x", du->dk_skip, epson_inb(du->dk_altport);
- else
- printf(" %d)%x", du->dk_skip, inb(du->dk_altport);
- }
-#endif
-
- lp = &du->dk_dd;
- secpertrk = lp->d_nsectors;
- secpercyl = lp->d_secpercyl;
-
- if (du->dk_skip == 0)
- du->dk_bc = bp->bio_bcount;
-
- wdtab[ctrlr].b_active = 1; /* mark controller active */
-
- /* if starting a multisector transfer, or doing single transfers */
- if (du->dk_skip == 0 || (du->dk_flags & DKFL_SINGLE)) {
- u_int command;
- u_int count1;
- long cylin, head, sector;
-
- if (du->dk_flags & DKFL_LBA) {
- sector = (blknum >> 0) & 0xff;
- cylin = (blknum >> 8) & 0xffff;
- head = ((blknum >> 24) & 0xf) | WDSD_LBA;
- } else {
- cylin = blknum / secpercyl;
- head = (blknum % secpercyl) / secpertrk;
- sector = blknum % secpertrk;
- }
- /*
- * XXX this looks like an attempt to skip bad sectors
- * on write.
- */
- if (wdtab[ctrlr].b_errcnt && (bp->bio_cmd == BIO_WRITE))
- du->dk_bc += DEV_BSIZE;
-
- count1 = howmany( du->dk_bc, DEV_BSIZE);
-
- du->dk_flags &= ~DKFL_MULTI;
-
- if (du->dk_flags & DKFL_SINGLE) {
- command = (bp->bio_cmd == BIO_READ)
- ? WDCC_READ : WDCC_WRITE;
- count1 = 1;
- du->dk_currentiosize = 1;
- } else {
- if((du->dk_flags & DKFL_USEDMA) &&
- wddma[du->dk_interface].wdd_dmaverify(du->dk_dmacookie,
- (void *)((int)bp->bio_data +
- du->dk_skip * DEV_BSIZE),
- du->dk_bc,
- bp->bio_cmd == BIO_READ)) {
- du->dk_flags |= DKFL_DMA;
- if(bp->bio_cmd == BIO_READ)
- command = WDCC_READ_DMA;
- else
- command = WDCC_WRITE_DMA;
- du->dk_currentiosize = count1;
- } else if( (count1 > 1) && (du->dk_multi > 1)) {
- du->dk_flags |= DKFL_MULTI;
- if(bp->bio_cmd == BIO_READ) {
- command = WDCC_READ_MULTI;
- } else {
- command = WDCC_WRITE_MULTI;
- }
- du->dk_currentiosize = du->dk_multi;
- if( du->dk_currentiosize > count1)
- du->dk_currentiosize = count1;
- } else {
- if(bp->bio_cmd == BIO_READ) {
- command = WDCC_READ;
- } else {
- command = WDCC_WRITE;
- }
- du->dk_currentiosize = 1;
- }
- }
-
- /*
- * XXX this loop may never terminate. The code to handle
- * counting down of retries and eventually failing the i/o
- * is in wdintr() and we can't get there from here.
- */
- if (wdtest != 0) {
- if (--wdtest == 0) {
- wdtest = 100;
- printf("dummy wdunwedge\n");
- wdunwedge(du);
- }
- }
-
- if ((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA) {
- wddma[du->dk_interface].wdd_dmaprep(du->dk_dmacookie,
- (void *)((int)bp->bio_data +
- du->dk_skip * DEV_BSIZE),
- du->dk_bc,
- bp->bio_cmd == BIO_READ);
- }
- while (wdcommand(du, cylin, head, sector, count1, command)
- != 0) {
- wderror(bp, du,
- "wdstart: timeout waiting to give command");
- wdunwedge(du);
- }
-#ifdef WDDEBUG
- printf("cylin %ld head %ld sector %ld addr %x sts ",
- cylin, head, sector,
- (int)bp->bio_data + du->dk_skip * DEV_BSIZE);
- if (old_epson_note)
- printf("%x\n", epson_inb(du->dk_altport));
- else
- printf("%x\n", inb(du->dk_altport));
-#endif
- }
-
- /*
- * Schedule wdtimeout() to wake up after a few seconds. Retrying
- * unmarked bad blocks can take 3 seconds! Then it is not good that
- * we retry 5 times.
- *
- * On the first try, we give it 10 seconds, for drives that may need
- * to spin up.
- *
- * XXX wdtimeout() doesn't increment the error count so we may loop
- * forever. More seriously, the loop isn't forever but causes a
- * crash.
- *
- * TODO fix b_resid bug elsewhere (fd.c....). Fix short but positive
- * counts being discarded after there is an error (in physio I
- * think). Discarding them would be OK if the (special) file offset
- * was not advanced.
- */
- if (wdtab[ctrlr].b_errcnt == 0)
- du->dk_timeout = 1 + 10;
- else
- du->dk_timeout = 1 + 3;
-
- /* if this is a DMA op, start DMA and go away until it's done. */
- if ((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA) {
- wddma[du->dk_interface].wdd_dmastart(du->dk_dmacookie);
- return;
- }
-
- /* If this is a read operation, just go away until it's done. */
- if (bp->bio_cmd == BIO_READ)
- return;
-
- /* Ready to send data? */
- if (wdwait(du, WDCS_READY | WDCS_SEEKCMPLT | WDCS_DRQ, TIMEOUT) < 0) {
- wderror(bp, du, "wdstart: timeout waiting for DRQ");
- /*
- * XXX what do we do now? If we've just issued the command,
- * then we can treat this failure the same as a command
- * failure. But if we are continuing a multi-sector write,
- * the command was issued ages ago, so we can't simply
- * restart it.
- *
- * XXX we waste a lot of time unnecessarily translating block
- * numbers to cylin/head/sector for continued i/o's.
- */
- }
-
- count = 1;
- if( du->dk_flags & DKFL_MULTI) {
- count = howmany(du->dk_bc, DEV_BSIZE);
- if( count > du->dk_multi)
- count = du->dk_multi;
- if( du->dk_currentiosize > count)
- du->dk_currentiosize = count;
- }
- if (!old_epson_note) {
- if (du->dk_flags & DKFL_32BIT)
- outsl(du->dk_port + wd_data,
- (void *)((int)bp->bio_data
- + du->dk_skip * DEV_BSIZE),
- (count * DEV_BSIZE) / sizeof(long));
- else
- outsw(du->dk_port + wd_data,
- (void *)((int)bp->bio_data
- + du->dk_skip * DEV_BSIZE),
- (count * DEV_BSIZE) / sizeof(short));
- }
- else
- epson_outsw(du->dk_port + wd_data,
- (void *)((int)bp->bio_data + du->dk_skip * DEV_BSIZE),
- (count * DEV_BSIZE) / sizeof(short));
-
- du->dk_bc -= DEV_BSIZE * count;
-}
-
-/* Interrupt routine for the controller. Acknowledge the interrupt, check for
- * errors on the current operation, mark it done if necessary, and start
- * the next request. Also check for a partially done transfer, and
- * continue with the next chunk if so.
- */
-
-void
-wdintr(void *unitnum)
-{
- register struct softc *du;
- register struct bio *bp;
- int dmastat = 0; /* Shut up GCC */
- int unit = (int)unitnum;
-
- int ctrlr_atapi;
-
- if (eide_quirks & Q_CMD640B) {
- unit = PRIMARY;
- ctrlr_atapi = atapictrlr;
- } else {
- ctrlr_atapi = unit;
- }
-
- if (wdtab[unit].b_active == 2)
- return; /* intr in wdflushirq() */
- if (!wdtab[unit].b_active) {
-#ifdef WDDEBUG
- /*
- * These happen mostly because the power-mgt part of the
- * bios shuts us down, and we just manage to see the
- * interrupt from the "SLEEP" command.
- */
- printf("wdc%d: extra interrupt\n", unit);
-#endif
- return;
- }
- if (wdtab[unit].b_active == 3) {
- /* process an ATAPI interrupt */
- if (atapi_intr && atapi_intr (ctrlr_atapi))
- /* ATAPI op continues */
- return;
- /* controller is free, start new op */
- wdtab[unit].b_active = 0;
- wdstart (unit);
- return;
- }
- bp = bioq_first(&wdtab[unit].controller_queue);
- du = bp->bio_dev->si_drv1;
-
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
- /* finish off DMA */
- if ((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA) {
- /* XXX SMP boxes sometimes generate an early intr. Why? */
- if ((wddma[du->dk_interface].wdd_dmastatus(du->dk_dmacookie) &
- WDDS_INTERRUPT) == 0)
- return;
- dmastat = wddma[du->dk_interface].wdd_dmadone(du->dk_dmacookie);
- }
-
- du->dk_timeout = 0;
-
- /* check drive status/failure */
- if (wdwait(du, 0, TIMEOUT) < 0) {
- wderror(bp, du, "wdintr: timeout waiting for status");
- du->dk_status |= WDCS_ERR; /* XXX */
- }
-
- /* is it not a transfer, but a control operation? */
- if (du->dk_state < OPEN) {
- wdtab[unit].b_active = 0;
- switch (wdcontrol(bp)) {
- case 0:
- return;
- case 1:
- wdstart(unit);
- return;
- case 2:
- goto done;
- }
- }
-
- /* have we an error? */
- if ((du->dk_status & (WDCS_ERR | WDCS_ECCCOR))
- || (((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA)
- && dmastat != WDDS_INTERRUPT)) {
-
- unsigned int errstat;
-oops:
- /*
- * XXX bogus inb() here
- */
- errstat = inb(du->dk_port + wd_error);
-
- if(((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA) &&
- (errstat & WDERR_ABORT)) {
- wderror(bp, du, "reverting to PIO mode");
- du->dk_flags &= ~DKFL_USEDMA;
- } else if((du->dk_flags & DKFL_MULTI) &&
- (errstat & WDERR_ABORT)) {
- wderror(bp, du, "reverting to non-multi sector mode");
- du->dk_multi = 1;
- }
-
- if (!(du->dk_status & (WDCS_ERR | WDCS_ECCCOR)) &&
- (((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA) &&
- (dmastat != WDDS_INTERRUPT)))
- printf("wd%d: DMA failure, DMA status %b\n",
- du->dk_lunit, dmastat, WDDS_BITS);
-#ifdef WDDEBUG
- wderror(bp, du, "wdintr");
-#endif
- if ((du->dk_flags & DKFL_SINGLE) == 0) {
- du->dk_flags |= DKFL_ERROR;
- goto outt;
- }
-
- if (du->dk_status & WDCS_ERR) {
- if (++wdtab[unit].b_errcnt < RETRIES) {
- wdtab[unit].b_active = 0;
- } else {
- wderror(bp, du, "hard error");
- bp->bio_error = EIO;
- bp->bio_flags |= BIO_ERROR; /* flag the error */
- }
- } else if (du->dk_status & WDCS_ECCCOR)
- wderror(bp, du, "soft ecc");
- }
-
- /*
- * If this was a successful read operation, fetch the data.
- */
- if (bp->bio_cmd == BIO_READ && !(bp->bio_flags & BIO_ERROR)
- && !((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA)
- && wdtab[unit].b_active) {
- u_int chk, dummy, multisize;
- multisize = chk = du->dk_currentiosize * DEV_BSIZE;
- if( du->dk_bc < chk) {
- chk = du->dk_bc;
- if( ((chk + DEV_BSIZE - 1) / DEV_BSIZE) < du->dk_currentiosize) {
- du->dk_currentiosize = (chk + DEV_BSIZE - 1) / DEV_BSIZE;
- multisize = du->dk_currentiosize * DEV_BSIZE;
- }
- }
-
- /* ready to receive data? */
- if ((du->dk_status & (WDCS_READY | WDCS_SEEKCMPLT | WDCS_DRQ))
- != (WDCS_READY | WDCS_SEEKCMPLT | WDCS_DRQ))
- wderror(bp, du, "wdintr: read intr arrived early");
- if (wdwait(du, WDCS_READY | WDCS_SEEKCMPLT | WDCS_DRQ, TIMEOUT) != 0) {
- wderror(bp, du, "wdintr: read error detected late");
- goto oops;
- }
-
- /* suck in data */
- if( du->dk_flags & DKFL_32BIT)
- insl(du->dk_port + wd_data,
- (void *)((int)bp->bio_data + du->dk_skip * DEV_BSIZE),
- chk / sizeof(long));
- else
- insw(du->dk_port + wd_data,
- (void *)((int)bp->bio_data + du->dk_skip * DEV_BSIZE),
- chk / sizeof(short));
- du->dk_bc -= chk;
-
- /* XXX for obsolete fractional sector reads. */
- while (chk < multisize) {
- insw(du->dk_port + wd_data, &dummy, 1);
- chk += sizeof(short);
- }
-
- }
-
- /* final cleanup on DMA */
- if (((bp->bio_flags & BIO_ERROR) == 0)
- && ((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA)
- && wdtab[unit].b_active) {
- int iosize;
-
- iosize = du->dk_currentiosize * DEV_BSIZE;
-
- du->dk_bc -= iosize;
-
- }
-
-outt:
- if (wdtab[unit].b_active) {
- if ((bp->bio_flags & BIO_ERROR) == 0) {
- du->dk_skip += du->dk_currentiosize;/* add to successful sectors */
- if (wdtab[unit].b_errcnt)
- wderror(bp, du, "soft error");
- wdtab[unit].b_errcnt = 0;
-
- /* see if more to transfer */
- if (du->dk_bc > 0 && (du->dk_flags & DKFL_ERROR) == 0) {
- if( (du->dk_flags & DKFL_SINGLE) ||
- (bp->bio_cmd == BIO_WRITE)) {
- wdtab[unit].b_active = 0;
- wdstart(unit);
- } else {
- du->dk_timeout = 1 + 3;
- }
- return; /* next chunk is started */
- } else if ((du->dk_flags & (DKFL_SINGLE | DKFL_ERROR))
- == DKFL_ERROR) {
- du->dk_skip = 0;
- du->dk_flags &= ~DKFL_ERROR;
- du->dk_flags |= DKFL_SINGLE;
- wdtab[unit].b_active = 0;
- wdstart(unit);
- return; /* redo xfer sector by sector */
- }
- }
-
-done: ;
- /* done with this transfer, with or without error */
- du->dk_flags &= ~(DKFL_SINGLE|DKFL_DMA);
- bioq_remove( &wdtab[unit].controller_queue, bp);
- wdtab[unit].b_errcnt = 0;
- bp->bio_resid = bp->bio_bcount - du->dk_skip * DEV_BSIZE;
- wdutab[du->dk_lunit].b_active = 0;
- du->dk_skip = 0;
- biodone(bp);
- }
-
- /* controller idle */
- wdtab[unit].b_active = 0;
-
- /* anything more on drive queue? */
- wdustart(du);
- /* anything more for controller to do? */
- wdstart(unit);
-}
-
-/*
- * Initialize a drive.
- */
-int
-wdopen(struct disk *dp)
-{
- register struct softc *du;
-
- du = dp->d_drv1;
- if (du == NULL)
- return (ENXIO);
-
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
-
- /* Finish flushing IRQs left over from wdattach(). */
- if (wdtab[du->dk_ctrlr_cmd640].b_active == 2)
- wdtab[du->dk_ctrlr_cmd640].b_active = 0;
-
- du->dk_flags &= ~DKFL_BADSCAN;
-
- /* spin waiting for anybody else reading the disk label */
- while (du->dk_flags & DKFL_LABELLING)
- tsleep((caddr_t)&du->dk_flags, PZERO - 1, "wdopen", 1);
-
- wdsleep(du->dk_ctrlr, "wdopn1");
- du->dk_flags |= DKFL_LABELLING;
- du->dk_state = WANTOPEN;
-
- du->disk->d_sectorsize = du->dk_dd.d_secsize;
- du->disk->d_mediasize = du->dk_dd.d_secperunit * du->dk_dd.d_secsize;
- du->disk->d_fwsectors = du->dk_dd.d_nsectors;
- du->disk->d_fwheads = du->dk_dd.d_ntracks;
-
- du->dk_flags &= ~DKFL_LABELLING;
- wdsleep(du->dk_ctrlr, "wdopn2");
-
- return 0;
-}
-
-/*
- * Implement operations other than read/write.
- * Called from wdstart or wdintr during opens.
- * Uses finite-state-machine to track progress of operation in progress.
- * Returns 0 if operation still in progress, 1 if completed, 2 if error.
- */
-static int
-wdcontrol(register struct bio *bp)
-{
- register struct softc *du;
- int ctrlr;
-
- du = bp->bio_dev->si_drv1;
- ctrlr = du->dk_ctrlr_cmd640;
-
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
-
- switch (du->dk_state) {
- case WANTOPEN:
-tryagainrecal:
- wdtab[ctrlr].b_active = 1;
- if (wdcommand(du, 0, 0, 0, 0, WDCC_RESTORE | WD_STEP) != 0) {
- wderror(bp, du, "wdcontrol: wdcommand failed");
- goto maybe_retry;
- }
- du->dk_state = RECAL;
- return (0);
- case RECAL:
- if (du->dk_status & WDCS_ERR || wdsetctlr(du) != 0) {
- wderror(bp, du, "wdcontrol: recal failed");
-maybe_retry:
- if (du->dk_status & WDCS_ERR)
- wdunwedge(du);
- du->dk_state = WANTOPEN;
- if (++wdtab[ctrlr].b_errcnt < RETRIES)
- goto tryagainrecal;
- bp->bio_error = ENXIO; /* XXX needs translation */
- bp->bio_flags |= BIO_ERROR;
- return (2);
- }
- wdtab[ctrlr].b_errcnt = 0;
- du->dk_state = OPEN;
- /*
- * The rest of the initialization can be done by normal
- * means.
- */
- return (1);
- }
- panic("wdcontrol");
- return (2);
-}
-
-/*
- * Wait uninterruptibly until controller is not busy, then send it a command.
- * The wait usually terminates immediately because we waited for the previous
- * command to terminate.
- */
-static int
-wdcommand(struct softc *du, u_int cylinder, u_int head, u_int sector,
- u_int count, u_int command)
-{
- u_int wdc;
-#ifdef PC98
- unsigned char u_addr;
-#endif
-
- wdc = du->dk_port;
- if (du->cfg_flags & WDOPT_SLEEPHACK) {
- /* OK, so the APM bios has put the disk into SLEEP mode,
- * how can we tell ? Uhm, we can't. There is no
- * standardized way of finding out, and the only way to
- * wake it up is to reset it. Bummer.
- *
- * All the many and varied versions of the IDE/ATA standard
- * explicitly tells us not to look at these registers if
- * the disk is in SLEEP mode. Well, too bad really, we
- * have to find out if it's in sleep mode before we can
- * avoid reading the registers.
- *
- * I have reason to belive that most disks will return
- * either 0xff or 0x00 in all but the status register
- * when in SLEEP mode, but I have yet to see one return
- * 0x00, so we don't check for that yet.
- *
- * The check for WDCS_BUSY is for the case where the
- * bios spins up the disk for us, but doesn't initialize
- * it correctly /phk
- */
- if (old_epson_note) {
- if(epson_inb(wdc + wd_precomp) + epson_inb(wdc + wd_cyl_lo) +
- epson_inb(wdc + wd_cyl_hi) + epson_inb(wdc + wd_sdh) +
- epson_inb(wdc + wd_sector) +
- epson_inb(wdc + wd_seccnt) == 6 * 0xff) {
- if (bootverbose)
- printf("wd(%d,%d): disk aSLEEP\n",
- du->dk_ctrlr, du->dk_unit);
- wdunwedge(du);
- } else if(epson_inb(wdc + wd_status) == WDCS_BUSY) {
- if (bootverbose)
- printf("wd(%d,%d): disk is BUSY\n",
- du->dk_ctrlr, du->dk_unit);
- wdunwedge(du);
- }
- } else {
- if(inb(wdc + wd_precomp) + inb(wdc + wd_cyl_lo) +
- inb(wdc + wd_cyl_hi) + inb(wdc + wd_sdh) +
- inb(wdc + wd_sector) + inb(wdc + wd_seccnt) == 6 * 0xff) {
- if (bootverbose)
- printf("wd(%d,%d): disk aSLEEP\n",
- du->dk_ctrlr, du->dk_unit);
- wdunwedge(du);
- } else if(inb(wdc + wd_status) == WDCS_BUSY) {
- if (bootverbose)
- printf("wd(%d,%d): disk is BUSY\n",
- du->dk_ctrlr, du->dk_unit);
- wdunwedge(du);
- }
- }
- }
-
- if (wdwait(du, 0, TIMEOUT) < 0)
- return (1);
-#ifdef PC98
-/* u_addr = (du->dk_unit & 0xfe); */
- u_addr = ((du->dk_unit)/2)<<4;
-#endif /* PC98 */
- if( command == WDCC_FEATURES) {
- if (old_epson_note)
- epson_outb(wdc + wd_features, count);
- else {
- outb(wdc + wd_sdh, WDSD_IBM | (du->dk_unit << 4) | head);
- outb(wdc + wd_features, count);
- if ( count == WDFEA_SETXFER )
- outb(wdc + wd_seccnt, sector);
- }
- } else {
- if (old_epson_note) {
- epson_outb(wdc + wd_precomp, du->dk_dd.d_precompcyl/4);
- epson_outb(wdc + wd_cyl_lo, cylinder);
- epson_outb(wdc + wd_cyl_hi, cylinder >> 8);
- epson_outb(wdc + wd_sdh, WDSD_IBM | u_addr | head);
- epson_outb(wdc + wd_sector, sector + 1);
- epson_outb(wdc + wd_seccnt, count);
- }
- else {
- outb(wdc + wd_precomp, du->dk_dd.d_precompcyl / 4);
- outb(wdc + wd_cyl_lo, cylinder);
- outb(wdc + wd_cyl_hi, cylinder >> 8);
-#ifdef PC98
- outb(wdc + wd_sdh, WDSD_IBM | u_addr | head);
-#else
- outb(wdc + wd_sdh, WDSD_IBM | (du->dk_unit<<4) | head);
-#endif
- if (head & WDSD_LBA)
- outb(wdc + wd_sector, sector);
- else
- outb(wdc + wd_sector, sector + 1);
- outb(wdc + wd_seccnt, count);
- }
- }
- if (wdwait(du, (command == WDCC_DIAGNOSE || command == WDCC_IDC)
- ? 0 : WDCS_READY, TIMEOUT) < 0)
- return (1);
- if (old_epson_note)
- epson_outb(wdc + wd_command, command);
- else
- outb(wdc + wd_command, command);
- return (0);
-}
-
-static void
-wdsetmulti(struct softc *du)
-{
- /*
- * The config option flags low 8 bits define the maximum multi-block
- * transfer size. If the user wants the maximum that the drive
- * is capable of, just set the low bits of the config option to
- * 0x00ff.
- */
- if ((du->cfg_flags & WDOPT_MULTIMASK) != 0 && (du->dk_multi > 1)) {
- int configval = du->cfg_flags & WDOPT_MULTIMASK;
- du->dk_multi = min(du->dk_multi, configval);
- if (wdcommand(du, 0, 0, 0, du->dk_multi, WDCC_SET_MULTI)) {
- du->dk_multi = 1;
- } else {
- if (wdwait(du, WDCS_READY, TIMEOUT) < 0) {
- du->dk_multi = 1;
- }
- }
- } else {
- du->dk_multi = 1;
- }
-}
-
-/*
- * issue IDC to drive to tell it just what geometry it is to be.
- */
-static int
-wdsetctlr(struct softc *du)
-{
- int error = 0;
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
-#ifdef WDDEBUG
- printf("wd(%d,%d): wdsetctlr: C %lu H %lu S %lu\n",
- du->dk_ctrlr, du->dk_unit,
- du->dk_dd.d_ncylinders, du->dk_dd.d_ntracks,
- du->dk_dd.d_nsectors);
-#endif
- if (!(du->dk_flags & DKFL_LBA)) {
- if (du->dk_dd.d_ntracks == 0 || du->dk_dd.d_ntracks > 16) {
- struct wdparams *wp;
-
- printf("wd%d: can't handle %lu heads from partition table ",
- du->dk_lunit, du->dk_dd.d_ntracks);
- /* obtain parameters */
- wp = &du->dk_params;
- if (wp->wdp_heads > 0 && wp->wdp_heads <= 16) {
- printf("(controller value %u restored)\n",
- wp->wdp_heads);
- du->dk_dd.d_ntracks = wp->wdp_heads;
- }
- else {
- printf("(truncating to 16)\n");
- du->dk_dd.d_ntracks = 16;
- }
- }
-
- if (du->dk_dd.d_nsectors == 0 || du->dk_dd.d_nsectors > 255) {
- printf("wd%d: cannot handle %lu sectors (max 255)\n",
- du->dk_lunit, du->dk_dd.d_nsectors);
- error = 1;
- }
- if (error) {
- wdtab[du->dk_ctrlr_cmd640].b_errcnt += RETRIES;
- return (1);
- }
- if (wdcommand(du, du->dk_dd.d_ncylinders, du->dk_dd.d_ntracks - 1, 0,
- du->dk_dd.d_nsectors, WDCC_IDC) != 0
- || wdwait(du, WDCS_READY, TIMEOUT) < 0) {
- wderror((struct bio *)NULL, du, "wdsetctlr failed");
- return (1);
- }
- }
-
- wdsetmulti(du);
-
-#ifdef NOTYET
-/* set read caching and write caching */
- wdcommand(du, 0, 0, 0, WDFEA_RCACHE, WDCC_FEATURES);
- wdwait(du, WDCS_READY, TIMEOUT);
-
- wdcommand(du, 0, 0, 0, WDFEA_WCACHE, WDCC_FEATURES);
- wdwait(du, WDCS_READY, TIMEOUT);
-#endif
-
- return (0);
-}
-
-#if 0
-/*
- * Wait until driver is inactive, then set up controller.
- */
-static int
-wdwsetctlr(struct softc *du)
-{
- int stat;
- int x;
-
- wdsleep(du->dk_ctrlr, "wdwset");
- x = splbio();
- stat = wdsetctlr(du);
- wdflushirq(du, x);
- splx(x);
- return (stat);
-}
-#endif
-
-/*
- * gross little callback function for wdddma interface. returns 1 for
- * success, 0 for failure.
- */
-static int
-wdsetmode(int mode, void *wdinfo)
-{
- int i;
- struct softc *du;
-
- du = wdinfo;
- if (bootverbose)
- printf("wd%d: wdsetmode() setting transfer mode to %02x\n",
- du->dk_lunit, mode);
- i = wdcommand(du, 0, 0, mode, WDFEA_SETXFER,
- WDCC_FEATURES) == 0 &&
- wdwait(du, WDCS_READY, TIMEOUT) == 0;
- return i;
-}
-
-/*
- * issue READP to drive to ask it what it is.
- */
-static int
-wdgetctlr(struct softc *du)
-{
- int i;
- char tb[DEV_BSIZE], tb2[DEV_BSIZE];
- struct wdparams *wp = NULL;
- u_long flags = du->cfg_flags;
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
-
-again:
- if (wdcommand(du, 0, 0, 0, 0, WDCC_READP) != 0
- || wdwait(du, WDCS_READY | WDCS_SEEKCMPLT | WDCS_DRQ, TIMEOUT) != 0) {
-
-#ifdef PC98
- if ( du->dk_unit > 1 )
- return(1);
-#endif
- /*
- * if we failed on the second try, assume non-32bit
- */
- if( du->dk_flags & DKFL_32BIT)
- goto failed;
-
- /* XXX need to check error status after final transfer. */
- /*
- * Old drives don't support WDCC_READP. Try a seek to 0.
- * Some IDE controllers return trash if there is no drive
- * attached, so first test that the drive can be selected.
- * This also avoids long waits for nonexistent drives.
- */
- if (wdwait(du, 0, TIMEOUT) < 0)
- return (1);
- if (old_epson_note) {
- epson_outb(du->dk_port + wd_sdh,
- WDSD_IBM | (du->dk_unit << 4));
- DELAY(5000); /* usually unnecessary; drive select is fast */
- if ((epson_inb(du->dk_port + wd_status)
- & (WDCS_BUSY | WDCS_READY))
- != WDCS_READY
- || wdcommand(du, 0, 0, 0, 0, WDCC_RESTORE | WD_STEP) != 0
- || wdwait(du, WDCS_READY | WDCS_SEEKCMPLT, TIMEOUT) != 0)
- return (1);
- }
- else {
- outb(du->dk_port + wd_sdh, WDSD_IBM | (du->dk_unit << 4));
- DELAY(5000); /* usually unnecessary; drive select is fast */
- /*
- * Do this twice: may get a false WDCS_READY the first time.
- */
- inb(du->dk_port + wd_status);
- if ((inb(du->dk_port + wd_status) & (WDCS_BUSY | WDCS_READY))
- != WDCS_READY
- || wdcommand(du, 0, 0, 0, 0, WDCC_RESTORE | WD_STEP) != 0
- || wdwait(du, WDCS_READY | WDCS_SEEKCMPLT, TIMEOUT) != 0)
- return (1);
- }
- if (du->dk_unit == bootinfo.bi_n_bios_used) {
- du->dk_dd.d_secsize = DEV_BSIZE;
- du->dk_dd.d_nsectors =
- bootinfo.bi_bios_geom[du->dk_unit] & 0xff;
- du->dk_dd.d_ntracks =
- ((bootinfo.bi_bios_geom[du->dk_unit] >> 8) & 0xff)
- + 1;
- /* XXX Why 2 ? */
- du->dk_dd.d_ncylinders =
- (bootinfo.bi_bios_geom[du->dk_unit] >> 16) + 2;
- du->dk_dd.d_secpercyl =
- du->dk_dd.d_ntracks * du->dk_dd.d_nsectors;
- du->dk_dd.d_secperunit =
- du->dk_dd.d_secpercyl * du->dk_dd.d_ncylinders;
-#if 0
- du->dk_dd.d_partitions[WDRAW].p_size =
- du->dk_dd.d_secperunit;
- du->dk_dd.d_type = DTYPE_ST506;
- du->dk_dd.d_subtype |= DSTYPE_GEOMETRY;
- strncpy(du->dk_dd.d_typename, "Bios geometry",
- sizeof du->dk_dd.d_typename);
- strncpy(du->dk_params.wdp_model, "ST506",
- sizeof du->dk_params.wdp_model);
-#endif
- bootinfo.bi_n_bios_used ++;
- return 0;
- }
- /*
- * Fake minimal drive geometry for reading the MBR.
- * readdisklabel() may enlarge it to read the label and the
- * bad sector table.
- */
- du->dk_dd.d_secsize = DEV_BSIZE;
- du->dk_dd.d_nsectors = 17;
- du->dk_dd.d_ntracks = 1;
- du->dk_dd.d_ncylinders = 1;
- du->dk_dd.d_secpercyl = 17;
- du->dk_dd.d_secperunit = 17;
-
-#if 0
- /*
- * Fake maximal drive size for writing the label.
- */
- du->dk_dd.d_partitions[RAW_PART].p_size = 64 * 16 * 1024;
-
- /*
- * Fake some more of the label for printing by disklabel(1)
- * in case there is no real label.
- */
- du->dk_dd.d_type = DTYPE_ST506;
- du->dk_dd.d_subtype |= DSTYPE_GEOMETRY;
- strncpy(du->dk_dd.d_typename, "Fake geometry",
- sizeof du->dk_dd.d_typename);
-#endif
-
- /* Fake the model name for printing by wdattach(). */
- strncpy(du->dk_params.wdp_model, "unknown",
- sizeof du->dk_params.wdp_model);
-
- return (0);
- }
-
- /* obtain parameters */
- wp = &du->dk_params;
- if (!old_epson_note) {
- if (du->dk_flags & DKFL_32BIT)
- insl(du->dk_port + wd_data, tb,
- sizeof(tb) / sizeof(long));
- else
- insw(du->dk_port + wd_data, tb,
- sizeof(tb) / sizeof(short));
- }
- else
- epson_insw(du->dk_port + wd_data, tb,
- sizeof(tb) / sizeof(short));
-
- /* try 32-bit data path (VLB IDE controller) */
- if (flags & WDOPT_32BIT) {
- if (! (du->dk_flags & DKFL_32BIT)) {
- bcopy(tb, tb2, sizeof(struct wdparams));
- du->dk_flags |= DKFL_32BIT;
- goto again;
- }
-
- /* check that we really have 32-bit controller */
- if (bcmp (tb, tb2, sizeof(struct wdparams)) != 0) {
-failed:
- /* test failed, use 16-bit i/o mode */
- bcopy(tb2, tb, sizeof(struct wdparams));
- du->dk_flags &= ~DKFL_32BIT;
- }
- }
-
- bcopy(tb, wp, sizeof(struct wdparams));
-
- /* shuffle string byte order */
- for (i = 0; (unsigned)i < sizeof(wp->wdp_model); i += 2) {
- u_short *p;
-
- p = (u_short *) (wp->wdp_model + i);
- *p = ntohs(*p);
- }
- /*
- * Clean up the wdp_model by converting nulls to spaces, and
- * then removing the trailing spaces.
- */
- for (i = 0; (unsigned)i < sizeof(wp->wdp_model); i++) {
- if (wp->wdp_model[i] == '\0') {
- wp->wdp_model[i] = ' ';
- }
- }
- for (i = sizeof(wp->wdp_model) - 1;
- (i >= 0 && wp->wdp_model[i] == ' '); i--) {
- wp->wdp_model[i] = '\0';
- }
-
- /*
- * find out the drives maximum multi-block transfer capability
- */
- du->dk_multi = wp->wdp_nsecperint & 0xff;
- wdsetmulti(du);
-
- /*
- * check drive's DMA capability
- */
- if (wddma[du->dk_interface].wdd_candma) {
- du->dk_dmacookie = wddma[du->dk_interface].wdd_candma(
- du->dk_port, du->dk_ctrlr, du->dk_unit);
- /* does user want this? */
- if ((du->cfg_flags & WDOPT_DMA) &&
- /* have we got a DMA controller? */
- du->dk_dmacookie &&
- /* can said drive do DMA? */
- wddma[du->dk_interface].wdd_dmainit(du->dk_dmacookie, wp, wdsetmode, du)) {
- du->dk_flags |= DKFL_USEDMA;
- }
- } else {
- du->dk_dmacookie = NULL;
- }
-
-#ifdef WDDEBUG
- printf(
-"\nwd(%d,%d): wdgetctlr: gc %x cyl %d trk %d sec %d type %d sz %d model %s\n",
- du->dk_ctrlr, du->dk_unit, wp->wdp_config, wp->wdp_cylinders,
- wp->wdp_heads, wp->wdp_sectors, wp->wdp_buffertype,
- wp->wdp_buffersize, wp->wdp_model);
-#endif
-#ifdef PC98
- /* for larger than 40MB */
- {
- long cyl = wp->wdp_cylinders * wp->wdp_heads * wp->wdp_sectors;
-
- if ( du->dk_unit > 1 ) {
- wp->wdp_sectors = 17;
- wp->wdp_heads = 8;
- } else {
- wp->wdp_sectors = bootinfo.bi_bios_geom[du->dk_unit] & 0xff;
- wp->wdp_heads = (bootinfo.bi_bios_geom[du->dk_unit] >> 8) & 0xff;
- }
-
- wp->wdp_cylinders = cyl / (wp->wdp_heads * wp->wdp_sectors);
- }
-#endif
-
- /* update disklabel given drive information */
- du->dk_dd.d_secsize = DEV_BSIZE;
- if ((du->cfg_flags & WDOPT_LBA) && wp->wdp_lbasize) {
- du->dk_dd.d_nsectors = 63;
- if (wp->wdp_lbasize < 16*63*1024) { /* <=528.4 MB */
- du->dk_dd.d_ntracks = 16;
- }
- else if (wp->wdp_lbasize < 32*63*1024) { /* <=1.057 GB */
- du->dk_dd.d_ntracks = 32;
- }
- else if (wp->wdp_lbasize < 64*63*1024) { /* <=2.114 GB */
- du->dk_dd.d_ntracks = 64;
- }
- else if (wp->wdp_lbasize < 128*63*1024) { /* <=4.228 GB */
- du->dk_dd.d_ntracks = 128;
- }
- else if (wp->wdp_lbasize < 255*63*1024) { /* <=8.422 GB */
- du->dk_dd.d_ntracks = 255;
- }
- else { /* >8.422 GB */
- du->dk_dd.d_ntracks = 255; /* XXX */
- }
- du->dk_dd.d_secpercyl= du->dk_dd.d_ntracks*du->dk_dd.d_nsectors;
- du->dk_dd.d_ncylinders = wp->wdp_lbasize/du->dk_dd.d_secpercyl;
- du->dk_dd.d_secperunit = wp->wdp_lbasize;
- du->dk_flags |= DKFL_LBA;
- }
- else {
- du->dk_dd.d_ncylinders = wp->wdp_cylinders; /* +- 1 */
- du->dk_dd.d_ntracks = wp->wdp_heads;
- du->dk_dd.d_nsectors = wp->wdp_sectors;
- du->dk_dd.d_secpercyl =
- du->dk_dd.d_ntracks * du->dk_dd.d_nsectors;
- du->dk_dd.d_secperunit =
- du->dk_dd.d_secpercyl * du->dk_dd.d_ncylinders;
- if (wp->wdp_cylinders == 16383 &&
- du->dk_dd.d_secperunit < wp->wdp_lbasize) {
- du->dk_dd.d_secperunit = wp->wdp_lbasize;
- du->dk_dd.d_ncylinders =
- du->dk_dd.d_secperunit / du->dk_dd.d_secpercyl;
- }
- }
- if (WDOPT_FORCEHD(du->cfg_flags)) {
- du->dk_dd.d_ntracks = WDOPT_FORCEHD(du->cfg_flags);
- du->dk_dd.d_secpercyl =
- du->dk_dd.d_ntracks * du->dk_dd.d_nsectors;
- du->dk_dd.d_ncylinders =
- du->dk_dd.d_secperunit / du->dk_dd.d_secpercyl;
- }
- if (du->dk_dd.d_ncylinders > 0x10000 && !(du->cfg_flags & WDOPT_LBA)) {
- du->dk_dd.d_ncylinders = 0x10000;
- du->dk_dd.d_secperunit = du->dk_dd.d_secpercyl *
- du->dk_dd.d_ncylinders;
- printf(
- "wd%d: cannot handle %d total sectors; truncating to %lu\n",
- du->dk_lunit, wp->wdp_lbasize, du->dk_dd.d_secperunit);
- }
-#if 0
- du->dk_dd.d_partitions[RAW_PART].p_size = du->dk_dd.d_secperunit;
- /* dubious ... */
- bcopy("ESDI/IDE", du->dk_dd.d_typename, 9);
- bcopy(wp->wdp_model + 20, du->dk_dd.d_packname, 14 - 1);
- /* better ... */
- du->dk_dd.d_type = DTYPE_ESDI;
- du->dk_dd.d_subtype |= DSTYPE_GEOMETRY;
-#endif
-
- return (0);
-}
-
-static void
-wderror(struct bio *bp, struct softc *du, char *mesg)
-{
- if (bp == NULL)
- printf("wd%d: %s", du->dk_lunit, mesg);
- else
- disk_err(bp, mesg, du->dk_skip, 0);
- printf(" (status %b error %b)\n",
- du->dk_status, WDCS_BITS, du->dk_error, WDERR_BITS);
-}
-
-/*
- * Discard any interrupts that were latched by the interrupt system while
- * we were doing polled i/o.
- */
-static void
-wdflushirq(struct softc *du, int old_ipl)
-{
- wdtab[du->dk_ctrlr_cmd640].b_active = 2;
- splx(old_ipl);
- (void)splbio();
- wdtab[du->dk_ctrlr_cmd640].b_active = 0;
-}
-
-/*
- * Reset the controller.
- */
-static int
-wdreset(struct softc *du)
-{
- int err = 0;
-
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
- if ((du->dk_flags & (DKFL_DMA|DKFL_SINGLE)) == DKFL_DMA)
- wddma[du->dk_interface].wdd_dmadone(du->dk_dmacookie);
- (void)wdwait(du, 0, TIMEOUT);
-#ifdef PC98
- if (old_epson_note) {
- epson_outb(du->dk_altport, WDCTL_IDS | WDCTL_RST);
- DELAY(10 * 1000);
- epson_outb(du->dk_altport, WDCTL_IDS);
- if (wdwait(du, WDCS_READY | WDCS_SEEKCMPLT, TIMEOUT) != 0
- || (du->dk_error = epson_errorf(du->dk_port + wd_error)) != 0x01)
- return (1);
- epson_outb(du->dk_altport, WDCTL_4BIT);
- err = 0;
- }
- else {
-#endif
- outb(du->dk_altport, WDCTL_IDS | WDCTL_RST);
- DELAY(10 * 1000);
- outb(du->dk_altport, WDCTL_IDS);
- outb(du->dk_port + wd_sdh, WDSD_IBM | (du->dk_unit << 4));
- if (wdwait(du, 0, TIMEOUT) != 0)
- err = 1; /* no IDE drive found */
- du->dk_error = inb(du->dk_port + wd_error);
- if (du->dk_error != 0x01)
- err = 1; /* the drive is incompatible */
- outb(du->dk_altport, WDCTL_4BIT);
-#ifdef PC98
- }
-#endif
- return (err);
-}
-
-/*
- * Sleep until driver is inactive.
- * This is used only for avoiding rare race conditions, so it is unimportant
- * that the sleep may be far too short or too long.
- */
-static void
-wdsleep(int ctrlr, char *wmesg)
-{
- int s = splbio();
- if (eide_quirks & Q_CMD640B)
- ctrlr = PRIMARY;
- while (wdtab[ctrlr].b_active)
- tsleep((caddr_t)&wdtab[ctrlr].b_active, PZERO - 1, wmesg, 1);
- splx(s);
-}
-
-static void
-wdtimeout(void *cdu)
-{
- struct softc *du;
- int x;
- static int timeouts;
-
- du = (struct softc *)cdu;
- x = splbio();
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
- if (du->dk_timeout != 0 && --du->dk_timeout == 0) {
- if(timeouts++ <= 5) {
- char *msg;
-
- msg = (timeouts > 5) ?
-"Last time I say: interrupt timeout. Probably a portable PC." :
-"interrupt timeout";
- wderror((struct bio *)NULL, du, msg);
- if (du->dk_dmacookie)
- printf("wd%d: wdtimeout() DMA status %b\n",
- du->dk_lunit,
- wddma[du->dk_interface].wdd_dmastatus(du->dk_dmacookie),
- WDDS_BITS);
- }
- wdunwedge(du);
- wdflushirq(du, x);
- du->dk_skip = 0;
- du->dk_flags |= DKFL_SINGLE;
- wdstart(du->dk_ctrlr);
- }
- timeout(wdtimeout, cdu, hz);
- splx(x);
-}
-
-/*
- * Reset the controller after it has become wedged. This is different from
- * wdreset() so that wdreset() can be used in the probe and so that this
- * can restore the geometry .
- */
-static int
-wdunwedge(struct softc *du)
-{
- struct softc *du1;
- int lunit;
-
-#ifdef PC98
- outb(0x432,(du->dk_unit)%2);
-#endif
-
- /* Schedule other drives for recalibration. */
- for (lunit = 0; lunit < NWD; lunit++)
- if ((du1 = wddrives[lunit]) != NULL && du1 != du
- && du1->dk_ctrlr == du->dk_ctrlr
- && du1->dk_state > WANTOPEN)
- du1->dk_state = WANTOPEN;
-
- DELAY(RECOVERYTIME);
- if (wdreset(du) == 0) {
- /*
- * XXX - recalibrate current drive now because some callers
- * aren't prepared to have its state change.
- */
- if (wdcommand(du, 0, 0, 0, 0, WDCC_RESTORE | WD_STEP) == 0
- && wdwait(du, WDCS_READY | WDCS_SEEKCMPLT, TIMEOUT) == 0
- && wdsetctlr(du) == 0)
- return (0);
- }
- wderror((struct bio *)NULL, du, "wdunwedge failed");
- return (1);
-}
-
-/*
- * Wait uninterruptibly until controller is not busy and either certain
- * status bits are set or an error has occurred.
- * The wait is usually short unless it is for the controller to process
- * an entire critical command.
- * Return 1 for (possibly stale) controller errors, -1 for timeout errors,
- * or 0 for no errors.
- * Return controller status in du->dk_status and, if there was a controller
- * error, return the error code in du->dk_error.
- */
-#ifdef WD_COUNT_RETRIES
-static int min_retries[NWDC];
-#endif
-
-static int
-wdwait(struct softc *du, u_char bits_wanted, int timeout)
-{
- int wdc;
- u_char status;
-
-#define POLLING 1000
-
- wdc = du->dk_port;
- timeout += POLLING;
-
-/*
- * This delay is really too long, but does not impact the performance
- * as much when using the multi-sector option. Shorter delays have
- * caused I/O errors on some drives and system configs. This should
- * probably be fixed if we develop a better short term delay mechanism.
- */
- DELAY(1);
-
- do {
-#ifdef WD_COUNT_RETRIES
- if (min_retries[du->dk_ctrlr] > timeout
- || min_retries[du->dk_ctrlr] == 0)
- min_retries[du->dk_ctrlr] = timeout;
-#endif
-#ifdef PC98
- if (old_epson_note)
- du->dk_status = status = epson_inb(wdc + wd_status);
- else
- du->dk_status = status = inb(wdc + wd_status);
-#else
- du->dk_status = status = inb(wdc + wd_status);
-#endif
- /*
- * Atapi drives have a very interesting feature, when attached
- * as a slave on the IDE bus, and there is no master.
- * They release the bus after getting the command.
- * We should reselect the drive here to get the status.
- */
- if (status == 0xff) {
- outb(wdc + wd_sdh, WDSD_IBM | du->dk_unit << 4);
- du->dk_status = status = inb(wdc + wd_status);
- }
- if (!(status & WDCS_BUSY)) {
- if (status & WDCS_ERR) {
- if (old_epson_note)
- du->dk_error = epson_errorf(wdc + wd_error);
- else
- du->dk_error = inb(wdc + wd_error);
- /*
- * We once returned here. This is wrong
- * because the error bit is apparently only
- * valid after the controller has interrupted
- * (e.g., the error bit is stale when we wait
- * for DRQ for writes). So we can't depend
- * on the error bit at all when polling for
- * command completion.
- */
- }
- if ((status & bits_wanted) == bits_wanted) {
- return (status & WDCS_ERR);
- }
- }
- if (timeout < TIMEOUT)
- /*
- * Switch to a polling rate of about 1 KHz so that
- * the timeout is almost machine-independent. The
- * controller is taking a long time to respond, so
- * an extra msec won't matter.
- */
- DELAY(1000);
- else
- DELAY(1);
- } while (--timeout != 0);
- return (-1);
-}
diff --git a/sys/pc98/pc98/wd_cd.c b/sys/pc98/pc98/wd_cd.c
deleted file mode 100644
index dbf3599..0000000
--- a/sys/pc98/pc98/wd_cd.c
+++ /dev/null
@@ -1,1433 +0,0 @@
-/*-
- * Copyright (c) 1998, 1999 Sen Schmidt
- * All rights reserved.
- *
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions
- * are met:
- * 1. Redistributions of source code must retain the above copyright
- * notice, this list of conditions and the following disclaimer,
- * without modification, immediately at the beginning of the file.
- * 2. Redistributions in binary form must reproduce the above copyright
- * notice, this list of conditions and the following disclaimer in the
- * documentation and/or other materials provided with the distribution.
- * 3. The name of the author may not be used to endorse or promote products
- * derived from this software without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
- * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
- * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
- * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT,
- * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT
- * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
- * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
- * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
- * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
- * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- * $FreeBSD$
- */
-
-#include <sys/param.h>
-#include <sys/systm.h>
-#include <sys/kernel.h>
-#include <sys/malloc.h>
-#include <sys/bio.h>
-#include <sys/devicestat.h>
-#include <sys/cdio.h>
-#include <sys/fcntl.h>
-#include <sys/conf.h>
-#include <sys/stat.h>
-#include <pc98/pc98/atapi.h>
-#include <pc98/pc98/wormio.h>
-#include <pc98/pc98/wd_cd.h>
-
-static d_open_t acdopen;
-static d_close_t acdclose;
-static d_ioctl_t acdioctl;
-static d_strategy_t acdstrategy;
-
-
-static struct cdevsw acd_cdevsw = {
- .d_version = D_VERSION,
- .d_open = acdopen,
- .d_close = acdclose,
- .d_read = physread,
- .d_write = physwrite,
- .d_ioctl = acdioctl,
- .d_strategy = acdstrategy,
- .d_name = "wcd",
- .d_flags = D_DISK | D_NEEDGIANT,
-};
-
-#define NUNIT 16 /* Max # of devices */
-
-#define F_BOPEN 0x0001 /* The block device is opened */
-#define F_MEDIA_CHANGED 0x0002 /* The media have changed since open */
-#define F_DEBUG 0x0004 /* Print debug info */
-#define F_LOCKED 0x0008 /* This unit is locked (or should be) */
-#define F_TRACK_PREP 0x0010 /* Track should be prep'ed */
-#define F_TRACK_PREPED 0x0020 /* Track has been prep'ed */
-#define F_DISK_PREPED 0x0040 /* Disk has been prep'ed */
-#define F_WRITTEN 0x0080 /* The medium has been written to */
-
-static struct acd *acdtab[NUNIT];
-static int acdnlun = 0; /* Number of configured drives */
-
-int acdattach(struct atapi *, int, struct atapi_params *, int);
-static struct acd *acd_init_lun(struct atapi *, int, struct atapi_params *, int);
-static void acd_start(struct acd *);
-static void acd_done(struct acd *, struct bio *, int, struct atapires);
-static int acd_read_toc(struct acd *);
-static int acd_request_wait(struct acd *, u_char, u_char, u_char, u_char, u_char, u_char, u_char, u_char, u_char, u_char, char *, int);
-static void acd_describe(struct acd *);
-static int acd_setchan(struct acd *, u_char, u_char, u_char, u_char);
-static int acd_eject(struct acd *, int);
-static void acd_select_slot(struct acd *);
-static int acd_open_disk(struct acd *, int);
-static int acd_open_track(struct acd *, struct wormio_prepare_track *);
-static int acd_close_track(struct acd *);
-static int acd_close_disk(struct acd *);
-static int acd_read_track_info(struct acd *cdp, int lba, struct acd_track_info *info);
-static int acd_blank_disk(struct acd *);
-static void atapi_dump(int ctrlr, int lun, char *label, void *data, int len);
-static void atapi_error(struct atapi *ata, int unit, struct atapires result);
-
-struct acd *
-acd_init_lun(struct atapi *ata, int unit, struct atapi_params *ap, int lun)
-{
- struct acd *ptr;
- struct cdev *pdev;
-
- if (!(ptr = malloc(sizeof(struct acd), M_TEMP, M_NOWAIT | M_ZERO)))
- return NULL;
- bioq_init(&ptr->bio_queue);
- ptr->ata = ata;
- ptr->unit = unit;
- ptr->lun = lun;
- ptr->param = ap;
- ptr->flags = F_MEDIA_CHANGED;
- ptr->flags &= ~(F_WRITTEN|F_TRACK_PREP|F_TRACK_PREPED);
- ptr->block_size = 2048;
- ptr->refcnt = 0;
- ptr->slot = -1;
- ptr->changer_info = NULL;
-
- pdev = make_dev(&acd_cdevsw, lun,
- UID_ROOT, GID_OPERATOR, 0640, "wcd%da", lun);
- make_dev_alias(pdev, "rwcd%da", lun);
- make_dev_alias(pdev, "wcd%dc", lun);
- make_dev_alias(pdev, "rwcd%dc", lun);
- pdev->si_drv1 = ptr;
-
- return ptr;
-}
-
-int
-acdattach(struct atapi *ata, int unit, struct atapi_params *ap, int debug)
-{
- struct acd *cdp;
- struct atapires result;
- struct changer *chp;
- int i, count;
-
- if (acdnlun >= NUNIT) {
- printf("wcd: too many units\n");
- return 0;
- }
- if (!atapi_request_immediate) {
- printf("wcd: configuration error, ATAPI code not present!\n");
- return 0;
- }
- if ((cdp = acd_init_lun(ata, unit, ap, acdnlun)) == NULL) {
- printf("wcd: out of memory\n");
- return 0;
- }
- acdtab[acdnlun] = cdp;
-
- if (debug) {
- cdp->flags |= F_DEBUG;
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "info", ap, sizeof(*ap));
- }
-
- /* Get drive capabilities, some drives needs this repeated */
- for (count = 0 ; count < 5 ; count++) {
- result = atapi_request_immediate(ata, unit,
- ATAPI_MODE_SENSE,
- 0, ATAPI_CDROM_CAP_PAGE,
- 0, 0, 0, 0,
- sizeof(cdp->cap)>>8, sizeof(cdp->cap),
- 0, 0, 0, 0, 0, 0, 0,
- (char *)&cdp->cap, sizeof(cdp->cap));
- if (result.code == 0 || result.code == RES_UNDERRUN)
- break;
- }
-
- /* Some drives have shorter capabilities page. */
- if (result.code == RES_UNDERRUN)
- result.code = 0;
-
- if (result.code == 0) {
- cdp->cap.max_speed = ntohs(cdp->cap.max_speed);
- cdp->cap.max_vol_levels = ntohs(cdp->cap.max_vol_levels);
- cdp->cap.buf_size = ntohs(cdp->cap.buf_size);
- cdp->cap.cur_speed = ntohs(cdp->cap.cur_speed);
- acd_describe(cdp);
- if (cdp->flags & F_DEBUG)
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "cap", &cdp->cap,
- sizeof(cdp->cap));
- }
- /* If this is a changer device, allocate the neeeded lun's */
- if (cdp->cap.mech == MST_MECH_CHANGER) {
- char string[16];
- struct acd *tmpcdp = cdp;
-
- chp = malloc(sizeof(struct changer), M_TEMP, M_NOWAIT | M_ZERO);
- if (chp == NULL) {
- printf("wcd: out of memory\n");
- return 0;
- }
- result = atapi_request_immediate(ata, unit, ATAPI_MECH_STATUS,
- 0, 0, 0, 0, 0, 0, 0,
- sizeof(struct changer)>>8,
- sizeof(struct changer),
- 0, 0, 0, 0, 0, 0,
- (char *)chp, sizeof(struct changer));
- if (cdp->flags & F_DEBUG) {
- printf("result.code=%d curr=%02x slots=%d len=%d\n",
- result.code, chp->current_slot, chp->slots,
- htons(chp->table_length));
- }
- if (result.code == RES_UNDERRUN)
- result.code = 0;
-
- if (result.code == 0) {
- chp->table_length = htons(chp->table_length);
- for (i = 0; i < chp->slots && acdnlun < NUNIT; i++) {
- if (i > 0) {
- tmpcdp = acd_init_lun(ata, unit, ap, acdnlun);
- if (!tmpcdp) {
- printf("wcd: out of memory\n");
- return 0;
- }
- }
- tmpcdp->slot = i;
- tmpcdp->changer_info = chp;
- printf("wcd%d: changer slot %d %s\n", acdnlun, i,
- (chp->slot[i].present ? "disk present" : "no disk"));
- acdtab[acdnlun++] = tmpcdp;
- }
- if (acdnlun >= NUNIT) {
- printf("wcd: too many units\n");
- return 0;
- }
- }
- sprintf(string, "wcd%d-", cdp->lun);
- cdp->device_stats = devstat_new_entry(string, tmpcdp->lun, DEV_BSIZE,
- DEVSTAT_NO_ORDERED_TAGS,
- DEVSTAT_TYPE_CDROM | DEVSTAT_TYPE_IF_IDE,
- DEVSTAT_PRIORITY_CD);
- }
- else {
- acdnlun++;
- cdp->device_stats = devstat_new_entry("wcd", cdp->lun, DEV_BSIZE,
- DEVSTAT_NO_ORDERED_TAGS,
- DEVSTAT_TYPE_CDROM | DEVSTAT_TYPE_IF_IDE,
- DEVSTAT_PRIORITY_CD);
- }
- return 1;
-}
-
-void
-acd_describe(struct acd *cdp)
-{
- int comma;
- char *mechanism;
-
- printf("wcd%d: drive speed ", cdp->lun);
- if (cdp->cap.cur_speed != cdp->cap.max_speed)
- printf("%d - ", cdp->cap.cur_speed * 1000 / 1024);
- printf("%dKB/sec", cdp->cap.max_speed * 1000 / 1024);
- if (cdp->cap.buf_size)
- printf(", %dKB cache\n", cdp->cap.buf_size);
-
- printf("wcd%d: supported read types:", cdp->lun);
- comma = 0;
- if (cdp->cap.read_cdr) {
- printf(" CD-R"); comma = 1;
- }
- if (cdp->cap.read_cdrw) {
- printf("%s CD-RW", comma ? "," : ""); comma = 1;
- }
- if (cdp->cap.cd_da) {
- printf("%s CD-DA", comma ? "," : ""); comma = 1;
- }
- if (cdp->cap.method2)
- printf("%s packet track", comma ? "," : "");
- if (cdp->cap.write_cdr || cdp->cap.write_cdrw) {
- printf("\nwcd%d: supported write types:", cdp->lun);
- comma = 0;
- if (cdp->cap.write_cdr) {
- printf(" CD-R" ); comma = 1;
- }
- if (cdp->cap.write_cdrw) {
- printf("%s CD-RW", comma ? "," : ""); comma = 1;
- }
- if (cdp->cap.test_write) {
- printf("%s test write", comma ? "," : ""); comma = 1;
- }
- }
- if (cdp->cap.audio_play) {
- printf("\nwcd%d: Audio: ", cdp->lun);
- if (cdp->cap.audio_play)
- printf("play");
- if (cdp->cap.max_vol_levels)
- printf(", %d volume levels", cdp->cap.max_vol_levels);
- }
- printf("\nwcd%d: Mechanism: ", cdp->lun);
- switch (cdp->cap.mech) {
- case MST_MECH_CADDY:
- mechanism = "caddy"; break;
- case MST_MECH_TRAY:
- mechanism = "tray"; break;
- case MST_MECH_POPUP:
- mechanism = "popup"; break;
- case MST_MECH_CHANGER:
- mechanism = "changer"; break;
- case MST_MECH_CARTRIDGE:
- mechanism = "cartridge"; break;
- default:
- mechanism = 0; break;
- }
- if (mechanism)
- printf("%s%s", cdp->cap.eject ? "ejectable " : "", mechanism);
- else if (cdp->cap.eject)
- printf("ejectable");
-
- if (cdp->cap.mech != MST_MECH_CHANGER) {
- printf("\nwcd%d: Medium: ", cdp->lun);
- switch (cdp->cap.medium_type & MST_TYPE_MASK_HIGH) {
- case MST_CDROM:
- printf("CD-ROM "); break;
- case MST_CDR:
- printf("CD-R "); break;
- case MST_CDRW:
- printf("CD-RW "); break;
- case MST_DOOR_OPEN:
- printf("door open"); break;
- case MST_NO_DISC:
- printf("no/blank disc inside"); break;
- case MST_FMT_ERROR:
- printf("medium format error"); break;
- }
- if ((cdp->cap.medium_type & MST_TYPE_MASK_HIGH) < MST_TYPE_MASK_HIGH) {
- switch (cdp->cap.medium_type & MST_TYPE_MASK_LOW) {
- case MST_DATA_120:
- printf("120mm data disc loaded"); break;
- case MST_AUDIO_120:
- printf("120mm audio disc loaded"); break;
- case MST_COMB_120:
- printf("120mm data/audio disc loaded"); break;
- case MST_PHOTO_120:
- printf("120mm photo disc loaded"); break;
- case MST_DATA_80:
- printf("80mm data disc loaded"); break;
- case MST_AUDIO_80:
- printf("80mm audio disc loaded"); break;
- case MST_COMB_80:
- printf("80mm data/audio disc loaded"); break;
- case MST_PHOTO_80:
- printf("80mm photo disc loaded"); break;
- case MST_FMT_NONE:
- switch (cdp->cap.medium_type & MST_TYPE_MASK_HIGH) {
- case MST_CDROM:
- printf("unknown medium"); break;
- case MST_CDR:
- case MST_CDRW:
- printf("blank medium"); break;
- }
- break;
- default:
- printf("unknown type=0x%x", cdp->cap.medium_type); break;
- }
- }
- }
- if (cdp->cap.lock)
- printf(cdp->cap.locked ? ", locked" : ", unlocked");
- if (cdp->cap.prevent)
- printf(", lock protected");
- printf("\n");
-}
-
-static int
-acdopen(struct cdev *dev, int flags, int fmt, struct thread *td)
-{
- struct acd *cdp;
-
- cdp = dev->si_drv1;
- if (cdp == NULL)
- return ENXIO;
-
- if (!(cdp->flags & F_BOPEN) && !cdp->refcnt) {
- /* Prevent user eject */
- acd_request_wait(cdp, ATAPI_PREVENT_ALLOW,
- 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0);
- cdp->flags |= F_LOCKED;
- }
- if (fmt == S_IFBLK)
- cdp->flags |= F_BOPEN;
- else
- ++cdp->refcnt;
- if (!(flags & O_NONBLOCK) && acd_read_toc(cdp) && !(flags & FWRITE))
- printf("acd%d: read_toc failed\n", cdp->unit);
- return 0;
-}
-
-int
-acdclose(struct cdev *dev, int flags, int fmt, struct thread *td)
-{
- struct acd *cdp = dev->si_drv1;
-
- if (fmt == S_IFBLK)
- cdp->flags &= ~F_BOPEN;
- else
- --cdp->refcnt;
-
- /* Are we the last open ?? */
- if (!(cdp->flags & F_BOPEN) && !cdp->refcnt) {
- /* Yup, do we need to close any written tracks */
- if ((flags & FWRITE) != 0) {
- if ((cdp->flags & F_TRACK_PREPED) != 0) {
- acd_close_track(cdp);
- cdp->flags &= ~(F_TRACK_PREPED | F_TRACK_PREP);
- }
- }
- /* Allow the user eject */
- acd_request_wait(cdp, ATAPI_PREVENT_ALLOW,
- 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
- }
- cdp->flags &= ~F_LOCKED;
- return 0;
-}
-
-void
-acdstrategy(struct bio *bp)
-{
- struct acd *cdp = bp->bio_dev->si_drv1;
- int x;
-
-#ifdef NOTYET
- /* allow write only on CD-R/RW media */ /* all for now SOS */
- if ((bp->bio_cmd == BIO_WRITE) && !(writeable_media)) {
- biofinish(bp, NULL, EROFS);
- return;
- }
-#endif
-
- if (bp->bio_bcount == 0) {
- bp->bio_resid = 0;
- biodone(bp);
- return;
- }
-
- bp->bio_resid = bp->bio_bcount;
-
- x = splbio();
- bioq_disksort(&cdp->bio_queue, bp);
- acd_start(cdp);
- splx(x);
-}
-
-static void
-acd_start(struct acd *cdp)
-{
- struct bio *bp = bioq_takefirst(&cdp->bio_queue);
- u_long lba, blocks;
- int cmd;
- int count;
-
- if (!bp)
- return;
-
- /* Should reject all queued entries if media have changed. */
- if (cdp->flags & F_MEDIA_CHANGED) {
- biofinish(bp, NULL, EIO);
- return;
- }
-
- acd_select_slot(cdp);
-
- if (bp->bio_cmd == BIO_WRITE) {
- if ((cdp->flags & F_TRACK_PREPED) == 0) {
- if ((cdp->flags & F_TRACK_PREP) == 0) {
- printf("wcd%d: sequence error\n", cdp->lun);
- biofinish(bp, NULL, EIO);
- return;
- } else {
- if (acd_open_track(cdp, &cdp->preptrack) != 0) {
- biodone(bp);
- return;
- }
- cdp->flags |= F_TRACK_PREPED;
- }
- }
- }
-
- if (bp->bio_cmd == BIO_READ)
- lba = bp->bio_offset / cdp->block_size;
- else
- lba = cdp->next_writeable_lba + (bp->bio_offset / cdp->block_size);
- blocks = (bp->bio_bcount + (cdp->block_size - 1)) / cdp->block_size;
-
- if (bp->bio_cmd == BIO_WRITE) {
- cmd = ATAPI_WRITE_BIG;
- count = -bp->bio_bcount;
- } else {
- cmd = ATAPI_READ_BIG;
- count = bp->bio_bcount;
- }
-
- devstat_start_transaction_bio(cdp->device_stats, bp);
-
- atapi_request_callback(cdp->ata, cdp->unit, cmd, 0,
- lba>>24, lba>>16, lba>>8, lba, 0,
- blocks>>8, blocks, 0, 0, 0, 0, 0, 0, 0,
- (u_char *)bp->bio_data, count,
- (atapi_callback_t *)acd_done, cdp, bp);
-}
-
-static void
-acd_done(struct acd *cdp, struct bio *bp, int resid, struct atapires result)
-{
-
- if (result.code) {
- atapi_error(cdp->ata, cdp->unit, result);
- bp->bio_error = EIO;
- bp->bio_flags |= BIO_ERROR;
- } else {
- bp->bio_resid = resid;
- if (bp->bio_cmd == BIO_WRITE)
- cdp->flags |= F_WRITTEN;
- }
- biofinish(bp, cdp->device_stats, 0);
- acd_start(cdp);
-}
-
-static int
-acd_request_wait(struct acd *cdp, u_char cmd, u_char a1, u_char a2,
- u_char a3, u_char a4, u_char a5, u_char a6, u_char a7, u_char a8,
- u_char a9, char *addr, int count)
-{
- struct atapires result;
-
- result = atapi_request_wait(cdp->ata, cdp->unit, cmd, a1, a2, a3, a4, a5,
- a6, a7, a8, a9, 0, 0, 0, 0, 0, 0, addr, count);
- if (result.code) {
- atapi_error(cdp->ata, cdp->unit, result);
- return EIO;
- }
- return 0;
-}
-
-static __inline void
-lba2msf(int lba, u_char *m, u_char *s, u_char *f)
-{
- lba += 150;
- lba &= 0xffffff;
- *m = lba / (60 * 75);
- lba %= (60 * 75);
- *s = lba / 75;
- *f = lba % 75;
-}
-
-static __inline int
-msf2lba(u_char m, u_char s, u_char f)
-{
- return (m * 60 + s) * 75 + f - 150;
-}
-
-int
-acdioctl(struct cdev *dev, u_long cmd, caddr_t addr, int flag, struct thread *td)
-{
- struct acd *cdp = dev->si_drv1;
- int error = 0;
-
- if (cdp->flags & F_MEDIA_CHANGED)
- switch (cmd) {
- case CDIOCRESET:
- break;
- default:
- acd_read_toc(cdp);
- acd_request_wait(cdp, ATAPI_PREVENT_ALLOW,
- 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0);
- cdp->flags |= F_LOCKED;
- break;
- }
- switch (cmd) {
-/*
- case CDIOCRESUME:
- bzero(cdb);
- cdb->cmd = ATAPI_PAUSE;
- cdb->b8 = 0x01;
- return atapi_cmd_wait(cdp->ata, cdp->unit, cdb, 0, 0, timout, 0);
-*/
- case CDIOCRESUME:
- return acd_request_wait(cdp, ATAPI_PAUSE,
- 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0);
-
- case CDIOCPAUSE:
- return acd_request_wait(cdp, ATAPI_PAUSE,
- 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
-
- case CDIOCSTART:
- return acd_request_wait(cdp, ATAPI_START_STOP,
- 1, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0);
-
- case CDIOCSTOP:
- return acd_request_wait(cdp, ATAPI_START_STOP,
- 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
-
- case CDIOCALLOW:
- acd_select_slot(cdp);
- cdp->flags &= ~F_LOCKED;
- return acd_request_wait(cdp, ATAPI_PREVENT_ALLOW,
- 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
-
- case CDIOCPREVENT:
- acd_select_slot(cdp);
- cdp->flags |= F_LOCKED;
- return acd_request_wait(cdp, ATAPI_PREVENT_ALLOW,
- 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0);
-
- case CDIOCRESET:
- error = suser(td);
- if (error)
- return (error);
- return acd_request_wait(cdp, ATAPI_TEST_UNIT_READY,
- 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
-
- case CDIOCEJECT:
- if ((cdp->flags & F_BOPEN) && cdp->refcnt)
- return EBUSY;
- return acd_eject(cdp, 0);
-
- case CDIOCCLOSE:
- if ((cdp->flags & F_BOPEN) && cdp->refcnt)
- return 0;
- return acd_eject(cdp, 1);
-
- case CDIOREADTOCHEADER:
- if (!cdp->toc.hdr.ending_track)
- return EIO;
- bcopy(&cdp->toc.hdr, addr, sizeof(cdp->toc.hdr));
- break;
-
- case CDIOREADTOCENTRYS:
- {
- struct ioc_read_toc_entry *te = (struct ioc_read_toc_entry *)addr;
- struct toc *toc = &cdp->toc;
- struct toc buf;
- u_long len;
- u_char starting_track = te->starting_track;
-
- if (!cdp->toc.hdr.ending_track)
- return EIO;
-
- if (te->data_len < sizeof(toc->tab[0]) ||
- (te->data_len % sizeof(toc->tab[0])) != 0 ||
- (te->address_format != CD_MSF_FORMAT &&
- te->address_format != CD_LBA_FORMAT))
- return EINVAL;
-
- if (!starting_track)
- starting_track = toc->hdr.starting_track;
- else if (starting_track == 170)
- starting_track = toc->hdr.ending_track + 1;
- else if (starting_track < toc->hdr.starting_track ||
- starting_track > toc->hdr.ending_track + 1)
- return EINVAL;
-
- len = ((toc->hdr.ending_track + 1 - starting_track) + 1) *
- sizeof(toc->tab[0]);
- if (te->data_len < len)
- len = te->data_len;
- if (len > sizeof(toc->tab))
- return EINVAL;
-
- if (te->address_format == CD_MSF_FORMAT) {
- struct cd_toc_entry *entry;
-
- buf = cdp->toc;
- toc = &buf;
- entry = toc->tab + (toc->hdr.ending_track + 1 -
- toc->hdr.starting_track) + 1;
- while (--entry >= toc->tab)
- lba2msf(ntohl(entry->addr.lba), &entry->addr.msf.minute,
- &entry->addr.msf.second, &entry->addr.msf.frame);
- }
- return copyout(toc->tab + starting_track - toc->hdr.starting_track,
- te->data, len);
- }
-
- case CDIOREADTOCENTRY:
- {
- struct ioc_read_toc_single_entry *te =
- (struct ioc_read_toc_single_entry *)addr;
- struct toc *toc = &cdp->toc;
- struct toc buf;
- u_char track = te->track;
-
- if (!cdp->toc.hdr.ending_track)
- return EIO;
-
- if (te->address_format != CD_MSF_FORMAT &&
- te->address_format != CD_LBA_FORMAT)
- return EINVAL;
-
- if (!track)
- track = toc->hdr.starting_track;
- else if (track == 170)
- track = toc->hdr.ending_track + 1;
- else if (track < toc->hdr.starting_track ||
- track > toc->hdr.ending_track + 1)
- return EINVAL;
-
- if (te->address_format == CD_MSF_FORMAT) {
- struct cd_toc_entry *entry;
-
- buf = cdp->toc;
- toc = &buf;
- entry = toc->tab + (track - toc->hdr.starting_track);
- lba2msf(ntohl(entry->addr.lba), &entry->addr.msf.minute,
- &entry->addr.msf.second, &entry->addr.msf.frame);
- }
- bcopy(toc->tab + track - toc->hdr.starting_track,
- &te->entry, sizeof(struct cd_toc_entry));
- }
- break;
-
- case CDIOCREADSUBCHANNEL:
- {
- struct ioc_read_subchannel *args =
- (struct ioc_read_subchannel *)addr;
- struct cd_sub_channel_info data;
- u_long len = args->data_len;
- int abslba, rellba;
-
- if (len > sizeof(data) ||
- len < sizeof(struct cd_sub_channel_header))
- return EINVAL;
-
- if (acd_request_wait(cdp, ATAPI_READ_SUBCHANNEL,
- 0, 0x40, 1, 0, 0, 0,
- sizeof(cdp->subchan)>>8, sizeof(cdp->subchan),
- 0,
- (char *)&cdp->subchan,
- sizeof(cdp->subchan)) != 0)
- return EIO;
- if (cdp->flags & F_DEBUG)
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "subchan", &cdp->subchan,
- sizeof(cdp->subchan));
-
- abslba = cdp->subchan.abslba;
- rellba = cdp->subchan.rellba;
- if (args->address_format == CD_MSF_FORMAT) {
- lba2msf(ntohl(abslba),
- &data.what.position.absaddr.msf.minute,
- &data.what.position.absaddr.msf.second,
- &data.what.position.absaddr.msf.frame);
- lba2msf(ntohl(rellba),
- &data.what.position.reladdr.msf.minute,
- &data.what.position.reladdr.msf.second,
- &data.what.position.reladdr.msf.frame);
- } else {
- data.what.position.absaddr.lba = abslba;
- data.what.position.reladdr.lba = rellba;
- }
- data.header.audio_status = cdp->subchan.audio_status;
- data.what.position.control = cdp->subchan.control & 0xf;
- data.what.position.addr_type = cdp->subchan.control >> 4;
- data.what.position.track_number = cdp->subchan.track;
- data.what.position.index_number = cdp->subchan.indx;
- return copyout(&data, args->data, len);
- }
-
- case CDIOCPLAYMSF:
- {
- struct ioc_play_msf *args = (struct ioc_play_msf *)addr;
-
- return acd_request_wait(cdp, ATAPI_PLAY_MSF, 0, 0,
- args->start_m, args->start_s, args->start_f,
- args->end_m, args->end_s, args->end_f,
- 0, 0, 0);
- }
-
- case CDIOCPLAYBLOCKS:
- {
- struct ioc_play_blocks *args = (struct ioc_play_blocks *)addr;
-
- return acd_request_wait(cdp, ATAPI_PLAY_BIG, 0,
- args->blk>>24 & 0xff, args->blk>>16 & 0xff,
- args->blk>>8 & 0xff, args->blk & 0xff,
- args->len>>24 & 0xff, args->len>>16 & 0xff,
- args->len>>8 & 0xff, args->len & 0xff,
- 0, 0);
- }
-
- case CDIOCPLAYTRACKS:
- {
- struct ioc_play_track *args = (struct ioc_play_track *)addr;
- u_long start, len;
- int t1, t2;
-
- if (!cdp->toc.hdr.ending_track)
- return EIO;
-
- if (args->end_track < cdp->toc.hdr.ending_track + 1)
- ++args->end_track;
- if (args->end_track > cdp->toc.hdr.ending_track + 1)
- args->end_track = cdp->toc.hdr.ending_track + 1;
- t1 = args->start_track - cdp->toc.hdr.starting_track;
- t2 = args->end_track - cdp->toc.hdr.starting_track;
- if (t1 < 0 || t2 < 0)
- return EINVAL;
- start = ntohl(cdp->toc.tab[t1].addr.lba);
- len = ntohl(cdp->toc.tab[t2].addr.lba) - start;
-
- return acd_request_wait(cdp, ATAPI_PLAY_BIG, 0,
- start>>24 & 0xff, start>>16 & 0xff,
- start>>8 & 0xff, start & 0xff,
- len>>24 & 0xff, len>>16 & 0xff,
- len>>8 & 0xff, len & 0xff, 0, 0);
- }
-
- case CDIOCREADAUDIO:
- {
- struct ioc_read_audio* args = (struct ioc_read_audio*) addr;
- int lba, frames, result = 0;
- u_char *buffer, *ubuf = args->buffer;
-
- if (!cdp->toc.hdr.ending_track)
- return EIO;
-
- if ((frames = args->nframes) < 0)
- return EINVAL;
-
- if (args->address_format == CD_LBA_FORMAT)
- lba = args->address.lba;
- else if (args->address_format == CD_MSF_FORMAT)
- lba = msf2lba(args->address.msf.minute,
- args->address.msf.second,
- args->address.msf.frame);
- else
- return EINVAL;
-#ifndef CD_BUFFER_BLOCKS
-#define CD_BUFFER_BLOCKS 8
-#endif
- if (!(buffer = malloc(CD_BUFFER_BLOCKS * 2352, M_TEMP, M_NOWAIT)))
- return ENOMEM;
-
- while (frames > 0) {
- u_char blocks;
- int size;
-
- blocks = (frames>CD_BUFFER_BLOCKS) ? CD_BUFFER_BLOCKS : frames;
- size = blocks * 2352;
-
- result = acd_request_wait(cdp, ATAPI_READ_CD, 4,
- lba>>24, (lba>>16)&0xff,
- (lba>>8)&0xff, lba&0xff, 0, 0,
- blocks, 0xf0, buffer, size);
- if (result != 0)
- break;
-
- result = copyout(buffer, ubuf, size);
- if (result != 0)
- break;
-
- ubuf += size;
- frames -= blocks;
- lba += blocks;
- }
-
- free(buffer, M_TEMP);
- return result;
- }
-
- case CDIOCGETVOL:
- {
- struct ioc_vol *arg = (struct ioc_vol *)addr;
-
- error = acd_request_wait(cdp, ATAPI_MODE_SENSE, 0, CDROM_AUDIO_PAGE,
- 0, 0, 0, 0,
- sizeof(cdp->au)>>8, sizeof(cdp->au), 0,
- (char *)&cdp->au, sizeof(cdp->au));
- if (error)
- return error;
- if (cdp->flags & F_DEBUG)
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "au", &cdp->au,
- sizeof(cdp->au));
- if (cdp->au.page_code != CDROM_AUDIO_PAGE)
- return EIO;
- arg->vol[0] = cdp->au.port[0].volume;
- arg->vol[1] = cdp->au.port[1].volume;
- arg->vol[2] = cdp->au.port[2].volume;
- arg->vol[3] = cdp->au.port[3].volume;
- }
- break;
-
- case CDIOCSETVOL:
- {
- struct ioc_vol *arg = (struct ioc_vol *)addr;
-
- error = acd_request_wait(cdp, ATAPI_MODE_SENSE, 0, CDROM_AUDIO_PAGE,
- 0, 0, 0, 0,
- sizeof(cdp->au)>>8, sizeof(cdp->au), 0,
- (char *)&cdp->au, sizeof(cdp->au));
- if (error)
- return error;
- if (cdp->flags & F_DEBUG)
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "au", &cdp->au,
- sizeof(cdp->au));
- if (cdp->au.page_code != CDROM_AUDIO_PAGE)
- return EIO;
-
- error = acd_request_wait(cdp, ATAPI_MODE_SENSE, 0,
- CDROM_AUDIO_PAGE_MASK, 0, 0, 0, 0,
- sizeof(cdp->aumask)>>8,sizeof(cdp->aumask),
- 0,
- (char *)&cdp->aumask, sizeof(cdp->aumask));
- if (error)
- return error;
- if (cdp->flags & F_DEBUG)
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "mask", &cdp->aumask,
- sizeof(cdp->aumask));
-
- cdp->au.data_length = 0;
- cdp->au.port[0].channels = CHANNEL_0;
- cdp->au.port[1].channels = CHANNEL_1;
- cdp->au.port[0].volume = arg->vol[0] & cdp->aumask.port[0].volume;
- cdp->au.port[1].volume = arg->vol[1] & cdp->aumask.port[1].volume;
- cdp->au.port[2].volume = arg->vol[2] & cdp->aumask.port[2].volume;
- cdp->au.port[3].volume = arg->vol[3] & cdp->aumask.port[3].volume;
- return acd_request_wait(cdp, ATAPI_MODE_SELECT, 0x10,
- 0, 0, 0, 0, 0,
- sizeof(cdp->au)>>8, sizeof(cdp->au),
- 0, (char *)&cdp->au, -sizeof(cdp->au));
- }
-
- case CDIOCSETPATCH:
- {
- struct ioc_patch *arg = (struct ioc_patch *)addr;
-
- return acd_setchan(cdp, arg->patch[0], arg->patch[1],
- arg->patch[2], arg->patch[3]);
- }
-
- case CDIOCSETMONO:
- return acd_setchan(cdp, CHANNEL_0|CHANNEL_1, CHANNEL_0|CHANNEL_1, 0, 0);
-
- case CDIOCSETSTEREO:
- return acd_setchan(cdp, CHANNEL_0, CHANNEL_1, 0, 0);
-
- case CDIOCSETMUTE:
- return acd_setchan(cdp, 0, 0, 0, 0);
-
- case CDIOCSETLEFT:
- return acd_setchan(cdp, CHANNEL_0, CHANNEL_0, 0, 0);
-
- case CDIOCSETRIGHT:
- return acd_setchan(cdp, CHANNEL_1, CHANNEL_1, 0, 0);
-
- case CDRIOCNEXTWRITEABLEADDR:
- {
- struct acd_track_info track_info;
-
- if ((error = acd_read_track_info(cdp, 0xff, &track_info)))
- break;
- if (!track_info.nwa_valid)
- return EINVAL;
- cdp->next_writeable_lba = track_info.next_writeable_addr;
- *(int*)addr = track_info.next_writeable_addr;
- }
- break;
-
- case WORMIOCPREPDISK:
- {
- struct wormio_prepare_disk *w = (struct wormio_prepare_disk *)addr;
-
- if (w->dummy != 0 && w->dummy != 1)
- error = EINVAL;
- else {
- error = acd_open_disk(cdp, w->dummy);
- if (error == 0) {
- cdp->flags |= F_DISK_PREPED;
- cdp->dummy = w->dummy;
- cdp->speed = w->speed;
- }
- }
- }
- break;
-
- case WORMIOCPREPTRACK:
- {
- struct wormio_prepare_track *w =(struct wormio_prepare_track *)addr;
-
- if (w->audio != 0 && w->audio != 1)
- error = EINVAL;
- else if (w->audio == 0 && w->preemp)
- error = EINVAL;
- else if ((cdp->flags & F_DISK_PREPED) == 0) {
- error = EINVAL;
- printf("wcd%d: sequence error (PREP_TRACK)\n", cdp->lun);
- } else {
- cdp->flags |= F_TRACK_PREP;
- cdp->preptrack = *w;
- }
- }
- break;
-
- case WORMIOCFINISHTRACK:
- if ((cdp->flags & F_TRACK_PREPED) != 0)
- error = acd_close_track(cdp);
- cdp->flags &= ~(F_TRACK_PREPED | F_TRACK_PREP);
- break;
-
- case WORMIOCFIXATION:
- {
- struct wormio_fixation *w =
- (struct wormio_fixation *)addr;
-
- if ((cdp->flags & F_WRITTEN) == 0)
- error = EINVAL;
- else if (w->toc_type < 0 /* WORM_TOC_TYPE_AUDIO */ ||
- w->toc_type > 4 /* WORM_TOC_TYPE_CDI */ )
- error = EINVAL;
- else if (w->onp != 0 && w->onp != 1)
- error = EINVAL;
- else {
- /* no fixation needed if dummy write */
- if (cdp->dummy == 0)
- error = acd_close_disk(cdp);
- cdp->flags &=
- ~(F_WRITTEN|F_DISK_PREPED|F_TRACK_PREP|F_TRACK_PREPED);
- }
- }
- break;
-
- case CDRIOCBLANK:
- return acd_blank_disk(cdp);
-
- default:
- return ENOTTY;
- }
- return error;
-}
-
-static int
-acd_read_toc(struct acd *cdp)
-{
- int ntracks, len;
- struct atapires result;
-
- bzero(&cdp->toc, sizeof(cdp->toc));
- bzero(&cdp->info, sizeof(cdp->info));
-
- acd_select_slot(cdp);
-
- result = atapi_request_wait(cdp->ata, cdp->unit, ATAPI_TEST_UNIT_READY,
- 0, 0, 0, 0, 0, 0, 0, 0,
- 0, 0, 0, 0, 0, 0, 0, 0, 0);
-
- if (result.code == RES_ERR &&
- (result.error & AER_SKEY) == AER_SK_UNIT_ATTENTION) {
- cdp->flags |= F_MEDIA_CHANGED;
- cdp->flags &= ~(F_WRITTEN|F_TRACK_PREP|F_TRACK_PREPED);
- result = atapi_request_wait(cdp->ata, cdp->unit, ATAPI_TEST_UNIT_READY,
- 0, 0, 0, 0, 0, 0, 0, 0,
- 0, 0, 0, 0, 0, 0, 0, 0, 0);
- }
-
- if (result.code) {
- atapi_error(cdp->ata, cdp->unit, result);
- return EIO;
- }
-
- cdp->flags &= ~F_MEDIA_CHANGED;
-
- len = sizeof(struct ioc_toc_header) + sizeof(struct cd_toc_entry);
- if (acd_request_wait(cdp, ATAPI_READ_TOC, 0, 0, 0, 0, 0, 0,
- len>>8, len & 0xff, 0, (char *)&cdp->toc, len) != 0) {
- bzero(&cdp->toc, sizeof(cdp->toc));
- return 0;
- }
- ntracks = cdp->toc.hdr.ending_track - cdp->toc.hdr.starting_track + 1;
- if (ntracks <= 0 || ntracks > MAXTRK) {
- bzero(&cdp->toc, sizeof(cdp->toc));
- return 0;
- }
-
- len = sizeof(struct ioc_toc_header) + ntracks * sizeof(struct cd_toc_entry);
- if (acd_request_wait(cdp, ATAPI_READ_TOC, 0, 0, 0, 0, 0, 0,
- len>>8, len & 0xff, 0, (char *)&cdp->toc, len) & 0xff){
- bzero(&cdp->toc, sizeof(cdp->toc));
- return 0;
- }
-
- cdp->toc.hdr.len = ntohs(cdp->toc.hdr.len);
-
- if (acd_request_wait(cdp, ATAPI_READ_CAPACITY, 0, 0, 0, 0, 0, 0, 0, 0, 0,
- (char *)&cdp->info, sizeof(cdp->info)) != 0)
- bzero(&cdp->info, sizeof(cdp->info));
-
- cdp->toc.tab[ntracks].control = cdp->toc.tab[ntracks - 1].control;
- cdp->toc.tab[ntracks].addr_type = cdp->toc.tab[ntracks - 1].addr_type;
- cdp->toc.tab[ntracks].track = 170;
- cdp->toc.tab[ntracks].addr.lba = cdp->info.volsize;
-
- cdp->info.volsize = ntohl(cdp->info.volsize);
- cdp->info.blksize = ntohl(cdp->info.blksize);
-
- if (cdp->info.volsize && cdp->toc.hdr.ending_track
- && (cdp->flags & F_DEBUG)) {
- printf("wcd%d: ", cdp->lun);
- if (cdp->toc.tab[0].control & 4)
- printf("%ldMB ", cdp->info.volsize / 512);
- else
- printf("%ld:%ld audio ", cdp->info.volsize / 75 / 60,
- cdp->info.volsize / 75 % 60);
- printf("(%ld sectors (%ld bytes)), %d tracks\n",
- cdp->info.volsize, cdp->info.blksize,
- cdp->toc.hdr.ending_track - cdp->toc.hdr.starting_track + 1);
- }
- return 0;
-}
-
-/*
- * Set up the audio channel masks.
- */
-static int
-acd_setchan(struct acd *cdp, u_char c0, u_char c1, u_char c2, u_char c3)
-{
- int error;
-
- error = acd_request_wait(cdp, ATAPI_MODE_SENSE, 0, CDROM_AUDIO_PAGE,
- 0, 0, 0, 0,
- sizeof(cdp->au)>>8, sizeof(cdp->au), 0,
- (char *)&cdp->au, sizeof(cdp->au));
- if (error)
- return error;
- if (cdp->flags & F_DEBUG)
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "au", &cdp->au, sizeof(cdp->au));
- if (cdp->au.page_code != CDROM_AUDIO_PAGE)
- return EIO;
-
- cdp->au.data_length = 0;
- cdp->au.port[0].channels = c0;
- cdp->au.port[1].channels = c1;
- cdp->au.port[2].channels = c2;
- cdp->au.port[3].channels = c3;
- return acd_request_wait(cdp, ATAPI_MODE_SELECT, 0x10,
- 0, 0, 0, 0, 0,
- sizeof(cdp->au)>>8, sizeof(cdp->au), 0,
- (char *)&cdp->au, -sizeof(cdp->au));
-}
-
-static int
-acd_eject(struct acd *cdp, int close)
-{
- struct atapires result;
-
- acd_select_slot(cdp);
-
- result = atapi_request_wait(cdp->ata, cdp->unit, ATAPI_START_STOP, 1,
- 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
-
- if (result.code == RES_ERR &&
- ((result.error & AER_SKEY) == AER_SK_NOT_READY ||
- (result.error & AER_SKEY) == AER_SK_UNIT_ATTENTION)) {
- int err;
-
- if (!close)
- return 0;
- err = acd_request_wait(cdp, ATAPI_START_STOP, 0, 0, 0, 3,
- 0, 0, 0, 0, 0, 0, 0);
- if (err)
- return err;
-
- acd_read_toc(cdp);
-
- acd_request_wait(cdp, ATAPI_PREVENT_ALLOW, 0, 0, 0, 1,
- 0, 0, 0, 0, 0, 0, 0);
- cdp->flags |= F_LOCKED;
- return 0;
- }
- if (result.code) {
- atapi_error(cdp->ata, cdp->unit, result);
- return EIO;
- }
- if (close)
- return 0;
-
- tsleep((caddr_t) &lbolt, PRIBIO, "wcdej1", 0);
- tsleep((caddr_t) &lbolt, PRIBIO, "wcdej2", 0);
-
- acd_request_wait(cdp, ATAPI_PREVENT_ALLOW, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
- cdp->flags &= ~F_LOCKED;
-
- cdp->flags |= F_MEDIA_CHANGED;
- cdp->flags &= ~(F_WRITTEN|F_TRACK_PREP|F_TRACK_PREPED);
- return acd_request_wait(cdp, ATAPI_START_STOP, 0, 0, 0, 2,
- 0, 0, 0, 0, 0, 0, 0);
-}
-
-static void
-acd_select_slot(struct acd *cdp)
-{
- if (cdp->slot < 0 || cdp->changer_info->current_slot == cdp->slot)
- return;
-
- /* Unlock (might not be needed but its cheaper than asking) */
- acd_request_wait(cdp, ATAPI_PREVENT_ALLOW, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
-
- /* Unload the current media from player */
- acd_request_wait(cdp, ATAPI_LOAD_UNLOAD, 0, 0, 0, 2,
- 0, 0, 0, cdp->changer_info->current_slot, 0, 0, 0);
-
- /* load the wanted slot */
- acd_request_wait(cdp, ATAPI_LOAD_UNLOAD, 0, 0, 0, 3,
- 0, 0, 0, cdp->slot, 0, 0, 0);
-
- cdp->changer_info->current_slot = cdp->slot;
-
- /* Lock the media if needed */
- if (cdp->flags & F_LOCKED) {
- acd_request_wait(cdp, ATAPI_PREVENT_ALLOW, 0, 0, 0, 1,
- 0, 0, 0, 0, 0, 0, 0);
- }
-}
-
-static int
-acd_open_disk(struct acd *cdp, int test)
-{
- cdp->next_writeable_lba = 0;
- return 0;
-}
-
-static int
-acd_close_disk(struct acd *cdp)
-{
- return acd_request_wait(cdp, ATAPI_CLOSE_TRACK, 0x00,
- 0x02, 0, 0, 0/*track*/, 0, 0, 0, 0, 0, 0);
-}
-
-static int
-acd_open_track(struct acd *cdp, struct wormio_prepare_track *ptp)
-{
- struct write_param param;
- struct atapires result;
-
- result = atapi_request_wait(cdp->ata, cdp->unit, ATAPI_MODE_SENSE,
- 0, 0x05, 0, 0, 0, 0,
- sizeof(param)>>8, sizeof(param),
- 0, 0, 0, 0, 0, 0, 0,
- (char *)&param, sizeof(param));
-
- if (cdp->flags & F_DEBUG)
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "0x05", &param, sizeof(param));
-
- if (result.code == RES_UNDERRUN)
- result.code = 0;
-
- if (result.code) {
- atapi_error(cdp->ata, cdp->unit, result);
- return EIO;
- }
- param.page_code = 0x05;
- param.page_length = 0x32;
- param.test_write = cdp->dummy ? 1 : 0;
- param.write_type = CDR_WTYPE_TRACK;
-
- switch (ptp->audio) {
-/* switch (data_type) { */
-
- case 0:
-/* case CDR_DATA: */
- cdp->block_size = 2048;
- param.track_mode = CDR_TMODE_DATA;
- param.data_block_type = CDR_DB_ROM_MODE1;
- param.session_format = CDR_SESS_CDROM;
- break;
-
- default:
-/* case CDR_AUDIO: */
- cdp->block_size = 2352;
- if (ptp->preemp)
- param.track_mode = CDR_TMODE_AUDIO;
- else
- param.track_mode = 0;
- param.data_block_type = CDR_DB_RAW;
- param.session_format = CDR_SESS_CDROM;
- break;
-
-/*
- case CDR_MODE2:
- param.track_mode = CDR_TMODE_DATA;
- param.data_block_type = CDR_DB_ROM_MODE2;
- param.session_format = CDR_SESS_CDROM;
- break;
-
- case CDR_XA1:
- param.track_mode = CDR_TMODE_DATA;
- param.data_block_type = CDR_DB_XA_MODE1;
- param.session_format = CDR_SESS_CDROM_XA;
- break;
-
- case CDR_XA2:
- param.track_mode = CDR_TMODE_DATA;
- param.data_block_type = CDR_DB_XA_MODE2_F1;
- param.session_format = CDR_SESS_CDROM_XA;
- break;
-
- case CDR_CDI:
- param.track_mode = CDR_TMODE_DATA;
- param.data_block_type = CDR_DB_XA_MODE2_F1;
- param.session_format = CDR_SESS_CDI;
- break;
-*/
- }
-
- param.multi_session = CDR_MSES_NONE;
- param.fp = 0;
- param.packet_size = 0;
-
- if (cdp->flags & F_DEBUG)
- atapi_dump(cdp->ata->ctrlr, cdp->lun, "0x05", &param, sizeof(param));
-
- result = atapi_request_wait(cdp->ata, cdp->unit, ATAPI_MODE_SELECT,
- 0x10, 0, 0, 0, 0, 0,
- sizeof(param)>>8, sizeof(param),
- 0, 0, 0, 0, 0, 0, 0,
- (char *)&param, -sizeof(param));
-
- if (result.code == RES_UNDERRUN)
- result.code = 0;
-
- if (result.code) {
- atapi_error(cdp->ata, cdp->unit, result);
- return EIO;
- }
- return 0;
-}
-
-static int
-acd_close_track(struct acd *cdp)
-{
- return acd_request_wait(cdp, ATAPI_SYNCHRONIZE_CACHE, 0,
- 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
-}
-
-static int
-acd_read_track_info(struct acd *cdp, int lba, struct acd_track_info *info)
-{
- int error;
-
- error = acd_request_wait(cdp, ATAPI_READ_TRACK_INFO, 0x01,
- lba>>24, (lba>>16)&0xff,
- (lba>>8)&0xff, lba&0xff,
- 0,
- sizeof(*info)>>8, sizeof(*info), 0,
- (char *)info, sizeof(*info));
- if (error)
- return error;
- info->track_start_addr = ntohl(info->track_start_addr);
- info->next_writeable_addr = ntohl(info->next_writeable_addr);
- info->free_blocks = ntohl(info->free_blocks);
- info->fixed_packet_size = ntohl(info->fixed_packet_size);
- info->track_length = ntohl(info->track_length);
- return 0;
-}
-
-static int
-acd_blank_disk(struct acd *cdp)
-{
- int error;
-
- error = acd_request_wait(cdp, 0xa1, 0x01, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0);
- cdp->flags |= F_MEDIA_CHANGED;
- cdp->flags &= ~(F_WRITTEN|F_TRACK_PREP|F_TRACK_PREPED);
- return error;
-}
-
-static void
-atapi_error(struct atapi *ata, int unit, struct atapires result)
-{
- if (result.code != RES_ERR) {
- printf("atapi%d:%d: ERROR %d, status=%b, error=%b\n",
- ata->ctrlr, unit, result.code, result.status,
- ARS_BITS, result.error, AER_BITS);
- return;
- }
- switch (result.error & AER_SKEY) {
- case AER_SK_NOT_READY:
- if (ata->debug)
- printf("atapi%d:%d: not ready\n", ata->ctrlr, unit);
- break;
-
- case AER_SK_BLANK_CHECK:
- if (ata->debug)
- printf("atapi%d:%d: blank check\n", ata->ctrlr, unit);
- break;
-
- case AER_SK_MEDIUM_ERROR:
- if (ata->debug)
- printf("atapi%d:%d: medium error\n", ata->ctrlr, unit);
- break;
-
- case AER_SK_HARDWARE_ERROR:
- if (ata->debug)
- printf("atapi%d:%d: hardware error\n", ata->ctrlr, unit);
- break;
-
- case AER_SK_ILLEGAL_REQUEST:
- if (ata->debug)
- printf("atapi%d:%d: illegal request\n", ata->ctrlr, unit);
- break;
-
- case AER_SK_UNIT_ATTENTION:
- if (ata->debug)
- printf("atapi%d:%d: unit attention\n", ata->ctrlr, unit);
- break;
-
- case AER_SK_DATA_PROTECT:
- if (ata->debug)
- printf("atapi%d:%d: reading protected data\n", ata->ctrlr, unit);
- break;
-
- case AER_SK_ABORTED_COMMAND:
- if (ata->debug)
- printf("atapi%d:%d: command aborted\n", ata->ctrlr, unit);
- break;
-
- case AER_SK_MISCOMPARE:
- if (ata->debug)
- printf("atapi%d:%d: data don't match medium\n", ata->ctrlr, unit);
- break;
-
- default:
- if (ata->debug)
- printf("atapi%d:%d: unknown error, status=%b, error=%b\n",
- ata->ctrlr, unit, result.status, ARS_BITS,
- result.error, AER_BITS);
- }
-}
-
-static void
-atapi_dump(int ctrlr, int lun, char *label, void *data, int len)
-{
- u_char *p = data;
-
- printf ("atapi%d%d: %s %x", ctrlr, lun, label, *p++);
- while (--len > 0) printf ("-%x", *p++);
- printf ("\n");
-}
diff --git a/sys/pc98/pc98/wd_cd.h b/sys/pc98/pc98/wd_cd.h
deleted file mode 100644
index 223d9ae..0000000
--- a/sys/pc98/pc98/wd_cd.h
+++ /dev/null
@@ -1,358 +0,0 @@
-/*-
- * Copyright (c) 1998, 1999 Søren Schmidt
- * All rights reserved.
- *
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions
- * are met:
- * 1. Redistributions of source code must retain the above copyright
- * notice, this list of conditions and the following disclaimer,
- * without modification, immediately at the beginning of the file.
- * 2. Redistributions in binary form must reproduce the above copyright
- * notice, this list of conditions and the following disclaimer in the
- * documentation and/or other materials provided with the distribution.
- * 3. The name of the author may not be used to endorse or promote products
- * derived from this software without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
- * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
- * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
- * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT,
- * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT
- * NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
- * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
- * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
- * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
- * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- * $FreeBSD$
- */
-
-/*
- * CDROM Table Of Contents
- */
-#define MAXTRK 99
-struct toc {
- struct ioc_toc_header hdr;
- struct cd_toc_entry tab[MAXTRK + 1];
-};
-
-/*
- * CDROM Audio Control Parameters Page
- */
-struct audiopage {
- /* Mode Page data header */
- u_short data_length;
- u_char medium_type;
- u_char dev_spec;
- u_char unused[2];
- u_short blk_desc_len;
-
- /* Audio control page */
- u_char page_code;
-#define CDROM_AUDIO_PAGE 0x0e
-#define CDROM_AUDIO_PAGE_MASK 0x4e
-
- u_char param_len;
- u_char flags;
-#define CD_PA_SOTC 0x02
-#define CD_PA_IMMED 0x04
-
- u_char reserved3;
- u_char reserved4;
- u_char reserved5;
- u_short lb_per_sec;
- struct port_control {
- u_char channels:4;
-#define CHANNEL_0 1
-#define CHANNEL_1 2
-#define CHANNEL_2 4
-#define CHANNEL_3 8
- u_char volume;
- } port[4];
-};
-
-/*
- * CDROM Capabilities and Mechanical Status Page
- */
-struct cappage {
- /* Mode data header */
- u_short data_length;
- u_char medium_type; /* Present media type */
-#define MST_TYPE_MASK_LOW 0x0f
-#define MST_FMT_NONE 0x00
-#define MST_DATA_120 0x01
-#define MST_AUDIO_120 0x02
-#define MST_COMB_120 0x03
-#define MST_PHOTO_120 0x04
-#define MST_DATA_80 0x05
-#define MST_AUDIO_80 0x06
-#define MST_COMB_80 0x07
-#define MST_PHOTO_80 0x08
-
-#define MST_TYPE_MASK_HIGH 0x70
-#define MST_CDROM 0x00
-#define MST_CDR 0x10
-#define MST_CDRW 0x20
-
-#define MST_NO_DISC 0x70
-#define MST_DOOR_OPEN 0x71
-#define MST_FMT_ERROR 0x72
-
- u_char dev_spec;
- u_char unused[2];
- u_short blk_desc_len;
-
- /* Capabilities page */
- u_char page_code;
-#define ATAPI_CDROM_CAP_PAGE 0x2a
-
- u_char param_len;
- u_char read_cdr:1; /* Supports CD-R read */
- u_char read_cdrw:1; /* Supports CD-RW read */
- u_char method2:1; /* Supports reading packet tracks */
- u_char byte2_37:5;
- u_char write_cdr:1; /* Supports CD-R write */
- u_char write_cdrw:1; /* Supports CD-RW write */
- u_char test_write:1; /* Supports test writing */
- u_char byte3_37:5;
- u_char audio_play:1; /* Audio play supported */
- u_char composite:1; /* Composite audio/video supported */
- u_char dport1:1; /* Digital audio on port 1 */
- u_char dport2:1; /* Digital audio on port 2 */
- u_char mode2_form1:1; /* Mode 2 form 1 (XA) read */
- u_char mode2_form2:1; /* Mode 2 form 2 format */
- u_char multisession:1; /* Multi-session photo-CD */
- u_char:1;
- u_char cd_da:1; /* Audio-CD read supported */
- u_char cd_da_stream:1; /* CD-DA streaming */
- u_char rw:1; /* Combined R-W subchannels */
- u_char rw_corr:1; /* R-W subchannel data corrected */
- u_char c2:1; /* C2 error pointers supported */
- u_char isrc:1; /* Can return the ISRC info */
- u_char upc:1; /* Can return the catalog number UPC */
- u_char:1;
- u_char lock:1; /* Can be locked */
- u_char locked:1; /* Current lock state */
- u_char prevent:1; /* Prevent jumper installed */
- u_char eject:1; /* Can eject */
- u_char:1;
- u_char mech:3; /* Loading mechanism type */
-#define MST_MECH_CADDY 0
-#define MST_MECH_TRAY 1
-#define MST_MECH_POPUP 2
-#define MST_MECH_CHANGER 4
-#define MST_MECH_CARTRIDGE 5
-
- u_char sep_vol:1; /* Independent volume of channels */
- u_char sep_mute:1; /* Independent mute of channels */
- u_char:6;
-
- u_short max_speed; /* Max raw data rate in bytes/1000 */
- u_short max_vol_levels; /* Number of discrete volume levels */
- u_short buf_size; /* Internal buffer size in bytes/1024 */
- u_short cur_speed; /* Current data rate in bytes/1000 */
-
- u_char reserved3;
- u_char bckf:1; /* Data valid on failing edge of BCK */
- u_char rch:1; /* High LRCK indicates left channel */
- u_char lsbf:1; /* Set if LSB first */
- u_char dlen:2;
-#define MST_DLEN_32 0
-#define MST_DLEN_16 1
-#define MST_DLEN_24 2
-#define MST_DLEN_24_I2S 3
-
- u_char:3;
- u_char reserved4[2];
-};
-
-/*
- * CDROM Changer mechanism status structure
- */
-struct changer {
- u_char current_slot:5; /* Active changer slot */
- u_char mech_state:2; /* Current changer state */
-#define CH_READY 0
-#define CH_LOADING 1
-#define CH_UNLOADING 2
-#define CH_INITIALIZING 3
-
- u_char fault:1; /* Fault in last operation */
- u_char reserved0:5;
- u_char cd_state:3; /* Current mechanism state */
-#define CD_IDLE 0
-#define CD_AUDIO_ACTIVE 1
-#define CD_AUDIO_SCAN 2
-#define CD_HOST_ACTIVE 3
-#define CD_NO_STATE 7
-
- u_char current_lba[3]; /* Current LBA */
- u_char slots; /* Number of available slots */
- u_short table_length; /* Slot table length */
- struct {
- u_char changed:1; /* Media has changed in this slot */
- u_char unused:6;
- u_char present:1; /* Slot has a CD present */
- u_char reserved0;
- u_char reserved1;
- u_char reserved2;
- } slot[32];
-};
-
-/*
- * CDROM Write Parameters Mode Page (Burners ONLY)
- */
-struct write_param {
- /* Mode Page data header */
- u_short data_length;
- u_char medium_type;
- u_char dev_spec;
- u_char unused[2];
- u_short blk_desc_len;
-
- /* Write Parameters mode page */
- u_char page_code; /* 0x05 */
- u_char page_length; /* 0x32 */
- u_char write_type:4; /* Write stream type */
-#define CDR_WTYPE_PACKET 0x00
-#define CDR_WTYPE_TRACK 0x01
-#define CDR_WTYPE_SESSION 0x02
-#define CDR_WTYPE_RAW 0x03
-
- u_char test_write:1; /* Test write enable */
- u_char reserved2_567:3;
- u_char track_mode:4; /* Track mode */
-#define CDR_TMODE_AUDIO 0x01
-#define CDR_TMODE_INCR_DATA 0x01
-#define CDR_TMODE_ALLOW_COPY 0x02
-#define CDR_TMODE_DATA 0x04
-#define CDR_TMODE_QUAD_AUDIO 0x08
-
- u_char copy:1; /* Generation stamp */
- u_char fp:1; /* Fixed packet type */
- u_char multi_session:2; /* Multi-session type */
-#define CDR_MSES_NONE 0x00
-#define CDR_MSES_FINAL 0x01
-#define CDR_MSES_RESERVED 0x02
-#define CDR_MSES_NULTI 0x03
-
- u_char data_block_type:4; /* Data block type code */
-#define CDR_DB_RAW 0x0 /* 2352 bytes of raw data */
-#define CDR_DB_RAW_PQ 0x1 /* 2368 bytes raw data + P/Q subchan */
-#define CDR_DB_RAW_PW 0x2 /* 2448 bytes raw data + P-W subchan */
-#define CDR_DB_RAW_PW_R 0x3 /* 2448 bytes raw data + P-W raw sub */
-#define CDR_DB_RES_4 0x4 /* Reserved */
-#define CDR_DB_RES_5 0x5 /* Reserved */
-#define CDR_DB_RES_6 0x6 /* Reserved */
-#define CDR_DB_VS_7 0x7 /* Vendor specific */
-#define CDR_DB_ROM_MODE1 0x8 /* 2048 bytes Mode 1 (ISO/IEC 10149) */
-#define CDR_DB_ROM_MODE2 0x9 /* 2336 bytes Mode 2 (ISO/IEC 10149) */
-#define CDR_DB_XA_MODE1 0x10 /* 2048 bytes Mode 1 (CD-ROM XA 1) */
-#define CDR_DB_XA_MODE2_F1 0x11 /* 2056 bytes Mode 2 (CD-ROM XA 1) */
-#define CDR_DB_XA_MODE2_F2 0x12 /* 2324 bytes Mode 2 (CD-ROM XA 2) */
-#define CDR_DB_XA_MODE2_MIX 0x13 /* 2332 bytes Mode 2 (CD-ROM XA 1/2) */
-#define CDR_DB_RES_14 0x14 /* Reserved */
-#define CDR_DB_VS_15 0x15 /* Vendor specific */
-
- u_char reserved4_4567:4;
- u_char reserved5;
- u_char reserved6;
- u_char host_app_code:6; /* Host application code */
- u_char reserved7_67:2;
- u_char session_format; /* Session format */
-#define CDR_SESS_CDROM 0x00
-#define CDR_SESS_CDI 0x10
-#define CDR_SESS_CDROM_XA 0x20
-
- u_char reserved9;
- u_int packet_size; /* Packet size in bytes */
- u_short audio_pause_length; /* Audio pause length in secs */
- u_char media_catalog_number[16];
- u_char isr_code[16];
- u_char sub_hdr_byte0;
- u_char sub_hdr_byte1;
- u_char sub_hdr_byte2;
- u_char sub_hdr_byte3;
-/*
- u_char vendor_specific_byte0;
- u_char vendor_specific_byte1;
- u_char vendor_specific_byte2;
- u_char vendor_specific_byte3;
-*/
-
-} __packed;
-/*
- * CDROM Read Track Information structure
- */
-struct acd_track_info {
- u_short data_length;
- u_char track_number; /* Current track number */
- u_char session_number; /* Current session number */
- u_char reserved4;
- u_char track_mode:4; /* Mode of this track */
- u_char copy:1; /* Generation stamp */
- u_char damage:1; /* Damaged track */
- u_char reserved5_67:2;
- u_char data_mode:4; /* Data mode of this disc */
- u_char fp:1; /* Fixed packet */
- u_char packet:1; /* Packet track */
- u_char blank:1; /* Blank (empty) track */
- u_char rt:1; /* Reserved track */
- u_char nwa_valid:1; /* next_writeable_addr field valid */
- u_char reserved7_17:7;
- u_int track_start_addr; /* Start of this track */
- u_int next_writeable_addr; /* Next writeable addr on this disc */
- u_int free_blocks; /* Free block on this disc */
- u_int fixed_packet_size; /* Size of packets on this track */
- u_int track_length; /* Length of this track */
-};
-
-/*
- * Structure describing an ATAPI CDROM device
- */
-struct acd {
- int unit; /* IDE bus drive unit */
- int lun; /* Logical device unit */
- int flags; /* Device state flags */
- int refcnt; /* The number of raw opens */
- struct atapi *ata; /* Controller structure */
- struct bio_queue_head bio_queue; /* Queue of i/o requests */
- struct atapi_params *param; /* Drive parameters table */
- struct toc toc; /* Table of disc contents */
- struct {
- u_long volsize; /* Volume size in blocks */
- u_long blksize; /* Block size in bytes */
- } info;
- struct audiopage au; /* Audio page info */
- struct cappage cap; /* Capabilities page info */
- struct audiopage aumask; /* Audio page mask */
- struct { /* Subchannel info */
- u_char void0;
- u_char audio_status;
- u_short data_length;
- u_char data_format;
- u_char control;
- u_char track;
- u_char indx;
- u_long abslba;
- u_long rellba;
- } subchan;
- struct changer *changer_info; /* Changer info */
- int slot; /* This lun's slot number */
- struct devstat *device_stats; /* Devstat parameters */
- u_int block_size; /* Blocksize currently used */
- u_char dummy; /* Use dummy writes */
- u_char speed; /* Select drive speed */
- u_int next_writeable_lba; /* Next writable position */
- struct wormio_prepare_track preptrack; /* Scratch region */
-};
-
-struct ioc_read_audio {
- u_char address_format;
- union msf_lba address;
- int nframes;
- u_char* buffer;
-};
-
-#define CDIOCREADAUDIO _IOWR('c',31,struct ioc_read_audio)
diff --git a/sys/pc98/pc98/wdreg.h b/sys/pc98/pc98/wdreg.h
deleted file mode 100644
index 65fe518..0000000
--- a/sys/pc98/pc98/wdreg.h
+++ /dev/null
@@ -1,316 +0,0 @@
-/*-
- * Copyright (c) 1991 The Regents of the University of California.
- * All rights reserved.
- *
- * This code is derived from software contributed to Berkeley by
- * William Jolitz.
- *
- * Redistribution and use in source and binary forms, with or without
- * modification, are permitted provided that the following conditions
- * are met:
- * 1. Redistributions of source code must retain the above copyright
- * notice, this list of conditions and the following disclaimer.
- * 2. Redistributions in binary form must reproduce the above copyright
- * notice, this list of conditions and the following disclaimer in the
- * documentation and/or other materials provided with the distribution.
- * 4. Neither the name of the University nor the names of its contributors
- * may be used to endorse or promote products derived from this software
- * without specific prior written permission.
- *
- * THIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS ``AS IS'' AND
- * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
- * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
- * ARE DISCLAIMED. IN NO EVENT SHALL THE REGENTS OR CONTRIBUTORS BE LIABLE
- * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
- * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
- * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
- * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
- * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY
- * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
- * SUCH DAMAGE.
- *
- * from: @(#)wdreg.h 7.1 (Berkeley) 5/9/91
- * $FreeBSD$
- */
-
-/*
- * modified for PC9801 by F.Ukai
- * Kyoto University Microcomputer Club (KMC)
- */
-
-/*
- * Disk Controller register definitions.
- */
-#ifdef PC98
-#define wd_data 0x0 /* data register (R/W - 16 bits) */
-#define wd_error 0x2 /* error register (R) */
-#define wd_precomp wd_error /* write precompensation (W) */
-#define wd_features wd_error /* features register (W) */
-#define wd_seccnt 0x4 /* sector count (R/W) */
-#define wd_sector 0x6 /* first sector number (R/W) */
-#define wd_cyl_lo 0x8 /* cylinder address, low byte (R/W) */
-#define wd_cyl_hi 0xa /* cylinder address, high byte (R/W)*/
-#define wd_sdh 0xc /* sector size/drive/head (R/W)*/
-#define wd_command 0xe /* command register (W) */
-#define wd_status wd_command /* immediate status (R) */
-
-#define wd_altsts_nec 0x10c /*alternate fixed disk status(via 1015) (R)*/
-#define wd_ctlr_nec 0x10c /*fixed disk controller control(via 1015) (W)*/
-#define wd_altsts_epson 0x3 /*alternate fixed disk status(via 1015) (R)*/
-#define wd_ctlr_epson 0x3 /*fixed disk controller control(via 1015) (W)*/
-#define wd_altsts wd_altsts_nec
-
-#define WDCTL_4BIT 0x8 /* use four head bits (wd1003) */
-#define WDCTL_RST 0x4 /* reset the controller */
-#define WDCTL_IDS 0x2 /* disable controller interrupts */
-#define wd_digin 0x10e /* disk controller input(via 1015) (R)*/
-#else /* IBM-PC */
-#define wd_data 0x0 /* data register (R/W - 16 bits) */
-#define wd_error 0x1 /* error register (R) */
-#define wd_precomp wd_error /* write precompensation (W) */
-#define wd_features wd_error /* features register (W) */
-#define wd_seccnt 0x2 /* sector count (R/W) */
-#define wd_sector 0x3 /* first sector number (R/W) */
-#define wd_cyl_lo 0x4 /* cylinder address, low byte (R/W) */
-#define wd_cyl_hi 0x5 /* cylinder address, high byte (R/W)*/
-#define wd_sdh 0x6 /* sector size/drive/head (R/W)*/
-#define wd_command 0x7 /* command register (W) */
-#define wd_status wd_command /* immediate status (R) */
-
-#define wd_altsts 0x206 /*alternate fixed disk status(via 1015) (R)*/
-#define wd_ctlr 0x206 /*fixed disk controller control(via 1015) (W)*/
-#define WDCTL_4BIT 0x8 /* use four head bits (wd1003) */
-#define WDCTL_RST 0x4 /* reset the controller */
-#define WDCTL_IDS 0x2 /* disable controller interrupts */
-#define wd_digin 0x207 /* disk controller input(via 1015) (R)*/
-#endif /* PC98 */
-
-/*
- * Status Bits.
- */
-#define WDCS_BUSY 0x80 /* Controller busy bit. */
-#define WDCS_READY 0x40 /* Selected drive is ready */
-#define WDCS_WRTFLT 0x20 /* Write fault */
-#define WDCS_SEEKCMPLT 0x10 /* Seek complete */
-#define WDCS_DRQ 0x08 /* Data request bit. */
-#define WDCS_ECCCOR 0x04 /* ECC correction made in data */
-#define WDCS_INDEX 0x02 /* Index pulse from selected drive */
-#define WDCS_ERR 0x01 /* Error detect bit. */
-
-#define WDCS_BITS "\020\010busy\007rdy\006wrtflt\005seekdone\004drq\003ecc_cor\002index\001err"
-#define WDERR_ABORT 0x04
-
-#define WDERR_BITS "\020\010badblk\007uncorr\006id_crc\005no_id\003abort\002tr000\001no_dam"
-
-/*
- * Commands for Disk Controller.
- */
-#define WDCC_RESTORE 0x10 /* disk restore code -- resets cntlr */
-
-#define WDCC_READ 0x20 /* disk read code */
-#define WDCC_WRITE 0x30 /* disk write code */
-#define WDCC__LONG 0x02 /* modifier -- access ecc bytes */
-#define WDCC__NORETRY 0x01 /* modifier -- no retrys */
-
-#define WDCC_FORMAT 0x50 /* disk format code */
-#define WDCC_DIAGNOSE 0x90 /* controller diagnostic */
-#define WDCC_IDC 0x91 /* initialize drive command */
-#define WDCC_READ_MULTI 0xC4 /* read multiple */
-#define WDCC_WRITE_MULTI 0xC5 /* write multiple */
-#define WDCC_SET_MULTI 0xC6 /* set multiple count */
-#define WDCC_READ_DMA 0xC8 /* read using DMA */
-#define WDCC_WRITE_DMA 0xCA /* write using DMA */
-
-
-#define WDCC_EXTDCMD 0xE0 /* send extended command */
-#define WDCC_READP 0xEC /* read parameters from controller */
-#define WDCC_FEATURES 0xEF /* features control */
-
-#define WDCC_DEFECT 0xF0 /* read defect list */
-
-#define WDFEA_NORCACHE 0x55 /* read cache disable */
-#define WDFEA_RCACHE 0xAA /* read cache enable */
-#define WDFEA_NOWCACHE 0x82 /* write cache disable */
-#define WDFEA_WCACHE 0x02 /* write cache enable */
-#define WDFEA_SETXFER 0x03 /* set transfer mode */
-
-#define WD_STEP 0 /* winchester- default 35us step */
-
-#define WDSD_IBM 0xa0 /* forced to 512 byte sector, ecc */
-#define WDSD_LBA 0x40 /* use Logical Block Adressing */
-
-#ifdef _KERNEL
-/*
- * read parameters command returns this:
- */
-struct wdparams {
- /*
- * XXX partly based on DRAFT X3T13/1153D rev 14.
- * by the time you read this it will have changed.
- * Offsets in words
- * (as that's how they are usually presented in tables
- * e.g. QUANTUM Product manuals)
- */
- /* drive info */
- short wdp_config; /*0 general configuration bits */
- u_short wdp_cylinders; /*1 number of cylinders */
- short wdp_reserved2; /*2*/
- u_short wdp_heads; /*3 number of heads */
- short wdp_unfbytespertrk; /*4 number of unformatted bytes/track */
- short wdp_unfbytes; /*5 number of unformatted bytes/sec */
- u_short wdp_sectors; /*6 number of sectors per track */
- short wdp_vendorunique[3]; /*7,8,9*/
- /* controller info */
- char wdp_serial[20]; /*10-19 serial number */
- short wdp_buffertype; /*20 buffer type */
-#define WDTYPE_SINGLEPORTSECTOR 1 /* single port, single sector buffer */
-#define WDTYPE_DUALPORTMULTI 2 /* dual port, multiple sector buffer */
-#define WDTYPE_DUALPORTMULTICACHE 3 /* above plus track cache */
- short wdp_buffersize; /*21 buffer size, in 512-byte units */
- short wdp_necc; /*22 ecc bytes appended */
- char wdp_rev[8]; /*23-26 firmware revision */
- char wdp_model[40]; /*27-46 model name */
- char wdp_nsecperint; /*47L sectors per interrupt */
- char wdp_vendorunique1; /*47H*/
- short wdp_usedmovsd; /*48 can use double word read/write? */
- char wdp_vendorunique2; /*49L*/
- char wdp_capability; /*49H various capability bits */
- short wdp_cap_validate; /*50 validation for above */
- char wdp_vendorunique3; /*51L*/
- char wdp_opiomode; /*51H PIO modes 0-2 */
- char wdp_vendorunique4; /*52*/
- char wdp_odmamode; /*52 old DMA modes, not in ATA-3 */
- short wdp_atavalid; /*53 validation for newer fields */
- short wdp_currcyls; /*54 */
- short wdp_currheads; /*55 */
- short wdp_currsectors; /*56 */
- short wdp_currsize0; /*57 CHS size*/
- short wdp_currsize1; /*58 CHS size*/
- char wdp_currmultsect; /*59L */
- char wdp_multsectvalid; /*59H */
- int wdp_lbasize; /*60,61*/
- short wdp_dmasword; /*62 obsolete in ATA-3 */
- short wdp_dmamword; /*63 multiword DMA modes */
- short wdp_eidepiomodes; /*64 advanced PIO modes */
- short wdp_eidedmamin; /*65 fastest possible DMA timing */
- short wdp_eidedmanorm; /*66 recommended DMA timing */
- short wdp_eidepioblind; /*67 fastest possible blind PIO */
- short wdp_eidepioacked; /*68 fastest possible IORDY PIO */
- short wdp_reserved69; /*69*/
- short wdp_reserved70; /*70*/
- short wdp_reserved71; /*71*/
- short wdp_reserved72; /*72*/
- short wdp_reserved73; /*73*/
- short wdp_reserved74; /*74*/
- short wdp_queuelen; /*75*/
- short wdp_reserved76; /*76*/
- short wdp_reserved77; /*77*/
- short wdp_reserved78; /*78*/
- short wdp_reserved79; /*79*/
- short wdp_versmaj; /*80*/
- short wdp_versmin; /*81*/
- short wdp_featsupp1; /*82*/
- short wdp_featsupp2; /*83*/
- short wdp_featsupp3; /*84*/
- short wdp_featenab1; /*85*/
- short wdp_featenab2; /*86*/
- short wdp_featenab3; /*87*/
- short wdp_udmamode; /*88 UltraDMA modes */
- short wdp_erasetime; /*89*/
- short wdp_enherasetime; /*90*/
- short wdp_apmlevel; /*91*/
- short wdp_reserved92[34]; /*92*/
- short wdp_rmvcap; /*93*/
- short wdp_securelevel; /*94*/
-};
-
-/*
- * IDE DMA support.
- * This is based on what is needed for the IDE DMA function of the Intel
- * Triton chipset; hopefully it's general enough to be used for other
- * chipsets as well.
- *
- * To use this:
- * For each drive which you might want to do DMA on, call wdd_candma()
- * to get a cookie. If it returns a null pointer, then the drive
- * can't do DMA. Then call wdd_dmainit() to initialize the controller
- * and drive. wdd_dmainit should leave PIO modes operational, though
- * perhaps with suboptimal performance.
- *
- * Check the transfer by calling wdd_dmaverify(). The cookie is what
- * you got before; vaddr is the virtual address of the buffer to be
- * written; len is the length of the buffer; and direction is either
- * B_READ or B_WRITE. This function verifies that the DMA hardware is
- * capable of handling the request you've made.
- *
- * Setup the transfer by calling wdd_dmaprep(). This takes the same
- * paramaters as wdd_dmaverify().
- *
- * Send a read/write DMA command to the drive.
- *
- * Call wdd_dmastart().
- *
- * Wait for an interrupt. Multi-sector transfers will only interrupt
- * at the end of the transfer.
- *
- * Call wdd_dmadone(). It will return the status as defined by the
- * WDDS_* constants below.
- */
-struct wddma {
- void *(*wdd_candma) /* returns a cookie if PCI */
- (int iobase_wd, int ctlr, int unit);
- int (*wdd_dmaverify) /* verify that request is DMA-able */
- (void *cookie, char *vaddr, u_long len, int direction);
- int (*wdd_dmaprep) /* prepare DMA hardware */
- (void *cookie, char *vaddr, u_long len, int direction);
- void (*wdd_dmastart) /* begin DMA transfer */
- (void *cookie);
- int (*wdd_dmadone) /* DMA transfer completed */
- (void *cookie);
- int (*wdd_dmastatus) /* return status of DMA */
- (void *cookie);
- int (*wdd_dmainit) /* initialize controller and drive */
- (void *cookie,
- struct wdparams *wp,
- int(wdcmd)(int mode, void *wdinfo),
- void *wdinfo);
- int (*wdd_iobase) /* returns iobase address */
- (void *cookie);
- int (*wdd_altiobase) /* returns altiobase address */
- (void *cookie);
-};
-
-/* logical status bits returned by wdd_dmastatus */
-#define WDDS_ACTIVE 0x0001
-#define WDDS_ERROR 0x0002
-#define WDDS_INTERRUPT 0x0004
-
-#define WDDS_BITS "\20\4interrupt\2error\1active"
-
-/* defines for ATA timing modes */
-#define WDDMA_GRPMASK 0xf8
-#define WDDMA_MODEMASK 0x07
-/* flow-controlled PIO modes */
-#define WDDMA_PIO 0x10
-#define WDDMA_PIO3 0x10
-#define WDDMA_PIO4 0x11
-/* multi-word DMA timing modes */
-#define WDDMA_MDMA 0x20
-#define WDDMA_MDMA0 0x20
-#define WDDMA_MDMA1 0x21
-#define WDDMA_MDMA2 0x22
-
-/* Ultra DMA timing modes */
-#define WDDMA_UDMA 0x40
-#define WDDMA_UDMA0 0x40
-#define WDDMA_UDMA1 0x41
-#define WDDMA_UDMA2 0x42
-
-#define Q_CMD640B 0x00000001 /* CMD640B quirk: serialize IDE channels */
-void wdc_pci(int quirks);
-
-extern struct wddma wddma[];
-
-void wdintr(void *unit);
-
-#endif /* _KERNEL */
diff --git a/sys/pc98/pc98/wormio.h b/sys/pc98/pc98/wormio.h
deleted file mode 100644
index 4d95d34..0000000
--- a/sys/pc98/pc98/wormio.h
+++ /dev/null
@@ -1,117 +0,0 @@
-/* Shared between kernel & process */
-/* $FreeBSD$ */
-
-#ifndef _SYS_WORMIO_H_
-#define _SYS_WORMIO_H_
-
-#include <sys/ioccom.h>
-
-/***************************************************************\
-* Ioctls for the WORM drive *
-\***************************************************************/
-
-
-/*
- * Prepare disk-wide parameters.
- */
-
-struct wormio_prepare_disk
-{
- int dummy; /* use dummy writes, laser turned off */
- int speed; /* drive speed selection */
-};
-
-#define WORMIOCPREPDISK _IOW('W', 20, struct wormio_prepare_disk)
-
-/*
- * Prepare track-specific parameters.
- */
-
-struct wormio_prepare_track
-{
- int audio; /* audio track (data track if 0) */
- int preemp; /* audio with preemphasis */
-#define BLOCK_RAW 0 /* 2352 bytes, raw data */
-#define BLOCK_RAWPQ 1 /* 2368 bytes, raw data with P and Q subchannels */
-#define BLOCK_RAWPW 2 /* 2448 bytes, raw data with P-W subchannel appended */
-#define BLOCK_MODE_1 8 /* 2048 bytes, mode 1 (ISO/IEC 10149) */
-#define BLOCK_MODE_2 9 /* 2336 bytes, mode 2 (ISO/IEC 10149) */
-#define BLOCK_MODE_2_FORM_1 10 /* 2048 bytes, CD-ROM XA form 1 */
-#define BLOCK_MODE_2_FORM_1b 11 /* 2056 bytes, CD-ROM XA form 1 */
-#define BLOCK_MODE_2_FORM_2 12 /* 2324 bytes, CD-ROM XA form 2 */
-#define BLOCK_MODE_2_FORM_2b 13 /* 2332 bytes, CD-ROM XA form 2 */
- int track_type; /* defines the number of bytes in a block */
-#define COPY_INHIBIT 0 /* no copy allowed */
-#define COPY_PERMITTED 1 /* track can be copied */
-#define COPY_SCMS 2 /* alternate copy */
- int copy_bits; /* define the possibilities for copying */
- int track_number;
- char ISRC_country[2]; /* country code (2 chars) */
- char ISRC_owner[3]; /* owner code (3 chars) */
- int ISRC_year; /* year of recording */
- char ISRC_serial[5]; /* serial number */
-};
-#define WORMIOCPREPTRACK _IOW('W', 21, struct wormio_prepare_track)
-
-
-/*
- * Fixation: write leadins and leadouts. Select table-of-contents
- * type for this session. If onp is != 0, another session will be
- * opened.
- */
-
-struct wormio_fixation
-{
- int toc_type; /* TOC type */
- int onp; /* open next program area */
-};
-
-#define WORMIOCFIXATION _IOW('W', 22, struct wormio_fixation)
-
-/*
- * Finalize track
- */
-#define WORMIOCFINISHTRACK _IO('W', 23)
-
-
-struct wormio_session_info {
- u_short lead_in;
- u_short lead_out;
-};
-#define WORMIOCREADSESSIONINFO _IOR('W', 31, struct wormio_session_info)
-
-struct wormio_write_session {
- int toc_type;
- int onp;
- int lofp;
- int length;
- char catalog[13];
- u_char *track_desc;
-};
-#define WORMIOCWRITESESSION _IOW('W', 32, struct wormio_write_session)
-
-struct wormio_first_writable_addr {
- int track;
- int mode;
- int raw;
- int audio;
- int *addr;
-};
-#define WORMIOCFIRSTWRITABLEADDR _IOWR('W', 33, struct wormio_first_writable_addr)
-
-#define CDRIOCBLANK _IO('c', 100)
-#define CDRIOCNEXTWRITEABLEADDR _IOR('c', 101, int)
-
-/* Errors/warnings */
-#define WORM_SEQUENCE_ERROR 1
-#define WORM_DUMMY_BLOCKS_ADDED 2
-#define WORM_CALIBRATION_AREA_ALMOST_FULL 3
-#define WORM_CALIBRATION_AREA_FULL 4
-#define WORM_BUFFER_UNDERRUN 5
-#define WORM_ABSORPTION_CONTROL_ERROR 6
-#define WORM_END_OF_MEDIUM 7
-#define WORM_OPTIMUM_POWER_CALIBRATION_ERROR 8
-
-#define WORMIOERROR _IOR('W', 24, int)
-
-#endif /* !_SYS_WORMIO_H_ */
OpenPOWER on IntegriCloud