summaryrefslogtreecommitdiffstats
path: root/sys/i386/linux
diff options
context:
space:
mode:
authorjhb <jhb@FreeBSD.org>2004-02-04 21:57:00 +0000
committerjhb <jhb@FreeBSD.org>2004-02-04 21:57:00 +0000
commitbb001b4d31bb63864fde21538761de070d0b316b (patch)
treedc0ebf2238a6d46a1e5b047a0ac7397f96972d9e /sys/i386/linux
parent279b2b827810d149b5b8453900cdea57874ae234 (diff)
downloadFreeBSD-src-bb001b4d31bb63864fde21538761de070d0b316b.zip
FreeBSD-src-bb001b4d31bb63864fde21538761de070d0b316b.tar.gz
The following compat syscalls are now mpsafe: linux_getrlimit(),
linux_setrlimit(), linux_old_getrlimit(), osf1_getrlimit(), osf1_setrlimit(), svr4_sys_ulimit(), svr4_sys_setrlimit(), svr4_sys_getrlimit(), svr4_sys_setrlimit64(), svr4_sys_getrlimit64(), ibcs2_sysconf(), and ibcs2_ulimit().
Diffstat (limited to 'sys/i386/linux')
-rw-r--r--sys/i386/linux/syscalls.master6
1 files changed, 3 insertions, 3 deletions
diff --git a/sys/i386/linux/syscalls.master b/sys/i386/linux/syscalls.master
index c322a80..42377ae 100644
--- a/sys/i386/linux/syscalls.master
+++ b/sys/i386/linux/syscalls.master
@@ -112,8 +112,8 @@
73 MSTD { int linux_sigpending(l_osigset_t *mask); }
74 MNOPROTO { int osethostname(char *hostname, u_int len); } \
osethostname sethostname_args int
-75 STD { int linux_setrlimit(l_uint resource, struct l_rlimit *rlim); }
-76 STD { int linux_old_getrlimit(l_uint resource, \
+75 MSTD { int linux_setrlimit(l_uint resource, struct l_rlimit *rlim); }
+76 MSTD { int linux_old_getrlimit(l_uint resource, \
struct l_rlimit *rlim); }
77 MNOPROTO { int getrusage(int who, struct rusage *rusage); }
78 MNOPROTO { int gettimeofday(struct timeval *tp, struct timezone *tzp); }
@@ -258,7 +258,7 @@
188 UNIMPL getpmsg
189 UNIMPL putpmsg
190 MSTD { int linux_vfork(void); }
-191 STD { int linux_getrlimit(l_uint resource, struct l_rlimit *rlim); }
+191 MSTD { int linux_getrlimit(l_uint resource, struct l_rlimit *rlim); }
192 STD { int linux_mmap2(l_ulong addr, l_ulong len, \
l_ulong prot, l_ulong flags, l_ulong fd, \
l_ulong pgoff); }
OpenPOWER on IntegriCloud