summaryrefslogtreecommitdiffstats
path: root/sys/i386/isa
diff options
context:
space:
mode:
authorphk <phk@FreeBSD.org>2004-06-04 16:02:56 +0000
committerphk <phk@FreeBSD.org>2004-06-04 16:02:56 +0000
commitba3920e2a2eb1a3101ab8286d24d58fef6fd73aa (patch)
tree3337821fdd2a353d2216412ea8dd39eb5e65f007 /sys/i386/isa
parent958f9d7c7609eaa9fcabd83035ded33f80da2f0d (diff)
downloadFreeBSD-src-ba3920e2a2eb1a3101ab8286d24d58fef6fd73aa.zip
FreeBSD-src-ba3920e2a2eb1a3101ab8286d24d58fef6fd73aa.tar.gz
Machine generated patch which changes linedisc calls from accessing
linesw[] directly to using the ttyld...() functions The ttyld...() functions ar inline so there is no performance hit.
Diffstat (limited to 'sys/i386/isa')
-rw-r--r--sys/i386/isa/pcvt/pcvt_drv.c10
1 files changed, 5 insertions, 5 deletions
diff --git a/sys/i386/isa/pcvt/pcvt_drv.c b/sys/i386/isa/pcvt/pcvt_drv.c
index d7d56d0..39c7b83 100644
--- a/sys/i386/isa/pcvt/pcvt_drv.c
+++ b/sys/i386/isa/pcvt/pcvt_drv.c
@@ -304,7 +304,7 @@ pcvt_open(dev_t dev, int flag, int mode, struct thread *td)
tp->t_lflag = TTYDEF_LFLAG;
tp->t_ispeed = tp->t_ospeed = TTYDEF_SPEED;
pcvt_param(tp, &tp->t_termios);
- (*linesw[tp->t_line].l_modem)(tp, 1); /* fake connection */
+ ttyld_modem(tp, 1); /* fake connection */
winsz = 1; /* set winsize later */
}
else if (tp->t_state & TS_XCLUDE && suser(td))
@@ -312,7 +312,7 @@ pcvt_open(dev_t dev, int flag, int mode, struct thread *td)
return (EBUSY);
}
- retval = ((*linesw[tp->t_line].l_open)(dev, tp));
+ retval = (ttyld_open(tp, dev));
if(winsz == 1)
{
@@ -352,7 +352,7 @@ pcvt_close(dev_t dev, int flag, int mode, struct thread *td)
tp = pcvt_tty[i];
- (*linesw[tp->t_line].l_close)(tp, flag);
+ ttyld_close(tp, flag);
ttyclose(tp);
@@ -442,13 +442,13 @@ pcvt_timeout(void *arg)
if(*cp == '\0')
{
/* pass a NULL character */
- (*linesw[tp->t_line].l_rint)('\0', tp);
+ ttyld_rint(tp, '\0');
}
/* XXX */ else
#endif /* PCVT_NULLCHARS */
while (*cp)
- (*linesw[tp->t_line].l_rint)(*cp++ & 0xff, tp);
+ ttyld_rint(tp, *cp++ & 0xff);
}
PCVT_DISABLE_INTR ();
OpenPOWER on IntegriCloud