summaryrefslogtreecommitdiffstats
path: root/sys/i386/apm/apm_init
diff options
context:
space:
mode:
authordg <dg@FreeBSD.org>1994-10-01 05:13:37 +0000
committerdg <dg@FreeBSD.org>1994-10-01 05:13:37 +0000
commit5eee9727f851d8bc2b12480308de2b31a1f8756a (patch)
tree342fad75d02bd3ee8afb85b327dd503b64c3735d /sys/i386/apm/apm_init
parent602d8ee7321faccbb8e7c67d1d3992681686ef46 (diff)
downloadFreeBSD-src-5eee9727f851d8bc2b12480308de2b31a1f8756a.zip
FreeBSD-src-5eee9727f851d8bc2b12480308de2b31a1f8756a.tar.gz
Added $Id$
Diffstat (limited to 'sys/i386/apm/apm_init')
-rw-r--r--sys/i386/apm/apm_init/Makefile2
-rw-r--r--sys/i386/apm/apm_init/apm_init.S2
-rw-r--r--sys/i386/apm/apm_init/bin2asm.c2
-rw-r--r--sys/i386/apm/apm_init/table.c2
4 files changed, 8 insertions, 0 deletions
diff --git a/sys/i386/apm/apm_init/Makefile b/sys/i386/apm/apm_init/Makefile
index 22d6d60..fc6464e 100644
--- a/sys/i386/apm/apm_init/Makefile
+++ b/sys/i386/apm/apm_init/Makefile
@@ -12,6 +12,8 @@
#
# Sep., 1994 Implemented on FreeBSD 1.1.5.1R (Toshiba AVS001WD)
#
+# $Id$
+#
OBJS = apm_init.o real_prot.o table.o
CFLAGS = -DKERNEL -DINITIALIZER
diff --git a/sys/i386/apm/apm_init/apm_init.S b/sys/i386/apm/apm_init/apm_init.S
index 6c22571..f3e6815 100644
--- a/sys/i386/apm/apm_init/apm_init.S
+++ b/sys/i386/apm/apm_init/apm_init.S
@@ -11,6 +11,8 @@
* use.
*
* Sep., 1994 Implemented on FreeBSD 1.1.5.1R (Toshiba AVS001WD)
+ *
+ * $Id$
*/
/*
diff --git a/sys/i386/apm/apm_init/bin2asm.c b/sys/i386/apm/apm_init/bin2asm.c
index b826e68..5577247 100644
--- a/sys/i386/apm/apm_init/bin2asm.c
+++ b/sys/i386/apm/apm_init/bin2asm.c
@@ -11,6 +11,8 @@
* use.
*
* Sep., 1994 Implemented on FreeBSD 1.1.5.1R (Toshiba AVS001WD)
+ *
+ * $Id$
*/
#include <stdio.h>
diff --git a/sys/i386/apm/apm_init/table.c b/sys/i386/apm/apm_init/table.c
index eaf2fc6..f859bff 100644
--- a/sys/i386/apm/apm_init/table.c
+++ b/sys/i386/apm/apm_init/table.c
@@ -11,6 +11,8 @@
* use.
*
* Sep., 1994 Implemented on FreeBSD 1.1.5.1R (Toshiba AVS001WD)
+ *
+ * $Id$
*/
#include <apm_bios.h>
OpenPOWER on IntegriCloud