summaryrefslogtreecommitdiffstats
path: root/sys/boot/i386/boot0
diff options
context:
space:
mode:
authorobrien <obrien@FreeBSD.org>2004-04-25 19:50:20 +0000
committerobrien <obrien@FreeBSD.org>2004-04-25 19:50:20 +0000
commit05ba732e146a0a3cc4309b3e384f72c52b8cb11d (patch)
tree639920723bbbbbb03e4d6e7cec2ff304748748c4 /sys/boot/i386/boot0
parentb0560a9f8469bd611e0dfd68ed27e5700a276720 (diff)
downloadFreeBSD-src-05ba732e146a0a3cc4309b3e384f72c52b8cb11d.zip
FreeBSD-src-05ba732e146a0a3cc4309b3e384f72c52b8cb11d.tar.gz
Simplify the building of our i386 'binary' boot components by directly
producing them using 'ld' options rather than post-processing with 'objcopy'. Idea by: Ryan Sommers <ryans@gamersimpact.com>
Diffstat (limited to 'sys/boot/i386/boot0')
-rw-r--r--sys/boot/i386/boot0/Makefile8
1 files changed, 2 insertions, 6 deletions
diff --git a/sys/boot/i386/boot0/Makefile b/sys/boot/i386/boot0/Makefile
index b22cf4d..dea3402 100644
--- a/sys/boot/i386/boot0/Makefile
+++ b/sys/boot/i386/boot0/Makefile
@@ -1,11 +1,10 @@
# $FreeBSD$
-PROG= ${BOOT}.out
+PROG= ${BOOT}
INTERNALPROG=
FILES= ${BOOT}
NOMAN=
SRCS= ${BOOT}.s
-CLEANFILES= ${BOOT}
BOOT?= boot0
@@ -34,9 +33,6 @@ AFLAGS+=--defsym FLAGS=${BOOT_BOOT0_FLAGS} \
--defsym TICKS=${BOOT_BOOT0_TICKS} \
--defsym COMSPEED=${BOOT_BOOT0_COMCONSOLE_SPEED}
-LDFLAGS=-N -e start -Ttext ${BOOT_BOOT0_ORG}
-
-${BOOT}: ${BOOT}.out
- objcopy -S -O binary ${BOOT}.out ${.TARGET}
+LDFLAGS=-N -e start -Ttext ${BOOT_BOOT0_ORG} -Wl,-S -Wl,--oformat -Wl,binary
.include <bsd.prog.mk>
OpenPOWER on IntegriCloud